JP5374749B2 - Insulating film forming method, computer-readable storage medium, and processing system - Google Patents

Insulating film forming method, computer-readable storage medium, and processing system Download PDF

Info

Publication number
JP5374749B2
JP5374749B2 JP2008029477A JP2008029477A JP5374749B2 JP 5374749 B2 JP5374749 B2 JP 5374749B2 JP 2008029477 A JP2008029477 A JP 2008029477A JP 2008029477 A JP2008029477 A JP 2008029477A JP 5374749 B2 JP5374749 B2 JP 5374749B2
Authority
JP
Japan
Prior art keywords
plasma
insulating film
processing
film
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008029477A
Other languages
Japanese (ja)
Other versions
JP2009188349A5 (en
JP2009188349A (en
Inventor
義郎 壁
淳一 北川
紀久夫 山部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008029477A priority Critical patent/JP5374749B2/en
Priority to PCT/JP2009/052447 priority patent/WO2009099254A1/en
Priority to US12/865,969 priority patent/US8034179B2/en
Priority to TW098103865A priority patent/TWI445083B/en
Priority to KR1020107017596A priority patent/KR101248651B1/en
Publication of JP2009188349A publication Critical patent/JP2009188349A/en
Publication of JP2009188349A5 publication Critical patent/JP2009188349A5/ja
Application granted granted Critical
Publication of JP5374749B2 publication Critical patent/JP5374749B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for forming an insulating film which forms an insulating film having a thickness equivalent to that in conventional CVD and good film quality under low temperature. <P>SOLUTION: A CVD step (step S2) for depositing a silicon oxide film as an insulating film on a silicon layer by CVD, and a plasma modification step (step S4) for modifying the silicon oxide film by plasma generated under pressure conditions in the range of 6.7-267 Pa using processing gas containing rare gas and oxygen are carried out until the silicon oxide film reaches a desired film thickness. <P>COPYRIGHT: (C)2009,JPO&amp;INPIT

Description

本発明は、CVD(Chemical Vapor Deposition;化学気相堆積)法により絶縁膜を形成する絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システムに関する。   The present invention relates to an insulating film forming method for forming an insulating film by a CVD (Chemical Vapor Deposition) method, a computer-readable storage medium, and a processing system.

CVD法は、各種半導体装置の製造過程において酸化珪素膜や高誘電率絶縁膜などの絶縁膜を形成する目的で広く利用されている。CVD法では、熱などのエネルギーを用いて成膜原料物質に気相反応を生じさせ、被処理体上に絶縁膜を形成する。   The CVD method is widely used for the purpose of forming an insulating film such as a silicon oxide film or a high dielectric constant insulating film in the manufacturing process of various semiconductor devices. In the CVD method, a gas phase reaction is caused in a film forming raw material using energy such as heat to form an insulating film on a target object.

CVD法により絶縁膜を形成する場合の問題点として、成膜された絶縁膜(例えば酸化珪素膜)には多くのダングリングボンドが存在するとともに、成膜原料に由来する水分や不純物が残留しており、膜質があまり良くないという点が挙げられる。このため、CVD法により成膜された絶縁膜を例えば700℃以上の高温でアニール処理して膜質を改善することが必要であった。しかし、熱によるエネルギー供給では、Si−O結合の組み換えが不可能であるため、成膜後のアニール処理によって基礎的な膜質の改善を行うことは困難である。また、アニール処理による改質効果を高めようとすると、高温での処理が必要になるが、高温でのアニール処理は、サーマルバジェットの増大につながる。サーマルバジェットが増大すると、シリコン層に拡散された不純物分布の制御が困難になり、半導体装置の品質に好ましくない影響を与えてしまうことが懸念される。   When forming an insulating film by the CVD method, there are many dangling bonds in the formed insulating film (for example, silicon oxide film), and moisture and impurities derived from the film forming raw material remain. The film quality is not so good. Therefore, it is necessary to improve the film quality by annealing the insulating film formed by the CVD method at a high temperature of, for example, 700 ° C. or higher. However, since it is impossible to recombine Si—O bonds by supplying energy by heat, it is difficult to improve the basic film quality by annealing after film formation. Further, in order to enhance the modification effect by the annealing treatment, a treatment at a high temperature is required, but the annealing treatment at a high temperature leads to an increase in the thermal budget. When the thermal budget increases, it becomes difficult to control the distribution of impurities diffused in the silicon layer, which may cause an undesirable effect on the quality of the semiconductor device.

また、例えば液晶ディスプレイや有機ELディスプレイのようにガラス基板や合成樹脂製基板を用いる場合には、絶縁膜の改質処理に高温でのアニール処理を行うこと自体が不可能である。   In addition, when a glass substrate or a synthetic resin substrate is used as in a liquid crystal display or an organic EL display, for example, it is impossible to perform an annealing process at a high temperature for the modification process of the insulating film.

このため、酸化珪素膜をプラズマ処理することによって比較的低温で膜質を改質する技術が提案されている(例えば、特許文献1、2)。   For this reason, a technique for modifying the film quality at a relatively low temperature by plasma treatment of the silicon oxide film has been proposed (for example, Patent Documents 1 and 2).

WO2002/059956号WO2002 / 059956 WO2001/69665号WO2001 / 69665

上記特許文献1および特許文献2に記載されたプラズマ処理による酸化珪素膜の改質処理は、サーマルバジェットを低減しつつ良質な酸化珪素膜を製造できる点で優れた技術である。しかし、RLSAプラズマ処理装置で生成したプラズマ中の酸素ラジカルが酸化珪素膜中へ拡散する深さには限界がある。このため、特許文献1および特許文献2に記載の方法で改質できる酸化珪素膜の膜厚には限界があり、例えば10nm以下の膜厚の酸化珪素膜しか改質処理ができない。このような理由から、上記膜厚を超える比較的厚い絶縁膜についてはプラズマ改質処理による膜質改善は困難であった。   The modification process of the silicon oxide film by the plasma process described in Patent Document 1 and Patent Document 2 is an excellent technique in that a high-quality silicon oxide film can be manufactured while reducing the thermal budget. However, there is a limit to the depth at which oxygen radicals in the plasma generated by the RLSA plasma processing apparatus diffuse into the silicon oxide film. For this reason, there is a limit to the thickness of the silicon oxide film that can be modified by the methods described in Patent Document 1 and Patent Document 2, and for example, only a silicon oxide film having a thickness of 10 nm or less can be modified. For these reasons, it has been difficult to improve the film quality by plasma reforming treatment for a relatively thick insulating film exceeding the above-mentioned film thickness.

また、前記のとおり、従来の熱CVD法やプラズマCVD法では、絶縁膜の膜厚を厚く形成できるが、膜質が悪いという欠点があった。   In addition, as described above, the conventional thermal CVD method and plasma CVD method can form the insulating film with a large film thickness, but have a drawback that the film quality is poor.

本発明はかかる実情に鑑みてなされたものであり、その目的は、従来のCVD法と同等の厚い膜厚で、膜質が良質な絶縁膜を低温で形成できる絶縁膜の形成方法を提供することである。   The present invention has been made in view of such circumstances, and an object of the present invention is to provide a method for forming an insulating film that can form an insulating film having a high film quality and a high film thickness at a low temperature equivalent to that of a conventional CVD method. It is.

上記課題を解決するため、本発明の絶縁膜の形成方法は、被処理体の表面に露出したシリコンの上にCVD法によって、2nm以上10nm以下の範囲内の膜厚で絶縁膜を形成するCVD工程と、
前記絶縁膜に対し、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、酸素を含む処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程と、
を備え、前記CVD工程と前記プラズマ改質処理工程とを繰り返し行い絶縁膜を形成するものである。
In order to solve the above problems, an insulating film forming method of the present invention is a CVD method in which an insulating film is formed on a silicon exposed on the surface of an object to be processed with a film thickness within a range of 2 nm to 10 nm by a CVD method. Process,
In the plasma processing apparatus that introduces microwaves into the processing chamber with a planar antenna having a plurality of holes for the insulating film, a plasma reforming process for performing a reforming process using plasma of a processing gas containing oxygen;
And an insulating film is formed by repeating the CVD step and the plasma modification treatment step.

本発明の絶縁膜の形成方法において、前記プラズマ改質処理工程は、処理圧力が6.7Pa以上267Pa以下の範囲内であり、かつ前記処理ガスの全流量に対する酸素の流量比率が0.1%以上30%以下の範囲内で行われるものであることが好ましい。   In the method for forming an insulating film of the present invention, the plasma reforming treatment step has a treatment pressure in a range of 6.7 Pa to 267 Pa, and a flow rate ratio of oxygen to a total flow rate of the treatment gas is 0.1%. It is preferable to be performed within the range of 30% or less.

また、本発明の絶縁膜の形成方法において、前記プラズマ改質処理工程における前記処理圧力が、6.7Pa以上67Pa以下の範囲内であることが好ましい。   In the method for forming an insulating film of the present invention, it is preferable that the processing pressure in the plasma reforming process is in a range of 6.7 Pa to 67 Pa.

また、本発明の絶縁膜の形成方法において、1回のプラズマ改質処理工程における処理時間が、5秒以上600秒以下の範囲内であることが好ましい。   In the method for forming an insulating film of the present invention, it is preferable that the processing time in one plasma reforming process is in the range of 5 seconds to 600 seconds.

また、本発明の絶縁膜の形成方法は、前記絶縁膜の合計膜厚が4nm以上1000nm以下の範囲内になるまで前記CVD工程と前記プラズマ改質処理工程とを繰り返すことが好ましい。   In the method for forming an insulating film of the present invention, it is preferable that the CVD process and the plasma reforming process are repeated until the total film thickness of the insulating film falls within a range of 4 nm to 1000 nm.

また、本発明の絶縁膜の形成方法において、前記CVD工程と前記プラズマ改質処理工程とを真空状態で繰り返すことが好ましい。   In the method for forming an insulating film of the present invention, it is preferable that the CVD process and the plasma modification treatment process are repeated in a vacuum state.

また、本発明の絶縁膜の形成方法において、前記プラズマ改質処理工程における処理温度が、200℃以上600℃以下の範囲内であることが好ましい。   In the method for forming an insulating film of the present invention, it is preferable that a processing temperature in the plasma reforming process is in a range of 200 ° C. or more and 600 ° C. or less.

また、本発明の絶縁膜の形成方法において、前記絶縁膜を、プラズマCVD法または熱CVD法によって形成することが好ましい。   In the insulating film forming method of the present invention, the insulating film is preferably formed by a plasma CVD method or a thermal CVD method.

また、本発明の絶縁膜の形成方法において、前記絶縁膜は、原料ガスとしてジクロルシランとNOを用いるCVD法によって堆積させられた酸化珪素膜であることが好ましい。 In the method for forming an insulating film of the present invention, the insulating film is preferably a silicon oxide film deposited by a CVD method using dichlorosilane and N 2 O as source gases.

本発明のコンピュータ読み取り可能な記憶媒体は、コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読み取り可能な記憶媒体であって、
前記制御プログラムは、実行時に、被処理体に対して所定の処理を行うための複数の処理チャンバを有する処理システムにおいて、被処理体の表面に露出したシリコンの上にCVD法によって2nm以上10nm以下の範囲内の膜厚で絶縁膜を形成するCVD工程と、前記絶縁膜に対し、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、酸素を含む処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程と、を繰り返し行う絶縁膜の形成方法が行なわれるように、コンピュータに前記処理システムを制御させるものである。
The computer-readable storage medium of the present invention is a computer-readable storage medium storing a control program that runs on a computer,
In the processing system having a plurality of processing chambers for performing predetermined processing on the object to be processed at the time of execution, the control program has a thickness of 2 nm or more and 10 nm or less by a CVD method on silicon exposed on the surface of the object to be processed. In a plasma process for forming an insulating film with a film thickness within the range of and a plasma processing apparatus for introducing microwaves into the processing chamber by a planar antenna having a plurality of holes for the insulating film, plasma of a processing gas containing oxygen The processing system is controlled by a computer so that a method for forming an insulating film is repeatedly performed by performing a plasma reforming process step in which a reforming process is performed using.

本発明の処理システムは、被処理体に対して異なる処理を行う複数の処理チャンバを有する処理システムであって、
第1の処理チャンバ内で被処理体の表面に露出したシリコンの上にCVD法によって2nm以上10nm以下の範囲内の膜厚で絶縁膜を形成するCVD工程と、前記第1の処理チャンバとは異なる第2の処理チャンバにおいて前記絶縁膜に対し、複数の孔を有する平面アンテナにより前記第2の処理チャンバ内にマイクロ波を導入することにより酸素を含む処理ガスのプラズマを形成し、該プラズマを用いてプラズマ改質処理を行うプラズマ改質処理工程と、を繰り返し行うように前記第1の処理チャンバおよび前記第2の処理チャンバを制御する制御部を備えている。
The processing system of the present invention is a processing system having a plurality of processing chambers for performing different processing on an object to be processed,
A CVD process for forming an insulating film with a film thickness within a range of 2 nm or more and 10 nm or less by a CVD method on silicon exposed on the surface of an object to be processed in the first processing chamber, and the first processing chamber In a different second processing chamber, a plasma of a processing gas containing oxygen is formed by introducing microwaves into the second processing chamber by a planar antenna having a plurality of holes with respect to the insulating film. And a controller for controlling the first processing chamber and the second processing chamber so as to repeatedly perform a plasma reforming process using the plasma reforming process.

本発明の絶縁膜の形成方法によれば、CVDによる絶縁膜の堆積とプラズマ改質処理による膜質の改善とを繰り返し行うことによって、膜質が緻密で、不純物やダングリングボンドが少ない良質な絶縁膜を所望の厚みで形成できる。したがって、本発明の絶縁膜の形成方法を、比較的厚い膜厚で膜質に優れた絶縁膜が必要とされるアプリケーション例えば薄膜トランジスタ(TFT)素子のゲート絶縁膜、フラッシュメモリ素子のトンネル酸化膜、各種半導体装置の相間絶縁膜等に適用することによって、電気的特性に優れた信頼性の高いデバイスを製造できる。   According to the method for forming an insulating film of the present invention, a high-quality insulating film having a dense film quality and less impurities and dangling bonds is obtained by repeatedly depositing the insulating film by CVD and improving the film quality by plasma modification treatment. Can be formed in a desired thickness. Therefore, the insulating film forming method of the present invention is applied to an application that requires a relatively thick film having excellent film quality, such as a gate insulating film of a thin film transistor (TFT) element, a tunnel oxide film of a flash memory element, By applying it to an interphase insulating film or the like of a semiconductor device, a highly reliable device having excellent electrical characteristics can be manufactured.

以下、本発明の実施の形態について図面を参照して詳細に説明する。まず、図1を参照しながら本発明の実施の形態に係る絶縁膜の形成方法が行われる基板処理システムについて説明を行う。図1は、例えば基板としての半導体ウエハ(以下、単に「ウエハ」と記す)Wに対し、例えば成膜処理、改質処理等の各種の処理を行なうように構成された基板処理システム200を示す概略構成図である。この基板処理システム200は、マルチチャンバ構造のクラスタツールとして構成されている。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. First, a substrate processing system in which an insulating film forming method according to an embodiment of the present invention is performed will be described with reference to FIG. FIG. 1 shows a substrate processing system 200 configured to perform various processes such as a film forming process and a reforming process on a semiconductor wafer (hereinafter simply referred to as “wafer”) W as a substrate, for example. It is a schematic block diagram. The substrate processing system 200 is configured as a cluster tool having a multi-chamber structure.

基板処理システム200は、主要な構成として、ウエハWに対して各種の処理を行う4つのプロセスモジュール101a,101b,101c,101dと、これらのプロセスモジュール101a〜101dに対してゲートバルブG1を介して接続された真空側搬送室103と、この真空側搬送室103にゲートバルブG2を介して接続された2つのロードロック室105a,105bと、これら2つのロードロック室105a,105bに対してゲートバルブG3を介して接続されたローダーユニット107とを備えている。   The substrate processing system 200 has four process modules 101a, 101b, 101c, and 101d that perform various processes on the wafer W as main components, and a gate valve G1 for these process modules 101a to 101d. The connected vacuum side transfer chamber 103, the two load lock chambers 105a and 105b connected to the vacuum side transfer chamber 103 via the gate valve G2, and the gate valve for these two load lock chambers 105a and 105b And a loader unit 107 connected via G3.

4つのプロセスモジュール101a〜101dは、ウエハWに対して例えばCVD処理、プラズマ改質処理などの処理を行う処理装置である。本実施の形態では、少なくとも、プロセスモジュール101a,101cにおいて、ウエハWに対してCVD法による成膜処理を行い、該成膜処理によって形成された酸化珪素膜に対して、プロセスモジュール101b,101dにおいてプラズマを作用させて改質を行うプラズマ改質処理と、を行うことができるように構成されている。なお、プロセスモジュール101a〜101dにおける処理内容の組み合わせは、スループットを考慮して適宜調整可能である。   The four process modules 101a to 101d are processing apparatuses that perform processing such as CVD processing and plasma modification processing on the wafer W, for example. In the present embodiment, at least in the process modules 101a and 101c, a film forming process is performed on the wafer W by the CVD method, and the silicon oxide films formed by the film forming process are processed in the process modules 101b and 101d. It is configured to be able to perform a plasma modification process in which modification is performed by applying plasma. The combination of processing contents in the process modules 101a to 101d can be adjusted as appropriate in consideration of throughput.

真空引き可能に構成された真空側搬送室103には、プロセスモジュール101a〜101dやロードロック室105a,105bに対してウエハWの受け渡しを行う第1の基板搬送装置としての搬送装置109が設けられている。この搬送装置109は、互いに対向するように配置された一対の搬送アーム部111a,111bを有している。各搬送アーム部111a,111bは同一の回転軸を中心として、屈伸及び旋回可能に構成されている。また、各搬送アーム部111a,111bの先端には、それぞれウエハWを載置して保持するためのフォーク113a,113bが設けられている。搬送装置109は、これらのフォーク113a,113b上にウエハWを載置した状態で、プロセスモジュール101a〜101d間、あるいはプロセスモジュール101a〜101dとロードロック室105a,105bとの間でウエハWの搬送を行う。   The vacuum-side transfer chamber 103 configured to be evacuated is provided with a transfer device 109 as a first substrate transfer device that transfers the wafer W to the process modules 101a to 101d and the load lock chambers 105a and 105b. ing. The transfer device 109 has a pair of transfer arm portions 111a and 111b arranged to face each other. Each of the transfer arm portions 111a and 111b is configured to bend and stretch and turn about the same rotation axis. Further, forks 113a and 113b for mounting and holding the wafer W are provided at the tips of the transfer arm portions 111a and 111b, respectively. The transfer device 109 transfers the wafer W between the process modules 101a to 101d or between the process modules 101a to 101d and the load lock chambers 105a and 105b with the wafer W placed on the forks 113a and 113b. I do.

ロードロック室105a,105b内には、それぞれウエハWを載置する載置台106a,106bが設けられている。ロードロック室105a,105bは、真空状態と大気開放状態を切り替えられるように構成されている。このロードロック室105a,105bの載置台106a,106bを介して、真空側搬送室103と大気側搬送室119(後述)との間でウエハWの受け渡しが行われる。   In the load lock chambers 105a and 105b, mounting tables 106a and 106b for mounting the wafer W are provided, respectively. The load lock chambers 105a and 105b are configured to be switched between a vacuum state and an air release state. The wafer W is transferred between the vacuum-side transfer chamber 103 and the atmosphere-side transfer chamber 119 (described later) via the loading tables 106a and 106b of the load lock chambers 105a and 105b.

ローダーユニット107は、ウエハWの搬送を行う第2の基板搬送装置としての搬送装置117が設けられた大気側搬送室119と、この大気側搬送室119に隣接配備された3つのロードポートLPと、大気側搬送室119の他の側面に隣接配備され、ウエハWの位置測定を行なう位置測定装置としてのオリエンタ121とを有している。   The loader unit 107 includes an atmosphere-side transfer chamber 119 provided with a transfer device 117 as a second substrate transfer device for transferring the wafer W, and three load ports LP disposed adjacent to the atmosphere-side transfer chamber 119. And an orienter 121 as a position measuring device for measuring the position of the wafer W, which is disposed adjacent to the other side surface of the atmosphere-side transfer chamber 119.

大気側搬送室119は、例えば窒素ガスや清浄空気がダウンフローしてクリーンな環境を形成する循環設備(図示省略)を備え、クリーンな環境が維持されている。大気側搬送室119は、平面視矩形形状をなしており、その長手方向に沿ってガイドレール123が設けられている。このガイドレール123に搬送装置117がスライド移動可能に支持されている。つまり、搬送装置117は図示しない駆動機構により、ガイドレール123に沿ってX方向へ移動可能に構成されている。この搬送装置117は、上下2段に配置された一対の搬送アーム部125a,125bを有している。各搬送アーム部125a,125bは屈伸及び旋回可能に構成されている。各搬送アーム部125a,125bの先端には、それぞれウエハWを載置して保持する保持部材としてのフォーク127a,127bが設けられている。搬送装置117は、これらのフォーク127a,127b上にウエハWを載置した状態で、ロードポートLPのウエハカセットCRと、ロードロック室105a,105bと、オリエンタ121との間でウエハWの搬送を行う。   The atmosphere-side transfer chamber 119 includes, for example, a circulation facility (not shown) that forms a clean environment by flowing down nitrogen gas or clean air, and the clean environment is maintained. The atmosphere-side transfer chamber 119 has a rectangular shape in plan view, and a guide rail 123 is provided along the longitudinal direction thereof. A conveying device 117 is supported on the guide rail 123 so as to be slidable. That is, the transport device 117 is configured to be movable in the X direction along the guide rail 123 by a drive mechanism (not shown). The transfer device 117 has a pair of transfer arm portions 125a and 125b arranged in two upper and lower stages. Each of the transfer arm portions 125a and 125b is configured to be able to bend and stretch and turn. Forks 127a and 127b as holding members for mounting and holding the wafer W are provided at the tips of the transfer arm portions 125a and 125b, respectively. The transfer device 117 transfers the wafer W between the wafer cassette CR of the load port LP, the load lock chambers 105a and 105b, and the orienter 121 in a state where the wafer W is placed on the forks 127a and 127b. Do.

ロードポートLPは、ウエハカセットCRを載置できるようになっている。ウエハカセットCRは、複数枚のウエハWを同じ間隔で多段に載置して収容できるように構成されている。   The load port LP can mount the wafer cassette CR. The wafer cassette CR is configured so that a plurality of wafers W can be placed and accommodated in multiple stages at the same interval.

オリエンタ121は、図示しない駆動モータによって回転される回転板133と、この回転板133の外周位置に設けられ、ウエハWの周縁部を検出するための光学センサ135とを備えている。   The orienter 121 includes a rotating plate 133 that is rotated by a drive motor (not shown) and an optical sensor 135 that is provided at the outer peripheral position of the rotating plate 133 and detects the peripheral edge of the wafer W.

以上のような構成を有する基板処理システム200においては、以下の手順でウエハWに対するCVD処理およびプラズマ改質処理が行われる。まず、大気側搬送室119の搬送装置117のフォーク127を用い、ロードポートLPのウエハカセットCRより1枚のウエハWが取り出され、オリエンタ121で位置合わせされた後、ロードロック室105a(または105b)に搬入される。ウエハWが載置台106a(または106b)に載置された状態でゲートバルブG3が閉じられ、ロードロック室105a(または105b)の内部が真空状態に減圧排気される。その後、ゲートバルブG2が開放され、真空側搬送室103内の搬送装置109のフォーク113によってウエハWがロードロック室105a(または105b)から運び出され、プロセスモジュール101a〜101dのいずれかに搬入される。   In the substrate processing system 200 having the above configuration, the CVD process and the plasma modification process are performed on the wafer W in the following procedure. First, using the fork 127 of the transfer device 117 in the atmosphere-side transfer chamber 119, one wafer W is taken out from the wafer cassette CR of the load port LP, aligned with the orienter 121, and then loaded into the load lock chamber 105 a (or 105 b). ). The gate valve G3 is closed while the wafer W is placed on the placement table 106a (or 106b), and the inside of the load lock chamber 105a (or 105b) is evacuated to a vacuum state. Thereafter, the gate valve G2 is opened, and the wafer W is carried out of the load lock chamber 105a (or 105b) by the fork 113 of the transfer device 109 in the vacuum side transfer chamber 103, and is transferred into any of the process modules 101a to 101d. .

本実施の形態では、例えばプロセスモジュール101a,101cでは、ウエハW上に絶縁膜例えば酸化珪素膜などを形成するCVD処理を行なうことができるように構成されている。また、プロセスモジュール101b,101dでは、前記絶縁膜を形成した後にその絶縁膜を改質するプラズマ改質処理を行なうことができるように構成されている。従って、搬送装置109によりロードロック室105a(または105b)から運び出されたウエハWは、まず、プロセスモジュール101a,101cのいずれかに搬入される。そして、ゲートバルブG1を閉じた後でウエハWに対してCVD処理が行われる。CVD処理によって、ウエハWのシリコンの上に絶縁膜が堆積形成される。   In the present embodiment, for example, the process modules 101a and 101c are configured such that a CVD process for forming an insulating film such as a silicon oxide film on the wafer W can be performed. Further, the process modules 101b and 101d are configured such that after the insulating film is formed, a plasma reforming process for modifying the insulating film can be performed. Accordingly, the wafer W carried out of the load lock chamber 105a (or 105b) by the transfer device 109 is first loaded into one of the process modules 101a and 101c. Then, after the gate valve G1 is closed, the CVD process is performed on the wafer W. An insulating film is deposited on the silicon of the wafer W by the CVD process.

次いで、前記ゲートバルブG1が開放され、CVD法による絶縁膜が形成されたウエハWが搬送装置109によりプロセスモジュール101a(または101c)から真空状態のままプロセスモジュール101b(または101d)に搬入される。そして、ゲートバルブG1が閉じられた後で前記絶縁膜に対してプラズマ改質処理が行われる。次に、プロセスモジュール101b(または101d)のゲートバルブG1が開放され、プラズマ改質処理されたウエハWが、搬送装置109により取り出され、ロードロック室105a(または105b)に搬入される。そして、前記とは逆の手順でロードポートLPのウエハカセットCRに処理済みのウエハWが収納され、基板処理システム200における1枚のウエハWに対する処理が完了する。なお、基板処理システム200における各処理装置の配置は、効率的に処理を行うことができる配置であれば、いかなる配置構成でもよい。さらに、基板処理システム200におけるプロセスモジュールの数は4つに限らず、5つ以上であってもよい。   Next, the gate valve G1 is opened, and the wafer W on which the insulating film is formed by the CVD method is transferred from the process module 101a (or 101c) to the process module 101b (or 101d) by the transfer device 109 while being in a vacuum state. Then, after the gate valve G1 is closed, a plasma reforming process is performed on the insulating film. Next, the gate valve G1 of the process module 101b (or 101d) is opened, and the plasma-modified wafer W is taken out by the transfer device 109 and loaded into the load lock chamber 105a (or 105b). Then, the processed wafer W is stored in the wafer cassette CR of the load port LP in the reverse procedure to the above, and the processing for one wafer W in the substrate processing system 200 is completed. In addition, as long as the arrangement | positioning of each processing apparatus in the substrate processing system 200 is an arrangement | positioning which can process efficiently, what kind of arrangement | positioning structure may be sufficient as it. Furthermore, the number of process modules in the substrate processing system 200 is not limited to four, and may be five or more.

図2は、基板処理システム200において行われるプラズマ改質処理に利用可能なプラズマ処理装置100の概略構成を模式的に示す断面図である。また、図3は、図2のプラズマ処理装置100の平面アンテナを示す平面図である。   FIG. 2 is a cross-sectional view schematically showing a schematic configuration of the plasma processing apparatus 100 that can be used for the plasma reforming process performed in the substrate processing system 200. FIG. 3 is a plan view showing a planar antenna of the plasma processing apparatus 100 of FIG.

プロセスモジュール101b,101dを構成するプラズマ処理装置100は、複数のスロット状の孔を有する平面アンテナ、特にRLSA(Radial Line Slot Antenna;ラジアルラインスロットアンテナ)にて処理室内にマイクロ波を導入することにより、高密度かつ低電子温度のマイクロ波励起プラズマを発生させ得るRLSAマイクロ波プラズマ処理装置として構成されている。プラズマ処理装置100では、1×1010〜5×1012/cmのプラズマ密度で、かつ0.7〜2eVの低電子温度を有するプラズマによる処理が可能である。従って、プラズマ処理装置100は、各種半導体装置の製造過程において、CVD法によって形成された酸化珪素膜(例えばSiO膜)を改質する目的で好適に利用できる。 The plasma processing apparatus 100 constituting the process modules 101b and 101d is configured by introducing microwaves into a processing chamber using a planar antenna having a plurality of slot-shaped holes, in particular, an RLSA (Radial Line Slot Antenna). It is configured as an RLSA microwave plasma processing apparatus that can generate microwave-excited plasma with high density and low electron temperature. In the plasma processing apparatus 100, processing with plasma having a plasma density of 1 × 10 10 to 5 × 10 12 / cm 3 and a low electron temperature of 0.7 to 2 eV is possible. Therefore, the plasma processing apparatus 100 can be suitably used for the purpose of modifying a silicon oxide film (for example, SiO 2 film) formed by a CVD method in the manufacturing process of various semiconductor devices.

プラズマ処理装置100は、主要な構成として、気密に構成されたチャンバ(処理室)1と、チャンバ1内にガスを供給するガス供給機構18と、チャンバ1内を減圧排気するための排気機構としての排気装置24と、チャンバ1の上部に設けられ、チャンバ1内にマイクロ波を導入するマイクロ波導入機構27と、これらプラズマ処理装置100の各構成部を制御する制御部50と、を備えている。   The plasma processing apparatus 100 includes, as main components, an airtight chamber (processing chamber) 1, a gas supply mechanism 18 for supplying gas into the chamber 1, and an exhaust mechanism for evacuating the chamber 1 under reduced pressure. An exhaust device 24, a microwave introduction mechanism 27 for introducing a microwave into the chamber 1, and a control unit 50 for controlling each component of the plasma processing apparatus 100. Yes.

チャンバ1は、接地された略円筒状の容器により形成されている。なお、チャンバ1は角筒形状の容器により形成してもよい。チャンバ1は、アルミニウム等の材質からなる底壁1aと側壁1bとを有している。   The chamber 1 is formed of a substantially cylindrical container that is grounded. The chamber 1 may be formed by a rectangular tube-shaped container. The chamber 1 has a bottom wall 1a and a side wall 1b made of a material such as aluminum.

チャンバ1の内部には、被処理体であるウエハWを水平に支持するための載置台2が設けられている。載置台2は、熱伝導性の高い材質例えばAlN等のセラミックスにより構成されている。この載置台2は、排気室11の底部中央から上方に延びる円筒状の支持部材3により支持されている。支持部材3は、例えばAlN等のセラミックスにより構成されている。   Inside the chamber 1 is provided a mounting table 2 for horizontally supporting a wafer W as an object to be processed. The mounting table 2 is made of a material having high thermal conductivity, such as ceramics such as AlN. The mounting table 2 is supported by a cylindrical support member 3 extending upward from the center of the bottom of the exhaust chamber 11. The support member 3 is made of ceramics such as AlN, for example.

また、載置台2には、その外縁部をカバーし、ウエハWをガイドするためのカバーリング4が設けられている。このカバーリング4は、例えば石英、AlN、Al、SiN等の材質で構成された環状部材である。 Further, the mounting table 2 is provided with a cover ring 4 that covers the outer edge portion thereof and guides the wafer W. The cover ring 4 is an annular member made of a material such as quartz, AlN, Al 2 O 3 , or SiN.

また、載置台2には、温度調節機構としての抵抗加熱型のヒータ5が埋め込まれている。このヒータ5は、ヒータ電源5aから給電されることにより載置台2を加熱して、その熱で被処理基板であるウエハWを均一に加熱する。   In addition, a resistance heating type heater 5 as a temperature adjusting mechanism is embedded in the mounting table 2. The heater 5 is heated by the heater power supply 5a to heat the mounting table 2 and uniformly heats the wafer W, which is a substrate to be processed, with the heat.

また、載置台2には、熱電対(TC)6が配備されている。この熱電対6によって温度計測を行うことにより、ウエハWの加熱温度を例えば室温から900℃までの範囲で制御可能となっている。   The mounting table 2 is provided with a thermocouple (TC) 6. By measuring the temperature with the thermocouple 6, the heating temperature of the wafer W can be controlled in a range from room temperature to 900 ° C., for example.

また、載置台2には、ウエハWを支持して昇降させるためのウエハ支持ピン(図示せず)が設けられている。各ウエハ支持ピンは、載置台2の表面に対して突没可能に設けられている。   The mounting table 2 is provided with wafer support pins (not shown) for supporting the wafer W and raising and lowering it. Each wafer support pin is provided so as to protrude and retract with respect to the surface of the mounting table 2.

チャンバ1の内周には、石英からなる円筒状のライナー7が設けられている。また、載置台2の外周側には、チャンバ1内を均一排気するため、多数の排気孔8aを有する石英製のバッフルプレート8が環状に設けられている。このバッフルプレート8は、複数の支柱9により支持されている。   A cylindrical liner 7 made of quartz is provided on the inner periphery of the chamber 1. In addition, a quartz baffle plate 8 having a large number of exhaust holes 8 a is annularly provided on the outer peripheral side of the mounting table 2 in order to uniformly exhaust the inside of the chamber 1. The baffle plate 8 is supported by a plurality of support columns 9.

チャンバ1の底壁1aの略中央部には、円形の開口部10が形成されている。底壁1aにはこの開口部10と連通し、下方に向けて突出する排気室11が設けられている。この排気室11には、排気管12が接続されており、この排気管12を介して排気装置24に接続されている。   A circular opening 10 is formed at a substantially central portion of the bottom wall 1 a of the chamber 1. An exhaust chamber 11 that communicates with the opening 10 and protrudes downward is provided on the bottom wall 1a. An exhaust pipe 12 is connected to the exhaust chamber 11 and is connected to an exhaust device 24 via the exhaust pipe 12.

チャンバ1の上部には、環状のアッパープレート13が接合されている。アッパープレート13の内周は、内側(チャンバ内空間)へ向けて突出し、環状の支持部13aを形成している。   An annular upper plate 13 is joined to the upper portion of the chamber 1. The inner periphery of the upper plate 13 protrudes toward the inner side (chamber inner space) to form an annular support portion 13a.

チャンバ1の側壁1bには、環状をなすガス導入部15が設けられている。このガス導入部15は、酸素含有ガスやプラズマ励起用ガスを供給するガス供給機構18に接続されている。なお、ガス導入部15はノズル状またはシャワー状に設けてもよい。   An annular gas inlet 15 is provided on the side wall 1 b of the chamber 1. The gas introduction unit 15 is connected to a gas supply mechanism 18 that supplies an oxygen-containing gas and a plasma excitation gas. The gas introduction part 15 may be provided in a nozzle shape or a shower shape.

また、チャンバ1の側壁1bには、プラズマ処理装置100と、これに隣接する搬送室103(図1参照)との間で、ウエハWの搬入出を行うための搬入出口16と、この搬入出口16を開閉するゲートバルブG1とが設けられている。   Further, on the side wall 1b of the chamber 1, a loading / unloading port 16 for loading / unloading the wafer W between the plasma processing apparatus 100 and the transfer chamber 103 (see FIG. 1) adjacent thereto is provided, and this loading / unloading port. A gate valve G1 for opening and closing 16 is provided.

ガス供給機構18は、例えば不活性ガス供給源19a、酸素含有ガス供給源19bおよび水素ガス供給源19cを有している。なお、ガス供給機構18は、上記以外の図示しないガス供給源として、例えばチャンバ1内雰囲気を置換する際に用いるパージガス供給源、チャンバ1内をクリーニングする際に用いるクリーニングガス供給源等を有していてもよい。   The gas supply mechanism 18 includes, for example, an inert gas supply source 19a, an oxygen-containing gas supply source 19b, and a hydrogen gas supply source 19c. The gas supply mechanism 18 includes, as gas supply sources (not shown) other than the above, for example, a purge gas supply source used when replacing the atmosphere in the chamber 1, a cleaning gas supply source used when cleaning the inside of the chamber 1, and the like. It may be.

不活性ガスとしては、例えばNガスや希ガスなどを用いることができる。希ガスとしては、例えばArガス、Krガス、Xeガス、Heガスなどを用いることができる。これらの中でも、経済性に優れている点でArガスを用いることが特に好ましい。また、酸素含有ガスとしては、例えば酸素ガス(O)、水蒸気(HO)、一酸化窒素(NO)、一酸化二窒素(NO)などを用いることができる。 As the inert gas, for example, N 2 gas or rare gas can be used. As the rare gas, for example, Ar gas, Kr gas, Xe gas, He gas, or the like can be used. Among these, it is particularly preferable to use Ar gas because it is economical. As the oxygen-containing gas, for example, oxygen gas (O 2 ), water vapor (H 2 O), nitrogen monoxide (NO), dinitrogen monoxide (N 2 O), or the like can be used.

不活性ガス、酸素含有ガスおよび水素ガスは、ガス供給機構18の不活性ガス供給源19a、酸素含有ガス供給源19bおよび水素ガス供給源19cから、ガスライン20を介してガス導入部15に至り、ガス導入部15からチャンバ1内に導入される。各ガス供給源に接続する各々のガスライン20には、マスフローコントローラ21およびその前後の開閉バルブ22が設けられている。このようなガス供給機構18の構成により、供給されるガスの切替えや流量等の制御が出来るようになっている。   The inert gas, oxygen-containing gas, and hydrogen gas reach the gas introduction unit 15 through the gas line 20 from the inert gas supply source 19a, the oxygen-containing gas supply source 19b, and the hydrogen gas supply source 19c of the gas supply mechanism 18. The gas is introduced into the chamber 1 from the gas introduction part 15. Each gas line 20 connected to each gas supply source is provided with a mass flow controller 21 and front and rear opening / closing valves 22. With such a configuration of the gas supply mechanism 18, the supplied gas can be switched and the flow rate can be controlled.

排気機構としての排気装置24は、例えばターボ分子ポンプなどの高速真空ポンプを備えている。前記のように、排気装置24は、排気管12を介してチャンバ1の排気室11に接続されている。チャンバ1内のガスは、排気室11の空間11a内へ均一に流れ、さらに空間11aから排気装置24を作動させることにより、排気管12を介して外部へ排気される。これにより、チャンバ1内を所定の真空度、例えば0.133Paまで高速に減圧することが可能となっている。   The exhaust device 24 as an exhaust mechanism includes a high-speed vacuum pump such as a turbo molecular pump. As described above, the exhaust device 24 is connected to the exhaust chamber 11 of the chamber 1 through the exhaust pipe 12. The gas in the chamber 1 flows uniformly into the space 11a of the exhaust chamber 11, and is further exhausted to the outside through the exhaust pipe 12 by operating the exhaust device 24 from the space 11a. Thereby, the inside of the chamber 1 can be depressurized at a high speed to a predetermined degree of vacuum, for example, 0.133 Pa.

次に、マイクロ波導入機構27の構成について説明する。マイクロ波導入機構27は、主要な構成として、透過板28、平面アンテナ31、遅波材33、カバー34、導波管37、マッチング回路38およびマイクロ波発生装置39を備えている。   Next, the configuration of the microwave introduction mechanism 27 will be described. The microwave introduction mechanism 27 includes a transmission plate 28, a planar antenna 31, a slow wave material 33, a cover 34, a waveguide 37, a matching circuit 38, and a microwave generator 39 as main components.

マイクロ波を透過させる透過板28は、アッパープレート13において内周側に張り出した支持部13a上に配備されている。透過板28は、誘電体、例えば石英やAl、AlN等のセラミックスから構成されている。この透過板28と支持部13aとの間は、シール部材29を介して気密にシールされている。したがって、チャンバ1内は気密に保持される。 The transmission plate 28 that transmits microwaves is disposed on a support portion 13 a that protrudes to the inner peripheral side of the upper plate 13. The transmission plate 28 is made of a dielectric, for example, ceramics such as quartz, Al 2 O 3 , and AlN. A gap between the transmission plate 28 and the support portion 13a is hermetically sealed through a seal member 29. Therefore, the inside of the chamber 1 is kept airtight.

平面アンテナ31は、透過板28の上方において、載置台2と対向するように設けられている。平面アンテナ31は、円板状をなしている。なお、平面アンテナ31の形状は、円板状に限らず、例えば四角板状でもよい。この平面アンテナ31は、アッパープレート13の上端に係止されている。   The planar antenna 31 is provided above the transmission plate 28 so as to face the mounting table 2. The planar antenna 31 has a disk shape. The shape of the planar antenna 31 is not limited to a disk shape, and may be a square plate shape, for example. The planar antenna 31 is locked to the upper end of the upper plate 13.

平面アンテナ31は、例えば表面が金または銀メッキされた銅板またはアルミニウム板から構成されている。平面アンテナ31は、マイクロ波を放射する多数のスロット状のマイクロ波放射孔32を有している。マイクロ波放射孔32は、所定のパターンで平面アンテナ31を貫通して形成されている。   The planar antenna 31 is made of, for example, a copper plate or an aluminum plate having a surface plated with gold or silver. The planar antenna 31 has a number of slot-shaped microwave radiation holes 32 that radiate microwaves. The microwave radiation holes 32 are formed through the planar antenna 31 in a predetermined pattern.

個々のマイクロ波放射孔32は、例えば図3に示すように、細長い長方形状(スロット状)をなしている。そして、典型的には隣接するマイクロ波放射孔32が「T」字状に配置されている。また、このように所定の形状(例えばT字状)に組み合わせて配置されたマイクロ波放射孔32は、さらに全体として同心円状に配置されている。   Each microwave radiation hole 32 has an elongated rectangular shape (slot shape), for example, as shown in FIG. And typically, the adjacent microwave radiation holes 32 are arranged in a “T” shape. Further, the microwave radiation holes 32 arranged in combination in a predetermined shape (for example, T shape) are further arranged concentrically as a whole.

マイクロ波放射孔32の長さや配列間隔は、マイクロ波の波長(λg)に応じて決定される。例えば、マイクロ波放射孔32の間隔は、λg/4、λg/2またはλgとなるように配置される。なお、図3においては、同心円状に形成された隣接するマイクロ波放射孔32どうしの間隔をΔrで示している。なお、マイクロ波放射孔32の形状は、円形状、円弧状等の他の形状であってもよい。さらに、マイクロ波放射孔32の配置形態は特に限定されず、同心円状のほか、例えば、螺旋状、放射状等に配置することもできる。   The length and arrangement interval of the microwave radiation holes 32 are determined according to the wavelength (λg) of the microwave. For example, the interval between the microwave radiation holes 32 is arranged to be λg / 4, λg / 2, or λg. In FIG. 3, the interval between adjacent microwave radiation holes 32 formed concentrically is indicated by Δr. Note that the microwave radiation hole 32 may have another shape such as a circular shape or an arc shape. Furthermore, the arrangement form of the microwave radiation holes 32 is not particularly limited, and may be arranged in a spiral shape, a radial shape, or the like in addition to a concentric shape.

平面アンテナ31の上面には、真空よりも大きい誘電率を有する遅波材33が設けられている。この遅波材33は、真空中ではマイクロ波の波長が長くなることから、マイクロ波の波長を短くしてプラズマを調整する機能を有している。遅波材33の材質としては、例えば石英、ポリテトラフルオロエチレン樹脂、ポリイミド樹脂などを用いることができる。   A slow wave material 33 having a dielectric constant larger than that of a vacuum is provided on the upper surface of the planar antenna 31. The slow wave material 33 has a function of adjusting the plasma by shortening the wavelength of the microwave because the wavelength of the microwave becomes longer in vacuum. As the material of the slow wave material 33, for example, quartz, polytetrafluoroethylene resin, polyimide resin or the like can be used.

なお、平面アンテナ31と透過板28との間、また、遅波材33と平面アンテナ31との間は、それぞれ接触させても離間させてもよいが、接触させることが好ましい。   The planar antenna 31 and the transmission plate 28 and the slow wave member 33 and the planar antenna 31 may be brought into contact with or separated from each other, but they are preferably brought into contact with each other.

チャンバ1の上部には、これら平面アンテナ31および遅波材33を覆うように、カバー34が設けられている。カバー34は、例えばアルミニウムやステンレス鋼等の金属材料によって形成されている。アッパープレート13の上端とカバー34とは、シール部材35によりシールされている。また、カバー34の内部には、冷却水流路34aが形成されている。この冷却水流路34aに冷却水を通流させることにより、カバー34、遅波材33、平面アンテナ31および透過板28を冷却できるようになっている。なお、カバー34は接地されている。   A cover 34 is provided on the upper portion of the chamber 1 so as to cover the planar antenna 31 and the slow wave material 33. The cover 34 is made of a metal material such as aluminum or stainless steel. The upper end of the upper plate 13 and the cover 34 are sealed by a seal member 35. A cooling water channel 34 a is formed inside the cover 34. By allowing the cooling water to flow through the cooling water flow path 34a, the cover 34, the slow wave material 33, the planar antenna 31 and the transmission plate 28 can be cooled. The cover 34 is grounded.

カバー34の上壁(天井部)の中央には、開口部36が形成されており、この開口部36には導波管37が接続されている。導波管37の他端側には、マッチング回路38を介してマイクロ波を発生するマイクロ波発生装置39が接続されている。   An opening 36 is formed at the center of the upper wall (ceiling) of the cover 34, and a waveguide 37 is connected to the opening 36. A microwave generator 39 that generates microwaves is connected to the other end of the waveguide 37 via a matching circuit 38.

導波管37は、上記カバー34の開口部36から上方へ延出する断面円形状の同軸導波管37aと、この同軸導波管37aの上端部にモード変換器40を介して接続された水平方向に延びる矩形導波管37bとを有している。モード変換器40は、矩形導波管37b内をTEモードで伝播するマイクロ波をTEMモードに変換する機能を有している。   The waveguide 37 is connected to a coaxial waveguide 37a having a circular cross section extending upward from the opening 36 of the cover 34, and an upper end portion of the coaxial waveguide 37a via a mode converter 40. And a rectangular waveguide 37b extending in the horizontal direction. The mode converter 40 has a function of converting the microwave propagating in the TE mode in the rectangular waveguide 37b into the TEM mode.

同軸導波管37aの中心には内導体41が延在している。この内導体41は、その下端部において平面アンテナ31の中心に接続固定されている。このような構造により、マイクロ波は、同軸導波管37aの内導体41を介して平面アンテナ31へ放射状に効率よく均一に伝播される。   An inner conductor 41 extends at the center of the coaxial waveguide 37a. The inner conductor 41 is connected and fixed to the center of the planar antenna 31 at its lower end. With such a structure, the microwave is efficiently and uniformly propagated radially and uniformly to the planar antenna 31 via the inner conductor 41 of the coaxial waveguide 37a.

以上のような構成のマイクロ波導入機構27により、マイクロ波発生装置39で発生したマイクロ波が導波管37を介して平面アンテナ31へ伝搬され、さらに透過板28を介してチャンバ1内に導入されるようになっている。なお、マイクロ波の周波数としては、例えば2.45GHzが好ましく用いられ、他に8.35GHz、1.98GHz等を用いることもできる。   With the microwave introduction mechanism 27 configured as described above, the microwave generated by the microwave generator 39 is propagated to the planar antenna 31 via the waveguide 37 and further introduced into the chamber 1 via the transmission plate 28. It has come to be. For example, 2.45 GHz is preferably used as the frequency of the microwave, and 8.35 GHz, 1.98 GHz, or the like can also be used.

プラズマ処理装置100の各構成部は、制御部50に接続されて制御される構成となっている。制御部50は、コンピュータを有しており、例えば図4に示したように、CPUを備えたプロセスコントローラ51と、このプロセスコントローラ51に接続されたユーザーインターフェース52および記憶部53を備えている。プロセスコントローラ51は、プラズマ処理装置100において、例えば温度、圧力、ガス流量、マイクロ波出力などのプロセス条件に関係する各構成部(例えば、ヒータ電源5a、ガス供給機構18、排気装置24、マイクロ波発生装置39など)を統括して制御する制御手段である。   Each component of the plasma processing apparatus 100 is connected to and controlled by the controller 50. The control unit 50 includes a computer, and includes a process controller 51 having a CPU, a user interface 52 connected to the process controller 51, and a storage unit 53, as shown in FIG. In the plasma processing apparatus 100, the process controller 51 is a component related to process conditions such as temperature, pressure, gas flow rate, and microwave output (for example, the heater power supply 5a, the gas supply mechanism 18, the exhaust device 24, the microwave). This is a control means for controlling the generator 39 and the like in an integrated manner.

ユーザーインターフェース52は、工程管理者がプラズマ処理装置100を管理するためにコマンドの入力操作等を行うキーボードや、プラズマ処理装置100の稼働状況を可視化して表示するディスプレイ等を有している。また、記憶部53には、プラズマ処理装置100で実行される各種処理をプロセスコントローラ51の制御にて実現するための制御プログラム(ソフトウエア)や処理条件データ等が記録されたレシピが保存されている。   The user interface 52 includes a keyboard on which a process manager manages command input to manage the plasma processing apparatus 100, a display that visualizes and displays the operating status of the plasma processing apparatus 100, and the like. The storage unit 53 stores a recipe in which a control program (software) for realizing various processes executed by the plasma processing apparatus 100 under the control of the process controller 51 and processing condition data are recorded. Yes.

そして、必要に応じて、ユーザーインターフェース52からの指示等にて任意のレシピを記憶部53から呼び出してプロセスコントローラ51に実行させることで、プロセスコントローラ51の制御下、プラズマ処理装置100のチャンバ1内で所望の処理が行われる。また、前記制御プログラムや処理条件データ等のレシピは、コンピュータ読み取り可能な記憶媒体、例えばCD−ROM、ハードディスク、フレキシブルディスク、フラッシュメモリ、DVD、ブルーレイディスクなどに格納された状態のものを利用したり、あるいは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。   If necessary, an arbitrary recipe is called from the storage unit 53 according to an instruction from the user interface 52 and is executed by the process controller 51, so that the process controller 51 controls the inside of the chamber 1 of the plasma processing apparatus 100. The desired process is performed. The recipes such as the control program and processing condition data may be stored in a computer-readable storage medium such as a CD-ROM, a hard disk, a flexible disk, a flash memory, a DVD, or a Blu-ray disk. Alternatively, it may be transmitted from other devices as needed via, for example, a dedicated line and used online.

このように構成されたプラズマ処理装置100では、800℃以下の低温で下地膜等へのダメージフリーなプラズマ処理を行うことができる。また、プラズマ処理装置100は、プラズマの均一性に優れていることから、ウエハWの面内で処理の均一性を実現できる。   In the plasma processing apparatus 100 configured as described above, it is possible to perform damage-free plasma processing on the base film or the like at a low temperature of 800 ° C. or lower. In addition, since the plasma processing apparatus 100 is excellent in plasma uniformity, it is possible to achieve processing uniformity within the surface of the wafer W.

図5に、プロセスモジュール101a,101cとして適用可能な枚葉CVD成膜装置300の概略の構成例を示した。この枚葉CVD成膜装置300は、気密に構成された略円筒状の処理容器301を有している。処理容器301の中には被処理体であるウエハWを水平に支持するためのサセプタ303が配備されている。サセプタ303は、円筒状の支持部材305により支持されている。また、サセプタ303には,ヒータ307が埋め込まれている。このヒータ307はヒータ電源309から給電されることにより、ウエハWを所定の温度に加熱する。   FIG. 5 shows a schematic configuration example of a single wafer CVD film forming apparatus 300 applicable as the process modules 101a and 101c. The single wafer CVD film forming apparatus 300 includes a substantially cylindrical processing container 301 that is airtight. In the processing container 301, a susceptor 303 for horizontally supporting a wafer W as an object to be processed is provided. The susceptor 303 is supported by a cylindrical support member 305. A heater 307 is embedded in the susceptor 303. The heater 307 is supplied with power from the heater power source 309 to heat the wafer W to a predetermined temperature.

処理容器301の開閉天壁301aには、シャワーヘッド311が設けられている。このシャワーヘッド311は、内部にガス拡散空間311aを有している。また、シャワーヘッド311の下面には、ガス拡散空間311aに連通する多数のガス吐出孔313が形成されている。また、シャワーヘッド311の中央部には、ガス拡散空間311aに連通するガス供給配管315が接続されている。このガス供給配管315は、マスフローコントローラ(MFC)317と、その前後に配備されたバルブ318a,318bを介して、例えばジクロルシラン、一酸化二窒素(NO)などの成膜原料ガスや処理容器301内の雰囲気置換をするためのパージガスなどを供給するガス供給源319に接続されている。そして、ガス供給源319から、ガス供給配管315、マスフローコントローラ317を介して、前記成膜原料ガスなどがシャワーヘッド311へ供給される。 A shower head 311 is provided on the open / close top wall 301 a of the processing container 301. The shower head 311 has a gas diffusion space 311a inside. In addition, a large number of gas discharge holes 313 communicating with the gas diffusion space 311 a are formed on the lower surface of the shower head 311. A gas supply pipe 315 communicating with the gas diffusion space 311a is connected to the center of the shower head 311. This gas supply pipe 315 is provided with a film forming source gas such as dichlorosilane, dinitrogen monoxide (N 2 O), or a processing container via a mass flow controller (MFC) 317 and valves 318a and 318b arranged before and after the mass flow controller (MFC) 317. It is connected to a gas supply source 319 for supplying a purge gas for replacing the atmosphere in 301. Then, the film forming source gas and the like are supplied from the gas supply source 319 to the shower head 311 via the gas supply pipe 315 and the mass flow controller 317.

処理容器301の底壁301bには、排気孔331が形成されており、この排気孔331には排気管333を介して排気装置335が接続されている。そしてこの排気装置335を作動させることにより処理容器301内を所定の真空度まで減圧できるように構成されている。なお、シャワーヘッド311に、図示しない高周波電源から高周波電力を供給することにより、シャワーヘッド311を介して処理容器301内に供給された原料ガスをプラズマ化して成膜することもできる。   An exhaust hole 331 is formed in the bottom wall 301 b of the processing container 301, and an exhaust device 335 is connected to the exhaust hole 331 through an exhaust pipe 333. The exhaust device 335 is operated so that the inside of the processing vessel 301 can be depressurized to a predetermined vacuum level. Note that, by supplying high frequency power from a high frequency power source (not shown) to the shower head 311, the source gas supplied into the processing container 301 through the shower head 311 can be converted into plasma to form a film.

また、処理容器301の側壁301cには、ウエハWを搬入、搬出するための搬入出口337が設けられており、この搬入出口337を介してウエハWの搬入出が行われる。搬入出口337は、ゲートバルブG1によって開閉される。   Further, a loading / unloading port 337 for loading / unloading the wafer W is provided on the side wall 301 c of the processing container 301, and the wafer W is loaded / unloaded through the loading / unloading port 337. The loading / unloading port 337 is opened and closed by the gate valve G1.

以上のような構成の枚葉CVD成膜装置300では、サセプタ303にウエハWを載置した状態で、ヒータ307によりウエハWを加熱しつつ、シャワーヘッド311からウエハWへ向けて原料ガスを供給することにより、ウエハWの表面に例えばSiO膜の薄膜をCVD法により成膜することができる。 In the single wafer CVD film forming apparatus 300 configured as described above, the source gas is supplied from the shower head 311 toward the wafer W while the wafer W is heated by the heater 307 while the wafer W is placed on the susceptor 303. As a result, a thin film of, for example, a SiO 2 film can be formed on the surface of the wafer W by the CVD method.

以上の構成を有する枚葉CVD成膜装置300も、制御部50(図4参照)によって制御される。なお、CVD成膜装置としては、枚葉式に限らず、バッチ式の成膜装置を使用することも可能である。   The single wafer CVD film forming apparatus 300 having the above configuration is also controlled by the control unit 50 (see FIG. 4). The CVD film forming apparatus is not limited to a single wafer type, and a batch type film forming apparatus can also be used.

次に、基板処理システム200において行われる、プラズマ改質処理を含む絶縁膜の形成方法について図6および図7を参照しながら説明する。図6は、絶縁膜としての酸化珪素膜の成膜工程とその改質処理工程を含む酸化珪素膜の形成方法の流れを示すフロー図であり、図7は、その主要な工程を説明する図面である。   Next, a method for forming an insulating film including a plasma modification process performed in the substrate processing system 200 will be described with reference to FIGS. FIG. 6 is a flowchart showing a flow of a silicon oxide film forming method including a film forming process of a silicon oxide film as an insulating film and a reforming process thereof, and FIG. 7 is a drawing for explaining the main process. It is.

本実施の形態の酸化珪素膜の形成方法は、例えば図6に示したステップS1からステップS5の手順により実施される。まず、図6のステップS1では、真空側搬送室103内の搬送装置109により、処理対象のウエハWをCVD成膜装置(プロセスモジュール101aまたは101c)に搬入する。   The silicon oxide film forming method of the present embodiment is performed, for example, by the procedure from step S1 to step S5 shown in FIG. First, in step S <b> 1 of FIG. 6, the wafer W to be processed is loaded into the CVD film forming apparatus (process module 101 a or 101 c) by the transfer device 109 in the vacuum side transfer chamber 103.

次に、ステップS2では、図7(a)に示したように、ウエハWの表面に露出したシリコン層201の上に、CVD法による成膜処理を行う。これにより、図7(b)に示したように、シリコン層201の上に絶縁膜としての酸化珪素膜202が形成される。このCVD法として、基板処理システム200を使用する本実施の形態では熱CVD法を用いるが、例えばプラズマCVD法、減圧CVD法、常圧CVD法などの方法で成膜を行うことが可能である。   Next, in step S2, as shown in FIG. 7A, a film forming process by a CVD method is performed on the silicon layer 201 exposed on the surface of the wafer W. As a result, as shown in FIG. 7B, a silicon oxide film 202 as an insulating film is formed on the silicon layer 201. As this CVD method, a thermal CVD method is used in the present embodiment using the substrate processing system 200, but it is possible to form a film by a method such as a plasma CVD method, a low pressure CVD method, or an atmospheric pressure CVD method. .

CVD法による成膜処理によってウエハWのシリコン層201上に形成される酸化珪素膜202の膜厚Tは、後に行われるプラズマ改質処理工程で十分な改質効果を得る観点から、2nm以上10nm以下の範囲内が好ましく、4nm以上8nm以下の範囲内がより好ましい。改質処理の対象となる酸化珪素膜202の膜厚Tが、2nm未満では、所望の膜厚まで厚膜化するまでの繰り返し回数が多くなり、非効率である。一方、酸化珪素膜202の膜厚Tが、10nm超の場合には、後述するように厚み方向の全体を十分に改質することが困難になる。 Thickness T 1 of the silicon oxide film 202 is formed on the silicon layer 201 of the wafer W by a film forming process by the CVD method, from the viewpoint of obtaining sufficient modification effect by the plasma modification treatment step to be performed later, 2 nm or more It is preferably within the range of 10 nm or less, and more preferably within the range of 4 nm or more and 8 nm or less. Thickness T 1 of the silicon oxide film 202 as a target of modification treatment is less than 2 nm, becomes large number of iterations until the thickening to the desired thickness, which is inefficient. On the other hand, the thickness T 1 of the silicon oxide film 202 is, in the case of 10nm greater, it is difficult to sufficiently modify the entire thickness direction as described later.

次に、ステップS3では、酸化珪素膜202が形成されたウエハWをプラズマ改質処理装置としてのプラズマ処理装置100(プロセスモジュール101bまたは101d)に移送する。この移送は、真空側搬送室103内の搬送装置109によって真空状態のまま実施される。次に、ステップS4では、図7(c)に示したように、酸化珪素膜202に対してプラズマ改質処理を実施する。プラズマ処理装置100を用いて行われるプラズマ改質処理の手順および条件は、以下のとおりである。   Next, in step S3, the wafer W on which the silicon oxide film 202 is formed is transferred to a plasma processing apparatus 100 (process module 101b or 101d) as a plasma modification processing apparatus. This transfer is performed in a vacuum state by the transfer device 109 in the vacuum side transfer chamber 103. Next, in step S4, as shown in FIG. 7C, a plasma reforming process is performed on the silicon oxide film 202. The procedure and conditions of the plasma modification process performed using the plasma processing apparatus 100 are as follows.

[プラズマ改質処理の手順]
まず、プラズマ処理装置100のチャンバ1内を減圧排気しながら、ガス供給機構18の不活性ガス供給源19aおよび酸素含有ガス供給源19bから、不活性ガスおよび酸素含有ガスを所定の流量でそれぞれガス導入部15を介してチャンバ1内に導入する。このようにして、チャンバ1内を所定の圧力に調節する。
[Plasma reforming procedure]
First, while evacuating the chamber 1 of the plasma processing apparatus 100 under reduced pressure, the inert gas and the oxygen-containing gas are respectively supplied from the inert gas supply source 19a and the oxygen-containing gas supply source 19b of the gas supply mechanism 18 at a predetermined flow rate. It introduces into the chamber 1 through the introduction part 15. In this way, the inside of the chamber 1 is adjusted to a predetermined pressure.

次に、マイクロ波発生装置39で発生させた所定周波数例えば2.45GHzのマイクロ波を、マッチング回路38を介して導波管37に導く。導波管37に導かれたマイクロ波は、矩形導波管37bおよび同軸導波管37aを順次通過し、内導体41を介して平面アンテナ31に供給される。つまり、マイクロ波は、矩形導波管37b内ではTEモードで伝搬し、このTEモードのマイクロ波はモード変換器40でTEMモードに変換されて、同軸導波管37a内を平面アンテナ31に向けて伝搬されていく。そして、マイクロ波は、平面アンテナ31に貫通形成されたスロット状のマイクロ波放射孔32から透過板28を介してチャンバ1内におけるウエハWの上方空間に放射される。この際のマイクロ波出力は、例えば200mm径以上のウエハWを処理する場合には、2000W以上4000W以下の範囲内から目的に応じて選択することができる。   Next, a microwave having a predetermined frequency, for example, 2.45 GHz, generated by the microwave generator 39 is guided to the waveguide 37 via the matching circuit 38. The microwave guided to the waveguide 37 sequentially passes through the rectangular waveguide 37 b and the coaxial waveguide 37 a and is supplied to the planar antenna 31 through the inner conductor 41. That is, the microwave propagates in the TE mode in the rectangular waveguide 37b, and the TE mode microwave is converted into the TEM mode by the mode converter 40, and the inside of the coaxial waveguide 37a is directed to the planar antenna 31. Will be propagated. Then, the microwave is radiated from the slot-shaped microwave radiation hole 32 formed through the planar antenna 31 to the space above the wafer W in the chamber 1 through the transmission plate 28. The microwave output at this time can be selected according to the purpose within the range of 2000 W or more and 4000 W or less, for example, when processing a wafer W having a diameter of 200 mm or more.

平面アンテナ31から透過板28を経てチャンバ1に放射されたマイクロ波により、チャンバ1内で電磁界が形成され、不活性ガスおよび酸素含有ガスがそれぞれプラズマ化する。このマイクロ波励起プラズマは、マイクロ波が平面アンテナ31の多数のマイクロ波放射孔32から放射されることにより、略1×1010〜5×1012/cmの高密度で、かつウエハW近傍では、略1.2eV以下の低電子温度プラズマとなる。このようにして形成されるプラズマは、下地膜へのイオン等によるプラズマダメージが少ないものである。そして、プラズマ中で活性種の主体となるO イオンやO()ラジカルの作用によりプラズマ改質処理が行われ、ダングリングボンドやウィークボンドが終端し、Si−O結合を形成して緻密で欠陥のない酸化珪素膜が形成される。 An electromagnetic field is formed in the chamber 1 by the microwave radiated from the planar antenna 31 through the transmission plate 28 to the chamber 1, and the inert gas and the oxygen-containing gas are turned into plasma, respectively. The microwave-excited plasma has a high density of about 1 × 10 10 to 5 × 10 12 / cm 3 and a vicinity of the wafer W when microwaves are radiated from a large number of microwave radiation holes 32 of the planar antenna 31. Then, it becomes a low electron temperature plasma of about 1.2 eV or less. The plasma formed in this way has little plasma damage due to ions or the like on the underlying film. Then, plasma modification is performed by the action of O 2 + ions and O ( 1 D 2 ) radicals, which are the main active species in the plasma, and dangling bonds and weak bonds are terminated to form Si—O bonds. Thus, a dense and defect-free silicon oxide film is formed.

[プラズマ改質処理条件]
プラズマ改質処理の処理ガスとしては、希ガスと酸素含有ガスとを含むガスを用いることが好ましい。希ガスとしてはArガスを、酸素含有ガスとしてはOガスを、それぞれ使用することが好ましい。このとき、全処理ガスに対するOガスの体積流量比率(Oガス流量/全処理ガス流量の百分率)は、プラズマ中の活性種としてO イオンやO()ラジカルを優勢にする観点から、0.1%以上30%以下の範囲内とすることが好ましく、0.1%以上5%以下の範囲内とすることがより好ましい。例えばArガスの流量は500mL/min(sccm)以上5000mL/min(sccm)以下の範囲内、Oガスの流量は0.5mL/min(sccm)以上1000mL/min(sccm)以下の範囲内から、上記流量比になるように設定することができる。
[Plasma reforming treatment conditions]
As a processing gas for the plasma reforming treatment, it is preferable to use a gas containing a rare gas and an oxygen-containing gas. It is preferable to use Ar gas as the rare gas and O 2 gas as the oxygen-containing gas. In this case, the volumetric flow ratio of O 2 gas to the total process gas (O 2 gas flow rate / total process gas flow rate percentage of) is, O 2 + ions and O (1 D 2) radicals and predominantly as the active species in the plasma In view of the above, it is preferable to be in the range of 0.1% to 30%, and more preferable to be in the range of 0.1% to 5%. For example, the flow rate of Ar gas is in the range of 500 mL / min (sccm) to 5000 mL / min (sccm), and the flow rate of O 2 gas is in the range of 0.5 mL / min (sccm) to 1000 mL / min (sccm). The flow rate ratio can be set.

また、処理圧力は、プラズマ中の活性種としてO イオンおよびO()ラジカルを優勢にする観点から、6.7Pa以上267Pa以下の範囲内が好ましく、6.7Pa以上67Pa以下の範囲内がより好ましい。 The treatment pressure is preferably in the range of 6.7 Pa to 267 Pa, and preferably 6.7 Pa to 67 Pa from the viewpoint of predominating O 2 + ions and O ( 1 D 2 ) radicals as active species in the plasma. Within the range is more preferable.

また、マイクロ波のパワー密度は、プラズマ中で活性種のO イオンやO()ラジカルを効率よく生成させる観点から、0.51W/cm以上2.56W/cm以下の範囲内とすることが好ましい。なお、マイクロ波のパワー密度は、透過板28の面積1cmあたりに供給されるマイクロ波パワーを意味する(以下、同様である)。例えば200mm径以上のウエハWを処理する場合には、マイクロ波パワーを1000W以上5000W以下の範囲内とすることが好ましい。 Moreover, the power density of the microwave is 0.51 W / cm 2 or more and 2.56 W / cm 2 or less from the viewpoint of efficiently generating active species O 2 + ions and O ( 1 D 2 ) radicals in plasma. It is preferable to be within the range. The microwave power density means the microwave power supplied per 1 cm 2 area of the transmission plate 28 (the same applies hereinafter). For example, when processing a wafer W having a diameter of 200 mm or more, it is preferable that the microwave power is in the range of 1000 W to 5000 W.

また、ウエハWの加熱温度は、載置台2の温度として、例えば200℃以上600℃以下の範囲内とすることが好ましく、400℃以上600℃以下の範囲内に設定することがより好ましい。   Further, the heating temperature of the wafer W is preferably set, for example, in the range of 200 ° C. or more and 600 ° C. or less, and more preferably in the range of 400 ° C. or more and 600 ° C. or less as the temperature of the mounting table 2.

CVD法によって形成された酸化珪素膜202に対して、プラズマ処理装置100を用い、上記条件でプラズマ改質処理を行うことにより、酸化珪素膜202の表面から2nm以上10nm以下の範囲内の深さまで実用上良好な膜質に改善することができる。したがって、1回のCVD工程で形成する酸化珪素膜202の膜厚Tは、前記のとおり2nm以上10nm以下の範囲内とすることが好ましく、この場合のプラズマ改質処理時間は、5秒以上600秒以下の範囲内とすることが好ましい。上記膜厚の酸化珪素膜202に対して、上記条件で5秒未満の時間でプラズマ改質処理を行っても、改質が不十分になる場合があり、一方、600秒を超えてプラズマ改質処理を行っても改質効果の向上は期待できず、効率的でない。 By performing plasma modification treatment on the silicon oxide film 202 formed by the CVD method under the above conditions using the plasma processing apparatus 100, the silicon oxide film 202 has a depth within the range of 2 nm to 10 nm from the surface of the silicon oxide film 202. The film quality can be improved in practical use. Accordingly, the thickness T 1 of the silicon oxide film 202 formed in one CVD process is preferably in the range of 2 nm to 10 nm as described above, and the plasma modification processing time in this case is 5 seconds or more. It is preferable to be within the range of 600 seconds or less. Even if the silicon oxide film 202 having the above thickness is subjected to plasma reforming treatment under the above conditions for a time of less than 5 seconds, the modification may be insufficient. Even if the quality treatment is performed, the improvement effect cannot be expected and it is not efficient.

以上の条件は、制御部50の記憶部53にレシピとして保存されている。そして、プロセスコントローラ51がそのレシピを読み出してプラズマ処理装置100の各構成部例えばガス供給機構18、排気装置24、マイクロ波発生装置39、ヒータ電源5aなどへ制御信号を送出することにより、所望の条件でプラズマ改質処理が行われる。   The above conditions are stored as a recipe in the storage unit 53 of the control unit 50. The process controller 51 reads the recipe and sends a control signal to each component of the plasma processing apparatus 100, such as the gas supply mechanism 18, the exhaust device 24, the microwave generator 39, the heater power supply 5a, etc. Plasma reforming treatment is performed under conditions.

ステップS4のプラズマ改質処理が終了した後、本実施の形態では、上記ステップS2およびステップS4の処理を、必要に応じて複数回繰り返し実施する。すなわち、ステップS4の処理が終了した後、ウエハWをCVD成膜装置(プロセスモジュール101aまたは101c)に移送する(ステップS1参照)。そして、図7(d)に示したように、改質された酸化珪素膜202aの上に、CVD法によって再び絶縁膜を堆積(ステップS2参照)する。これにより、図7(e)に示したように、改質済みの酸化珪素膜202aの上に、膜厚Tで酸化珪素膜203が積層される。 After the plasma reforming process in step S4 is completed, in the present embodiment, the processes in steps S2 and S4 are repeated a plurality of times as necessary. That is, after the process of step S4 is completed, the wafer W is transferred to the CVD film forming apparatus (process module 101a or 101c) (see step S1). Then, as shown in FIG. 7D, an insulating film is deposited again on the modified silicon oxide film 202a by the CVD method (see step S2). Thus, as shown in FIG. 7 (e), on the silicon oxide film 202a of the reforming already, the silicon oxide film 203 with a thickness T 1 is laminated.

次いで、ウエハWをプラズマ処理装置100(プロセスモジュール101bまたは101d)に移送し(ステップS3参照)、図7(f)に示したように、最上層の酸化珪素膜203にプラズマ改質処理を施す(ステップS4参照)。ステップS2およびステップS4の処理は、図7(g)に示したように、積層絶縁膜210(改質済みの酸化珪素膜202a,203a,204a,205a,206a…)の合計膜厚T(=膜厚T×成膜回数)が所定の厚みになるまで繰り返し実施される。このときの合計膜厚Tは、4nm以上1000nm以下とすることが好ましく、4nm以上100nm以下とすることがより好ましい。 Next, the wafer W is transferred to the plasma processing apparatus 100 (process module 101b or 101d) (see step S3), and as shown in FIG. 7F, the uppermost silicon oxide film 203 is subjected to plasma modification processing. (See step S4). As shown in FIG. 7 (g), the processes in step S2 and step S4 are performed in the total film thickness T 2 (stacked insulating film 210 (modified silicon oxide films 202a, 203a, 204a, 205a, 206a...)). = Film thickness T 1 × number of times of film formation) is repeated until a predetermined thickness is reached. The total thickness T 2 of the this case is preferably a 4nm than 1000nm or less, and more preferably to 4nm than 100nm or less.

以上のように、ステップS2およびステップS4の処理を繰り返して所定の厚みの積層絶縁膜210を形成した後、ステップS5で真空搬送室103内の搬送装置109により処理済のウエハWをプラズマ処理装置100(プロセスモジュール101bまたは101d)から搬出し、前記手順でロードポートLPのウエハカセットCRに収納する。   As described above, after the processes of step S2 and step S4 are repeated to form the laminated insulating film 210 having a predetermined thickness, the wafer W that has been processed by the transfer apparatus 109 in the vacuum transfer chamber 103 is processed in the plasma processing apparatus in step S5. 100 (process module 101b or 101d) is taken out and stored in the wafer cassette CR of the load port LP in the above procedure.

本実施の形態の絶縁膜の形成方法では、ステップS2およびステップS4の処理の繰り返しにより、緻密で欠陥のない良質な絶縁膜(酸化珪素膜202,203…)を所望の膜厚Tで形成することができる。なお、仮に1回のCVD法によって、10nm〜1000nmの厚い膜厚で酸化珪素膜を形成できたとしても、その全体をプラズマ改質処理によって緻密で良質な膜質に改質することは困難である。後述するように、プラズマ改質処理において重要な活性種であるO イオンやO()ラジカルは、改質処理の対象である酸化珪素膜を透過する能力が低いため、プラズマによる改質が可能な酸化珪素膜の膜厚(表面からの深さ)には限界があるためである。本実施の形態の絶縁膜の形成方法では、絶縁膜としてのCVD法による酸化珪素膜の堆積と、プラズマ改質処理を繰り返し実施することによって、改質限界膜厚に制約されることなく、任意の膜厚Tで、従来のCVD膜に比べて緻密で良質な膜質の絶縁膜を、従来のCVD膜と同等の膜厚で形成できる。 Formed by the method for forming the insulating film of the present embodiment, by repeating the processing of steps S2 and S4, dense without defects high-quality insulating film (silicon oxide film 202 and 203 ...) with the desired thickness T 2 can do. Even if a silicon oxide film having a thick film thickness of 10 nm to 1000 nm can be formed by a single CVD method, it is difficult to reform the entire film into a dense and high-quality film by plasma modification treatment. . As will be described later, since O 2 + ions and O ( 1 D 2 ) radicals, which are important active species in the plasma modification process, have a low ability to permeate the silicon oxide film that is the object of the modification process, This is because there is a limit to the thickness (depth from the surface) of the silicon oxide film that can be modified. In the method for forming an insulating film of this embodiment, the deposition of a silicon oxide film by an CVD method as an insulating film and the plasma modification treatment are repeatedly performed, so that the film is arbitrarily limited without being limited by the modification limit film thickness. of a thickness T 2, the dense good quality film quality of the insulating film in comparison with the conventional CVD film can be formed with a film thickness equivalent to a conventional CVD film.

また、基板処理システム200では、CVD法による酸化珪素膜の成膜処理と、その改質処理を真空下で連続的に繰り返し実施できるので、実用上必要なスループット(例えば時間あたり30枚〜60枚処理)を得ながら、従来のCVD法と同等の厚い膜厚で、従来のCVD膜に比べて良質な絶縁膜を形成することができる。   Further, in the substrate processing system 200, the film forming process of the silicon oxide film by the CVD method and the reforming process can be continuously repeated under vacuum, so that the throughput necessary for practical use (for example, 30 to 60 sheets per hour). In this way, it is possible to form an insulating film having a thick film thickness equivalent to that of the conventional CVD method and a quality better than that of the conventional CVD film.

[作用]
次に、基板処理システム200において実施される酸化珪素膜の形成方法の作用機構について、図8を参照しながら説明する。プラズマ処理装置100を用いて酸素を含む処理ガスのプラズマを生成する場合、処理圧力によってプラズマ中の酸化活性種が変化する。具体的には、プラズマ中のO イオンやO()ラジカルは、低い圧力条件(267Pa以下、好ましくは6.7Pa以上267Pa以下、より好ましくは6.7Pa以上67Pa以下)で増加する。
[Action]
Next, an operation mechanism of the silicon oxide film forming method performed in the substrate processing system 200 will be described with reference to FIG. When plasma of a processing gas containing oxygen is generated using the plasma processing apparatus 100, the oxidation active species in the plasma changes depending on the processing pressure. Specifically, O 2 + ions and O ( 1 D 2 ) radicals in plasma increase under low pressure conditions (267 Pa or less, preferably 6.7 Pa to 267 Pa, more preferably 6.7 Pa to 67 Pa). To do.

図8は、プラズマ改質処理によって酸化珪素膜内で生じる化学的な変化を模式的に示したものである。O イオンやO()ラジカルは、改質処理の対象である酸化珪素膜を透過する能力が低い。このため、これらの活性種がプラズマ中で支配的となるプラズマ生成条件でプラズマ改質処理を行うと、図8に示したように、O イオンやO()ラジカルが酸化珪素膜203中に入り込んでSiとの結合を形成する。この際、酸化珪素膜203中に不安定な形で含まれていたCVD法における成膜原料由来のCl、H、OHなどの不純物は、O イオンやO()ラジカルと置換されて膜外へ排出される。このような機構によって、267Pa以下の低い圧力条件でプラズマ改質処理を行うことにより、従来のCVD法で形成された膜厚と同等の厚い膜厚で従来のCVD膜に比べて酸化珪素膜の膜質が緻密になり、不純物やダングリングボンドなどの欠陥が少ない良質な膜に改質される。 FIG. 8 schematically shows a chemical change caused in the silicon oxide film by the plasma modification process. O 2 + ions and O ( 1 D 2 ) radicals have low ability to permeate the silicon oxide film that is the target of the modification treatment. For this reason, when the plasma reforming process is performed under the plasma generation condition in which these active species are dominant in the plasma, as shown in FIG. 8, O 2 + ions and O ( 1 D 2 ) radicals are converted into silicon oxide. It enters into the film 203 and forms a bond with Si. At this time, impurities such as Cl, H, and OH derived from film-forming raw materials in the CVD method, which are included in the silicon oxide film 203 in an unstable form, are replaced with O 2 + ions or O ( 1 D 2 ) radicals. It is discharged out of the membrane. By such a mechanism, by performing the plasma reforming process under a low pressure condition of 267 Pa or less, the silicon oxide film is thicker than the conventional CVD film with a thickness equivalent to the film thickness formed by the conventional CVD method. The film quality becomes dense, and the film is modified to a high quality film with few defects such as impurities and dangling bonds.

一方、高い圧力条件(例えば333Pa以上)ではプラズマ中の活性種としてO イオンやO()ラジカルは減少し、替わりにO()ラジカルが主体となる。このO()ラジカルは、酸化珪素膜を透過する性質を有しているため、O()ラジカルが支配的となるプラズマ生成条件では、O イオンやO()ラジカルのような優れた改質効果は得られない。 On the other hand, under high pressure conditions (for example, 333 Pa or more), O 2 + ions and O ( 1 D 2 ) radicals decrease as active species in the plasma, and O ( 3 P 2 ) radicals are mainly used instead. Since this O ( 3 P 2 ) radical has a property of passing through the silicon oxide film, under the plasma generation conditions in which the O ( 3 P 2 ) radical is dominant, O 2 + ions and O ( 1 D 2 ) An excellent modification effect such as radicals cannot be obtained.

本実施の形態の絶縁膜の形成方法では、上記のような処理圧力によるプラズマ中の活性種の変化に着目し、O イオンやO()ラジカルが支配的となる低い圧力条件(267Pa以下)を選択して酸化珪素膜に対してプラズマ改質処理を行うことによって、酸化珪素膜に対して高い改質効果を得ることができた。そして、プラズマ中でO イオンやO()ラジカルが支配的となる低い圧力条件(267Pa以下)での改質処理において課題となる改質限界膜厚の問題を、CVD成膜とプラズマ改質処理を繰返すことによって解決している。しかも、基板処理システム200では、CVD法による酸化珪素膜の成膜処理と、酸化珪素膜の改質処理の繰り返しを真空下で連続実施できるため、実用上必要なスループットが得られる。 In the method for forming an insulating film according to this embodiment, attention is paid to the change of active species in plasma due to the above processing pressure, and low pressure conditions in which O 2 + ions and O ( 1 D 2 ) radicals are dominant. By selecting (267 Pa or less) and performing a plasma modification process on the silicon oxide film, a high modification effect can be obtained on the silicon oxide film. Then, the problem of the reforming limit film thickness, which is a problem in the reforming process under a low pressure condition (267 Pa or less) in which O 2 + ions and O ( 1 D 2 ) radicals are dominant in plasma, is formed by CVD. This is solved by repeating the plasma reforming process. In addition, in the substrate processing system 200, the silicon oxide film forming process by the CVD method and the silicon oxide film modifying process can be continuously performed under vacuum, so that a practically necessary throughput can be obtained.

このようにして形成された絶縁膜(酸化珪素膜202,203…)は、低温でのプラズマ改質処理によって不純物やダングリングボンドが少ない緻密で良質な絶縁膜に改質されているため、各種半導体装置の絶縁膜として有利に使用できる。特に、本実施の形態の絶縁膜の形成方法では、所望の厚みで良質な絶縁膜を形成できることから、例えば4nm以上1000nm以下の範囲内、好ましくは4nm以上100nm以下の範囲内の比較的厚い膜厚で使用される薄膜トランジスタ(TFT)素子のゲート絶縁膜、CCD(Charge Coupled Device)素子のゲート絶縁膜、ハイパワートランジスタのゲート絶縁膜等としての用途に最適である。   The insulating films (silicon oxide films 202, 203...) Formed in this way are modified into a dense and high-quality insulating film with few impurities and dangling bonds by plasma reforming treatment at a low temperature. It can be advantageously used as an insulating film of a semiconductor device. In particular, in the method for forming an insulating film of the present embodiment, a high-quality insulating film can be formed with a desired thickness. Therefore, for example, a relatively thick film within a range of 4 nm to 1000 nm, preferably 4 nm to 100 nm. It is most suitable for use as a gate insulating film of a thin film transistor (TFT) element used in thickness, a gate insulating film of a CCD (Charge Coupled Device) element, a gate insulating film of a high power transistor, and the like.

図9は、本実施の形態にかかる絶縁膜の形成方法を適用可能なTFT素子400の概略構成を示す断面図である。ガラス基板401上には、部分的に例えばMo、Alなどの金属材料からなるゲート電極402が形成されている。ゲート電極402およびガラス基板401の表面を覆うように二酸化珪素(SiO)からなるゲート絶縁膜403が形成されている。このゲート絶縁膜403は、下から順に第1の酸化珪素膜403a、第2の酸化珪素膜403bおよび第3の酸化珪素膜403cが積層されて一体に形成されている。ゲート絶縁膜403上にはトランジスタを形成するためのSi系膜としてa−Si(アモルファスシリコン)膜404が形成されており、かつゲート電極402の上部にはチャネル部405が形成されている。a−Si膜404の上には、高融点金属材料例えばモリブデン、タングステンなどを含むソース電極406およびドレイン電極407が形成されている。ソース電極406およびドレイン電極407の上には、窒化ケイ素(Si)からなるパッシベーション膜408が形成され、TFT素子400の表面保護がなされている。 FIG. 9 is a cross-sectional view showing a schematic configuration of a TFT element 400 to which the insulating film forming method according to the present embodiment can be applied. On the glass substrate 401, a gate electrode 402 made of a metal material such as Mo or Al is partially formed. A gate insulating film 403 made of silicon dioxide (SiO 2 ) is formed so as to cover the surfaces of the gate electrode 402 and the glass substrate 401. The gate insulating film 403 is integrally formed by laminating a first silicon oxide film 403a, a second silicon oxide film 403b, and a third silicon oxide film 403c in order from the bottom. An a-Si (amorphous silicon) film 404 is formed on the gate insulating film 403 as an Si-based film for forming a transistor, and a channel portion 405 is formed on the gate electrode 402. A source electrode 406 and a drain electrode 407 containing a refractory metal material such as molybdenum or tungsten are formed on the a-Si film 404. A passivation film 408 made of silicon nitride (Si 3 N 4 ) is formed on the source electrode 406 and the drain electrode 407 to protect the surface of the TFT element 400.

図9に示したような構成のTFT素子400に本実施形態の絶縁膜の形成方法を適用する場合には、ガラス基板401上にゲート電極402となる金属材料を成膜し、パターン形成する。次に、ゲート電極402およびガラス基板401の表面を覆うように、CVD法によりゲート絶縁膜403を形成する。このゲート絶縁膜403の形成にあたっては、前記のとおりCVD工程とプラズマ改質処理工程とを所定回数繰返す。すなわち、まず第1の酸化珪素膜403aをCVD法により成膜し、引き続きこの第1の酸化珪素膜403aを、プラズマ処理装置100を用いてプラズマ改質処理する。次に、第1の酸化珪素膜403a上に第2の酸化珪素膜403bをCVD法により成膜し、次に、プラズマ処理装置100を用いてこの第2の酸化珪素膜403bをプラズマ改質処理する。さらに、第2の酸化珪素膜403b上に第3の酸化珪素膜403cをCVD法により成膜し、次に、プラズマ処理装置100を用いてこの第3の酸化珪素膜403cをプラズマ改質処理する。このようにして、低温処理で、不純物やダングリングボンドなどの欠陥が少ない緻密なゲート絶縁膜403を形成することができる。なお、ゲート絶縁膜403を構成する酸化珪素膜は3層に限らず、ゲート絶縁膜403の膜厚に応じて2層あるいは4層以上でもよい。以上の処理は、図6のステップS1〜ステップS5の手順に従って実施することができる。   In the case of applying the insulating film forming method of the present embodiment to the TFT element 400 having the configuration as shown in FIG. 9, a metal material to be the gate electrode 402 is formed on the glass substrate 401 to form a pattern. Next, a gate insulating film 403 is formed by a CVD method so as to cover the surfaces of the gate electrode 402 and the glass substrate 401. In forming the gate insulating film 403, the CVD process and the plasma modification process are repeated a predetermined number of times as described above. That is, first, a first silicon oxide film 403 a is formed by a CVD method, and subsequently, the first silicon oxide film 403 a is subjected to plasma modification processing using the plasma processing apparatus 100. Next, a second silicon oxide film 403b is formed on the first silicon oxide film 403a by a CVD method. Next, the second silicon oxide film 403b is plasma-reformed using the plasma processing apparatus 100. To do. Further, a third silicon oxide film 403c is formed on the second silicon oxide film 403b by a CVD method, and then the third silicon oxide film 403c is subjected to plasma modification using the plasma processing apparatus 100. . In this manner, a dense gate insulating film 403 with few defects such as impurities and dangling bonds can be formed by low-temperature treatment. Note that the silicon oxide film included in the gate insulating film 403 is not limited to three layers, and may be two layers or four or more layers depending on the thickness of the gate insulating film 403. The above processing can be performed according to the procedure of step S1 to step S5 in FIG.

その後、常法に従い、成膜とエッチングを繰り返し、a−Si膜404の成膜とパターン形成、ソース電極406およびドレイン電極407の成膜とパターン形成、パッシベーション膜408の成膜ならびにITO電極(図示省略)の形成を行うことにより、TFT素子400を形成することができる。   Thereafter, the film formation and etching are repeated in accordance with a conventional method, and the film formation and pattern formation of the a-Si film 404, the film formation and pattern formation of the source electrode 406 and the drain electrode 407, the film formation of the passivation film 408, and the ITO electrode (illustrated). The TFT element 400 can be formed by forming (omitted).

図9に例示したTFT素子400では、CVD処理とプラズマ改質処理とを繰り返すことにより、ゲート絶縁膜403を所望の厚みで形成することが可能となる。しかも、プラズマ改質処理によって、低温処理で、ゲート絶縁膜403全体の膜質を、不純物や、ダングリングボンドなどの欠陥が少ない緻密で良質な膜質にすることが可能となるので、TFT素子400の電気的性能を改善することができる。   In the TFT element 400 illustrated in FIG. 9, the gate insulating film 403 can be formed with a desired thickness by repeating the CVD process and the plasma modification process. In addition, the plasma modification process enables the film quality of the entire gate insulating film 403 to be a dense and high-quality film with few defects such as impurities and dangling bonds by low-temperature processing. Electrical performance can be improved.

次に、本発明の基礎となった実験データについて説明する。熱CVD法により成膜された酸化珪素膜に対して、図2に示したプラズマ処理装置100を用い、以下の条件1〜条件4でプラズマ改質処理を行った。改質後の酸化珪素膜について、膜厚の増加量、屈折率の増加量、0.125%の希フッ酸処理(30秒間)によるウエットエッチングレートを調べた。また、改質後の酸化珪素膜をゲート絶縁膜として用いてMOSキャパシタを製造し、その電気的な特性としてリーク電流密度(Jg;−10MV/cm)、絶縁膜経時破壊(TDDB;63%)、電子トラップの変化量(Δvge;11秒)について調べた。なお、比較のため、改質を行わない場合、アニールによって改質を行った場合、および熱酸化膜についても上記と同様の測定を行った。その結果を表1に示した。   Next, experimental data on which the present invention is based will be described. Plasma modification processing was performed on the silicon oxide film formed by the thermal CVD method under the following conditions 1 to 4 using the plasma processing apparatus 100 shown in FIG. With respect to the silicon oxide film after modification, the amount of increase in film thickness, the amount of increase in refractive index, and the wet etching rate by 0.125% dilute hydrofluoric acid treatment (30 seconds) were examined. Further, a MOS capacitor is manufactured using the modified silicon oxide film as a gate insulating film, and its electrical characteristics include leakage current density (Jg; −10 MV / cm), insulating film breakdown over time (TDDB; 63%) The amount of change of the electron trap (Δvge; 11 seconds) was examined. For comparison, the same measurement as described above was performed for the case where the modification was not performed, the case where the modification was performed by annealing, and the thermal oxide film. The results are shown in Table 1.

[改質条件1]
Arガス流量;1000mL/min(sccm)
ガス流量;300mL/min(sccm)
流量比(O/Ar+O);0.23
処理圧力;6.7Pa
載置台2の温度;500℃
マイクロ波パワー;4000W
マイクロ波パワー密度;2.05W/cm(透過板の面積1cmあたり)
[Reforming condition 1]
Ar gas flow rate: 1000 mL / min (sccm)
O 2 gas flow rate; 300 mL / min (sccm)
Flow rate ratio (O 2 / Ar + O 2 ); 0.23
Processing pressure: 6.7 Pa
Temperature of mounting table 2; 500 ° C
Microwave power: 4000W
Microwave power density: 2.05 W / cm 2 (per 1 cm 2 area of transmission plate)

[改質条件2]
Arガス流量;1980mL/min(sccm)
ガス流量;20mL/min(sccm)
流量比(O/Ar+O);0.01
処理圧力;200Pa
載置台2の温度;500℃
マイクロ波パワー;4000W
マイクロ波パワー密度;2.05W/cm(透過板の面積1cmあたり)
[Reforming condition 2]
Ar gas flow rate: 1980 mL / min (sccm)
O 2 gas flow rate: 20 mL / min (sccm)
Flow rate ratio (O 2 / Ar + O 2 ); 0.01
Processing pressure: 200 Pa
Temperature of mounting table 2; 500 ° C
Microwave power: 4000W
Microwave power density: 2.05 W / cm 2 (per 1 cm 2 area of transmission plate)

[改質条件3]
Arガス流量;1200mL/min(sccm)
ガス流量;400mL/min(sccm)
流量比(O/Ar+O);0.25
処理圧力;667Pa
載置台2の温度;500℃
マイクロ波パワー;4000W
マイクロ波パワー密度;2.05W/cm(透過板の面積1cmあたり)
[Reforming condition 3]
Ar gas flow rate: 1200 mL / min (sccm)
O 2 gas flow rate: 400 mL / min (sccm)
Flow rate ratio (O 2 / Ar + O 2 ); 0.25
Processing pressure: 667 Pa
Temperature of mounting table 2; 500 ° C
Microwave power: 4000W
Microwave power density: 2.05 W / cm 2 (per 1 cm 2 area of transmission plate)

[改質条件4]
Arガス流量;1200mL/min(sccm)
ガス流量;370mL/min(sccm)
ガス流量;30mL/min(sccm)
流量比(O/Ar+O+H);0.23
流量比(H/Ar+O+H);0.019
処理圧力;667Pa
載置台2の温度;500℃
マイクロ波パワー;4000W
マイクロ波パワー密度;2.05W/cm(透過板の面積1cmあたり)
[Reforming condition 4]
Ar gas flow rate: 1200 mL / min (sccm)
O 2 gas flow rate: 370 mL / min (sccm)
H 2 gas flow rate: 30 mL / min (sccm)
Flow rate ratio (O 2 / Ar + O 2 + H 2 ); 0.23
Flow rate ratio (H 2 / Ar + O 2 + H 2 ); 0.019
Processing pressure: 667 Pa
Temperature of mounting table 2; 500 ° C
Microwave power: 4000W
Microwave power density: 2.05 W / cm 2 (per 1 cm 2 area of transmission plate)

[アニール改質処理条件]
雰囲気;N/O=10/0.1L/min
温度;900℃
圧力;133Pa
[Annealing treatment conditions]
Atmosphere; N 2 / O 2 = 10 / 0.1 L / min
Temperature: 900 ° C
Pressure: 133Pa

[熱酸化膜形成条件]
雰囲気;H/O=450/900mL/min(sccm)
温度;950℃
圧力;15000Pa
[Thermal oxide film formation conditions]
Atmosphere; H 2 / O 2 = 450/900 mL / min (sccm)
Temperature: 950 ° C
Pressure: 15000Pa

[熱CVD成膜条件]
SiHClガス流量;75mL/min(sccm)
Oガス流量;150mL/min(sccm)
処理圧力;48Pa
処理温度;780℃
[Thermal CVD deposition conditions]
SiH 2 Cl 2 gas flow rate; 75 mL / min (sccm)
N 2 O gas flow rate; 150 mL / min (sccm)
Processing pressure: 48Pa
Processing temperature: 780 ° C

Figure 0005374749
Figure 0005374749

表1に示した物理分析の結果から、200Pa以下の低い圧力の条件1および条件2でプラズマ改質処理を行った場合には、屈折率が増加し、ウエットエッチングレートが減少している。これらのデータは、プラズマ改質処理によって酸化珪素膜の膜質が改善され、膜密度が上昇したことを示している。また、条件1、条件2のウエットエッチングレートをアニールによる改質処理と比較すると、条件1と条件2の方が熱アニール改質に比べてエッチングレートが少なく、改質効果がより高いことが示された。   From the results of physical analysis shown in Table 1, when the plasma modification treatment is performed under conditions 1 and 2 at a low pressure of 200 Pa or less, the refractive index increases and the wet etching rate decreases. These data indicate that the film quality of the silicon oxide film is improved by the plasma modification treatment, and the film density is increased. In addition, when the wet etching rates of conditions 1 and 2 are compared with the modification treatment by annealing, it is shown that conditions 1 and 2 have a lower etching rate and higher modification effect than thermal annealing modification. It was done.

また、条件4でプラズマ改質処理を行った場合には、屈折率の変化は見られず、ウエットエッチングレートもアニールによる改質処理とほぼ同等であった。つまり、膜質の改善効果については、条件4のプラズマ改質処理は、アニールによる改質処理と同様の結果であった。しかし、条件4でプラズマ改質処理を行った場合には、酸化珪素膜の膜厚の増加が顕著に見られた。これは、CVD法により成膜された酸化珪素膜と下地のシリコンとの界面がプラズマ中のO()ラジカルによって酸化され、増膜したものと考えられた。 In addition, when the plasma modification treatment was performed under condition 4, no change in refractive index was observed, and the wet etching rate was almost the same as the modification treatment by annealing. In other words, with respect to the effect of improving the film quality, the plasma modification treatment under condition 4 was the same result as the modification treatment by annealing. However, when the plasma modification treatment was performed under condition 4, the increase in the thickness of the silicon oxide film was noticeable. This was thought to be because the interface between the silicon oxide film formed by the CVD method and the underlying silicon was oxidized by O ( 3 P 2 ) radicals in the plasma to increase the film thickness.

以上の結果から、処理圧力が267Pa以下例えば6.7Pa以上267Pa以下のプラズマ改質処理では、CVD法により成膜された酸化珪素膜の膜質の改善効果がアニールによる改質処理よりも高いことが示された。一方、処理圧力が667Paの高い圧力条件でのプラズマ改質処理の場合には、CVD法により成膜された酸化珪素膜の膜質の改善効果はアニールによる改質処理と同等であるが、増膜作用があることが判明した。   From the above results, in the plasma reforming process at a processing pressure of 267 Pa or less, for example, 6.7 Pa or more and 267 Pa or less, the effect of improving the quality of the silicon oxide film formed by the CVD method is higher than the reforming process by annealing. Indicated. On the other hand, in the case of the plasma reforming process under a high pressure condition of 667 Pa, the effect of improving the quality of the silicon oxide film formed by the CVD method is equivalent to the reforming process by annealing. It was found to have an effect.

Figure 0005374749
Figure 0005374749

表2に示した電気的特性評価の結果では、処理圧力が200Pa以下と低い条件1および条件2でプラズマ改質処理を行った場合には、リーク電流密度(Jg)が処理圧力が667Paと高い条件3や、アニールによる改質処理に比べて大きく改善した。   According to the results of the electrical characteristic evaluation shown in Table 2, when the plasma reforming process is performed under conditions 1 and 2 where the processing pressure is as low as 200 Pa or less, the leakage current density (Jg) is as high as 667 Pa. Compared to Condition 3 and the modification process by annealing, the process was greatly improved.

図10に、条件1〜条件3のプラズマ改質処理の処理圧力とリーク電流との関係を示した。ここでは、アニール改質処理と熱酸化膜のリーク電流についても併せて掲載した。この図10から、処理圧力が267Pa以下例えば6.7Pa以上267Paであれば、リーク電流を2.1×10−4[A/cm]以下に抑えることが可能であることが読み取れる。従って、リーク電流特性の改善を目的とする場合には、プラズマ改質処理の処理圧力を267Pa以下にすることが好ましい。 FIG. 10 shows the relationship between the processing pressure and the leakage current of the plasma reforming process under conditions 1 to 3. Here, the annealing modification treatment and the leakage current of the thermal oxide film are also shown. From FIG. 10, it can be seen that when the processing pressure is 267 Pa or less, for example, 6.7 Pa or more and 267 Pa, the leakage current can be suppressed to 2.1 × 10 −4 [A / cm 2 ] or less. Therefore, when the purpose is to improve the leakage current characteristics, it is preferable to set the processing pressure of the plasma reforming process to 267 Pa or less.

絶縁膜経時破壊(TDDB)は、条件1〜条件3でプラズマ改質処理を行った場合の方が、アニールによる改質処理に比べて大幅に改善されていた。特に、条件2によるプラズマ改質処理の場合には、熱酸化膜を超える非常に優れた信頼性を示した。   The dielectric breakdown over time (TDDB) was significantly improved when the plasma modification treatment was performed under conditions 1 to 3 as compared to the modification treatment by annealing. In particular, in the case of the plasma reforming treatment under condition 2, very excellent reliability exceeding the thermal oxide film was shown.

図11に、条件1〜条件3のプラズマ改質処理の処理圧力とTDDBとの関係を示した。ここでは、アニール改質処理と熱酸化膜のリーク電流についても併せて掲載した。この図11から、処理圧力が533Pa以下であれば、TDDBを33[C/cm]以上にできることがわかる。従って、TDDB特性の改善を目的とする場合には、プラズマ改質処理の処理圧力を533Pa以下例えば6.7Pa以上533Pa以下にすることが好ましく、400Pa以下例えば6.7Pa以上400Pa以下がより好ましく、267Pa以下例えば6.7Pa以上267Pa以下が望ましい。 FIG. 11 shows the relationship between the processing pressure of the plasma reforming treatment under conditions 1 to 3 and TDDB. Here, the annealing modification treatment and the leakage current of the thermal oxide film are also shown. From FIG. 11, it can be seen that if the processing pressure is 533 Pa or less, the TDDB can be 33 [C / cm 2 ] or more. Therefore, when the purpose is to improve the TDDB characteristics, it is preferable to set the processing pressure of the plasma reforming treatment to 533 Pa or less, such as 6.7 Pa to 533 Pa, more preferably 400 Pa or less, such as 6.7 Pa to 400 Pa, 267 Pa or less, for example, 6.7 Pa or more and 267 Pa or less is desirable.

また、図12に、条件1〜条件3におけるO/(Ar+O)比とTDDBとの関係を示した。プラズマ改質処理では、図12に示したように、O/(Ar+O)比を0.23以下とすることにより、TDDB特性を効果的に改善でき、特にO/(Ar+O)比を0.1以下とすることで、熱酸化膜を超える高いTDDB特性が得られることが判明した。 FIG. 12 shows the relationship between the O 2 / (Ar + O 2 ) ratio and TDDB under conditions 1 to 3. In the plasma reforming treatment, as shown in FIG. 12, the TDDB characteristic can be effectively improved by setting the O 2 / (Ar + O 2 ) ratio to 0.23 or less, and in particular, the O 2 / (Ar + O 2 ) ratio. It was found that by setting the ratio to 0.1 or less, high TDDB characteristics exceeding the thermal oxide film can be obtained.

電子トラップの変化量(Δvge)については、条件1および条件2でプラズマ改質処理を行った場合には、アニールによる改質処理に比べてほぼ半減しており、大きく改善した。条件3でプラズマ改質処理を行った場合にも、アニールによる改質処理に比べて若干電子トラップの変化量が減少しており改善された。また、プラズマ改質処理では、表2に示したように、O/(Ar+O)比を0.23以下とすることにより、Δvge特性を効果的に改善できることが判明した。 Regarding the amount of change (Δvge) of the electron trap, when the plasma reforming process was performed under conditions 1 and 2, it was almost halved compared to the reforming process by annealing, which was greatly improved. Even when the plasma reforming process was performed under condition 3, the amount of change in the electron trap was slightly reduced compared with the reforming process by annealing. Further, in the plasma reforming treatment, as shown in Table 2, it was found that the Δvge characteristic can be effectively improved by setting the O 2 / (Ar + O 2 ) ratio to 0.23 or less.

以上の結果から、267Pa以下の低い処理圧力で、かつ、O/Ar+O比が0.23以下の条件でプラズマ改質処理を行うことにより、酸化珪素膜を熱酸化膜と同等のレベルまで、欠陥が少なく、緻密で良質な膜質に改善できることが示された。また、このようにして改質された酸化珪素膜を用いることにより、デバイスの電気的特性を改善できることも確認された。 From the above results, the silicon oxide film is brought to a level equivalent to that of the thermal oxide film by performing the plasma reforming process at a low processing pressure of 267 Pa or less and an O 2 / Ar + O 2 ratio of 0.23 or less. It has been shown that the film quality can be improved to a dense and high-quality film with few defects. It was also confirmed that the electrical characteristics of the device can be improved by using the silicon oxide film thus modified.

次に、プラズマ改質処理によって、CVD法により成膜された酸化珪素膜中に残留する塩素(原料のSiHCl由来)の量がどのように変化するか検討を行った。酸化珪素膜中の残留塩素量は、TXRF(全反射蛍光X線;Total reflection X−ray Fluorescence)分析によって測定した。その結果を表3に示した。 Next, it was examined how the amount of chlorine (derived from the raw material SiH 2 Cl 2 ) remaining in the silicon oxide film formed by the CVD method changes due to the plasma modification treatment. The amount of residual chlorine in the silicon oxide film was measured by TXRF (total reflection X-ray Fluorescence) analysis. The results are shown in Table 3.

Figure 0005374749
Figure 0005374749

表3から、プラズマ改質処理を実施した場合には、改質処理を行なわない場合に比べて残留塩素量が少なく、酸化珪素膜中の不純物を除去できることが示された。なお、プラズマ改質処理の後に、熱アニール処理を行うことも可能である。プラズマ改質処理に熱アニール処理を組み合わせることにより、残留塩素量を9.60×1011[atoms/cm]まで低下させることができた。 Table 3 shows that when the plasma reforming process is performed, the amount of residual chlorine is smaller than when the reforming process is not performed, and impurities in the silicon oxide film can be removed. Note that a thermal annealing process may be performed after the plasma modification process. By combining the plasma reforming treatment with the thermal annealing treatment, the amount of residual chlorine could be reduced to 9.60 × 10 11 [atoms / cm 2 ].

以上のように、本実施の形態の絶縁膜の形成方法では、酸化珪素膜の堆積と改質を繰り返すので、従来のCVD法で形成される膜厚と同等の膜厚で、不純物やダングリングボンド等の欠陥が少なく、緻密かつ良質な絶縁膜を必要とするアプリケーション(例えばTFT素子のゲート絶縁膜、CCD素子のゲート絶縁膜、ハイパワートランジスタのゲート絶縁膜の形成)に好ましく利用できる。   As described above, in the method for forming an insulating film according to the present embodiment, deposition and modification of a silicon oxide film are repeated, so that impurities and dangling are formed with a film thickness equivalent to that formed by the conventional CVD method. It can be preferably used for applications requiring a dense and high-quality insulating film with few defects such as bonds (for example, forming a gate insulating film of a TFT element, a gate insulating film of a CCD element, and a gate insulating film of a high power transistor).

以上、本発明の実施の形態を述べたが、本発明は上記実施の形態に制約されることはなく、種々の変形が可能である。例えば、上記実施の形態では、プラズマ改質処理の対象となる絶縁膜として熱CVD法により形成された酸化珪素膜(SiO膜)を挙げたが、熱CVD法による酸化珪素膜に限らず、他のCVD法例えばプラズマCVD法により形成された酸化珪素膜を対象とすることが可能である。この場合、膜質があまり良好でない(例えば膜質が疎な)酸化珪素膜ほど高い改質効果が得られる。 Although the embodiment of the present invention has been described above, the present invention is not limited to the above embodiment, and various modifications can be made. For example, in the above-described embodiment, the silicon oxide film (SiO film 2 ) formed by the thermal CVD method is cited as the insulating film to be subjected to the plasma modification process. However, the insulating film is not limited to the silicon oxide film by the thermal CVD method, It is possible to target a silicon oxide film formed by another CVD method such as a plasma CVD method. In this case, a higher reforming effect can be obtained for a silicon oxide film having a poor film quality (for example, a poor film quality).

また、プラズマ改質処理の対象となる絶縁膜としては、酸化珪素膜に限らず、例えばジルコニウム、タンタル、チタン、バリウム、ストロンチウム、アルミニウム、ハフニウム等の金属の酸化物を含む高誘電率金属酸化膜(Hi−k膜)に対してもプラズマ改質処理を適用可能である。   Further, the insulating film to be subjected to the plasma reforming treatment is not limited to the silicon oxide film, but a high dielectric constant metal oxide film containing an oxide of metal such as zirconium, tantalum, titanium, barium, strontium, aluminum, hafnium, etc. The plasma modification process can also be applied to the (Hi-k film).

基板処理システムの概略構成を示す平面図である。It is a top view which shows schematic structure of a substrate processing system. 本発明の絶縁膜の形成方法の実施に適したプラズマ処理装置の一例を示す概略断面図である。It is a schematic sectional drawing which shows an example of the plasma processing apparatus suitable for implementation of the formation method of the insulating film of this invention. 平面アンテナの構造を示す図面である。It is drawing which shows the structure of a planar antenna. 制御部の構成を示す説明図である。It is explanatory drawing which shows the structure of a control part. 本発明の絶縁膜の形成方法の実施に適した枚葉CVD成膜処理装置の一例を示す概略断面図である。It is a schematic sectional drawing which shows an example of the single wafer CVD film-forming processing apparatus suitable for implementation of the formation method of the insulating film of this invention. 本発明の実施の形態に係る絶縁膜の形成方法の手順の概略を示す説明図である。It is explanatory drawing which shows the outline of the procedure of the formation method of the insulating film which concerns on embodiment of this invention. 本発明の実施の形態に係る絶縁膜の形成方法の主要な工程を説明する説明図である。It is explanatory drawing explaining the main processes of the formation method of the insulating film which concerns on embodiment of this invention. プラズマ改質処理における改質機構を模式的に説明する説明図である。It is explanatory drawing which illustrates typically the modification | reformation mechanism in a plasma modification process. 本発明の実施の形態に係る絶縁膜の形成方法を適用可能なTFT素子の概略構成を示す断面図である。It is sectional drawing which shows schematic structure of the TFT element which can apply the formation method of the insulating film which concerns on embodiment of this invention. プラズマ改質処理の圧力と、MOSキャパシタのリーク電流特性との関係を示すグラフ図面である。It is a graph which shows the relationship between the pressure of a plasma modification process, and the leakage current characteristic of a MOS capacitor. プラズマ改質処理の圧力と、MOSキャパシタのTDDB特性との関係を示すグラフ図面である。It is a graph which shows the relationship between the pressure of a plasma modification process, and the TDDB characteristic of a MOS capacitor. プラズマ改質処理におけるO/(Ar+O)比とTDDBとの関係を示すグラフ図面である。Is a graph showing the relationship between the O 2 / (Ar + O 2 ) ratio and TDDB in plasma modification process.

符号の説明Explanation of symbols

1…チャンバ(処理室)、2…載置台、3…支持部材、5…ヒータ、12…排気管、15…ガス導入部、16…搬入出口、18…ガス供給機構、19a…不活性ガス供給源、19b…酸素含有ガス供給源、19c…水素ガス供給源、24…排気装置、28…透過板、29…シール部材、31…平面アンテナ、32…マイクロ波放射孔、37…導波管、37a…同軸導波管、37b…矩形導波管、39…マイクロ波発生装置、50…制御部、51…プロセスコントローラ、52…ユーザーインターフェース、53…記憶部、100…プラズマ処理装置、200…基板処理システム、300…枚葉CVD成膜装置、W…半導体ウエハ(基板)   DESCRIPTION OF SYMBOLS 1 ... Chamber (processing chamber), 2 ... Mounting stand, 3 ... Support member, 5 ... Heater, 12 ... Exhaust pipe, 15 ... Gas introduction part, 16 ... Carry-in / out port, 18 ... Gas supply mechanism, 19a ... Inert gas supply 19b ... oxygen-containing gas supply source, 19c ... hydrogen gas supply source, 24 ... exhaust device, 28 ... transmission plate, 29 ... sealing member, 31 ... planar antenna, 32 ... microwave radiation hole, 37 ... waveguide, 37 ... Coaxial waveguide, 37b ... Rectangular waveguide, 39 ... Microwave generator, 50 ... Control unit, 51 ... Process controller, 52 ... User interface, 53 ... Storage unit, 100 ... Plasma processing device, 200 ... Substrate Processing system, 300 ... Single wafer CVD film forming apparatus, W ... Semiconductor wafer (substrate)

Claims (10)

被処理体の表面に露出したシリコンの上にCVD法によって、2nm以上10nm以下の範囲内の膜厚で絶縁膜を形成するCVD工程と、
前記絶縁膜に対し、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、希ガスと酸素ガスを含む処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程と、
を備え、前記CVD工程と前記プラズマ改質処理工程とを繰り返し行い絶縁膜を形成するとともに、
前記プラズマ改質処理工程は、処理圧力が6.7Pa以上67Pa以下の範囲内であり、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内であり、かつ、マイクロ波のパワー密度が0.51W/cm 以上2.56W/cm 以下の範囲内で行われ、プラズマ中の活性種として、O()ラジカルに比べ、O イオン及びO()ラジカルの濃度が相対的に高いプラズマを用いることを特徴とする絶縁膜の形成方法。
A CVD step of forming an insulating film with a film thickness in the range of 2 nm or more and 10 nm or less on the silicon exposed on the surface of the object by CVD;
A plasma reforming process for performing a reforming process on the insulating film using a plasma of a processing gas containing a rare gas and an oxygen gas in a plasma processing apparatus that introduces microwaves into a processing chamber using a planar antenna having a plurality of holes. Process,
And repeating the CVD process and the plasma modification treatment process to form an insulating film,
In the plasma reforming process, a processing pressure is in a range of 6.7 Pa to 67 Pa, and a flow rate ratio of the oxygen gas to a total flow rate of the processing gas is in a range of 0.1% to 30%. And the power density of the microwave is within the range of 0.51 W / cm 2 or more and 2.56 W / cm 2 or less, and the active species in the plasma is O 2 + as compared with the O ( 3 P 2 ) radical. A method for forming an insulating film, characterized by using plasma with relatively high concentrations of ions and O ( 1 D 2 ) radicals.
前記プラズマ改質処理工程における前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上5%以下の範囲内であることを特徴とする請求項1に記載の絶縁膜の形成方法。   2. The method for forming an insulating film according to claim 1, wherein a flow rate ratio of the oxygen gas to a total flow rate of the processing gas in the plasma reforming process is in a range of 0.1% to 5%. . 1回のプラズマ改質処理工程における処理時間が、5秒以上600秒以下の範囲内であることを特徴とする請求項に記載の絶縁膜の形成方法。 The method for forming an insulating film according to claim 2 , wherein a processing time in one plasma reforming process is in a range of 5 seconds to 600 seconds. 前記絶縁膜の合計膜厚が4nm以上1000nm以下の範囲内になるまで前記CVD工程と前記プラズマ改質処理工程とを繰り返すことを特徴とする請求項に記載の絶縁膜の形成方法。 The method for forming an insulating film according to claim 3 , wherein the CVD process and the plasma modification process are repeated until the total film thickness of the insulating film falls within a range of 4 nm to 1000 nm. 前記CVD工程と前記プラズマ改質処理工程とを真空状態で繰り返すことを特徴とする請求項1から請求項のいずれか1項に記載の絶縁膜の形成方法。 Method of forming a dielectric film according to any one of claims 1 to 4, characterized in that repeating said CVD step and the plasma modification process in a vacuum. 前記プラズマ改質処理工程における処理温度が、200℃以上600℃以下の範囲内であることを特徴とする請求項1から請求項のいずれか1項に記載の絶縁膜の形成方法。 The plasma modification process treatment in step temperature, method of forming a dielectric film according to any one of claims 1 to 5, characterized in that in the range of 200 ° C. or higher 600 ° C. or less. 前記絶縁膜を、プラズマCVD法または熱CVD法によって形成することを特徴とする請求項1から請求項のいずれか1項に記載の絶縁膜の形成方法。 Wherein an insulating film, insulating film forming method according to any one of claims 1 to claim 6, characterized in that formed by a plasma CVD method or a thermal CVD method. 前記絶縁膜が、原料ガスとしてジクロルシランとNOを用いるCVD法によって堆積させられた酸化珪素膜であることを特徴とする請求項に記載の絶縁膜の形成方法。 The method for forming an insulating film according to claim 7 , wherein the insulating film is a silicon oxide film deposited by a CVD method using dichlorosilane and N 2 O as source gases. コンピュータ上で動作する制御プログラムが記憶されたコンピュータ読み取り可能な記憶媒体であって、
前記制御プログラムは、実行時に、被処理体に対して所定の処理を行うための複数の処理チャンバを有する処理システムにおいて、被処理体の表面に露出したシリコンの上にCVD法によって、2nm以上10nm以下の範囲内の膜厚で絶縁膜を形成するCVD工程と、前記絶縁膜に対し、複数の孔を有する平面アンテナにより処理室内にマイクロ波を導入するプラズマ処理装置において、希ガスと酸素ガスを含む処理ガスのプラズマを用いて改質処理を行うプラズマ改質処理工程と、を繰り返し行う絶縁膜の形成方法が行なわれるように、コンピュータに前記処理システムを制御させるものであり、
前記プラズマ改質処理工程は、処理圧力が6.7Pa以上67Pa以下の範囲内であり、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内であり、かつ、マイクロ波のパワー密度が0.51W/cm 以上2.56W/cm 以下の範囲内で行われ、前記プラズマ中の活性種として、O()ラジカルに比べ、O イオン及びO()ラジカルの濃度が相対的に高いプラズマを用いて行われる、ことを特徴とするコンピュータ読み取り可能な記憶媒体。
A computer-readable storage medium storing a control program that runs on a computer,
In the processing system having a plurality of processing chambers for performing predetermined processing on the object to be processed at the time of execution, the control program has a thickness of 2 nm or more and 10 nm by silicon on the silicon exposed on the surface of the object to be processed. In a CVD process for forming an insulating film with a film thickness within the following range, and a plasma processing apparatus for introducing microwaves into the processing chamber by a planar antenna having a plurality of holes for the insulating film, a rare gas and an oxygen gas are used. And a plasma reforming process step of performing a reforming process using plasma of a processing gas containing, and causing the computer to control the processing system so that an insulating film forming method is repeatedly performed.
In the plasma reforming process, a processing pressure is in a range of 6.7 Pa to 67 Pa, and a flow rate ratio of the oxygen gas to a total flow rate of the processing gas is in a range of 0.1% to 30%. And the microwave power density is in the range of 0.51 W / cm 2 or more and 2.56 W / cm 2 or less, and the active species in the plasma is O 2 as compared with O ( 3 P 2 ) radicals. A computer-readable storage medium, characterized in that it is performed using a plasma with a relatively high concentration of + ions and O ( 1 D 2 ) radicals.
被処理体に対して異なる処理を行う複数の処理チャンバを有する処理システムであって、
第1の処理チャンバ内で被処理体の表面に露出したシリコンの上にCVD法によって2nm以上10nm以下の範囲内の膜厚で絶縁膜を形成するCVD工程と、前記第1の処理チャンバとは異なる第2の処理チャンバにおいて前記絶縁膜に対し、複数の孔を有する平面アンテナにより前記第2の処理チャンバ内にマイクロ波を導入することにより希ガスと酸素ガスを含む処理ガスのプラズマを形成し、該プラズマを用いてプラズマ改質処理を行うプラズマ改質処理工程と、を繰り返し行うように前記第1の処理チャンバおよび前記第2の処理チャンバを制御する制御部を備え、さらに前記制御部は、前記プラズマ改質処理工程の処理圧力が6.7Pa以上67Pa以下の範囲内であり、前記処理ガスの全流量に対する前記酸素ガスの流量比率が0.1%以上30%以下の範囲内であり、かつ、マイクロ波のパワー密度が0.51W/cm 以上2.56W/cm 以下の範囲内で行われ、使用されるプラズマが、プラズマ中の活性種として、O()ラジカルに比べ、O イオン及びO()ラジカルの濃度が相対的に高いプラズマとなるように、制御するものであることを特徴とする処理システム。
A processing system having a plurality of processing chambers for performing different processing on an object to be processed,
A CVD process for forming an insulating film with a film thickness within a range of 2 nm or more and 10 nm or less by a CVD method on silicon exposed on the surface of an object to be processed in the first processing chamber, and the first processing chamber In a different second processing chamber, a plasma of a processing gas containing a rare gas and an oxygen gas is formed by introducing a microwave into the second processing chamber with respect to the insulating film by a planar antenna having a plurality of holes. A control unit that controls the first processing chamber and the second processing chamber so as to repeatedly perform a plasma reforming process step of performing a plasma reforming process using the plasma, and the control unit further includes: , the plasma processing pressure of the reforming process is in the range of less 67Pa above 6.7 Pa, the flow rate ratio of the oxygen gas to the total flow rate of the process gas In the range of 30% or less 0.1% or more, and, the power density of the microwave is performed in the range of 0.51W / cm 2 or more 2.56 W / cm 2 or less, the plasma used is a plasma It is characterized by controlling the plasma so that the concentration of O 2 + ions and O ( 1 D 2 ) radicals is relatively high compared to O ( 3 P 2 ) radicals as the active species in them. Processing system.
JP2008029477A 2008-02-08 2008-02-08 Insulating film forming method, computer-readable storage medium, and processing system Expired - Fee Related JP5374749B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008029477A JP5374749B2 (en) 2008-02-08 2008-02-08 Insulating film forming method, computer-readable storage medium, and processing system
PCT/JP2009/052447 WO2009099254A1 (en) 2008-02-08 2009-02-06 Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
US12/865,969 US8034179B2 (en) 2008-02-08 2009-02-06 Method for insulating film formation, storage medium from which information is readable with computer, and processing system
TW098103865A TWI445083B (en) 2008-02-08 2009-02-06 Insulation film formation method, the computer can read the memory media and processing system
KR1020107017596A KR101248651B1 (en) 2008-02-08 2009-02-06 Method for insulating film formation, storage medium from which information is readable with computer, and treatment system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008029477A JP5374749B2 (en) 2008-02-08 2008-02-08 Insulating film forming method, computer-readable storage medium, and processing system

Publications (3)

Publication Number Publication Date
JP2009188349A JP2009188349A (en) 2009-08-20
JP2009188349A5 JP2009188349A5 (en) 2011-03-17
JP5374749B2 true JP5374749B2 (en) 2013-12-25

Family

ID=41071263

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008029477A Expired - Fee Related JP5374749B2 (en) 2008-02-08 2008-02-08 Insulating film forming method, computer-readable storage medium, and processing system

Country Status (1)

Country Link
JP (1) JP5374749B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5813303B2 (en) 2009-11-20 2015-11-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP6419762B2 (en) * 2016-09-06 2018-11-07 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6456893B2 (en) 2016-09-26 2019-01-23 株式会社Kokusai Electric Semiconductor device manufacturing method, recording medium, and substrate processing apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1912253A3 (en) * 2000-03-13 2009-12-30 OHMI, Tadahiro Method of forming a dielectric film
JP2005303074A (en) * 2004-04-13 2005-10-27 Renesas Technology Corp Thin film deposition equipment, and thin film forming method

Also Published As

Publication number Publication date
JP2009188349A (en) 2009-08-20

Similar Documents

Publication Publication Date Title
KR101248651B1 (en) Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
KR101364834B1 (en) Plasma-nitriding method
KR101250057B1 (en) Method for modifying insulating film with plasma
KR101188574B1 (en) Method for forming insulating film and method for manufacturing semiconductor device
US20060269694A1 (en) Plasma processing method
WO2010038900A1 (en) Silicon oxide film, method for forming silicon oxide film, and plasma cvd apparatus
JPWO2007139141A1 (en) Method for forming insulating film and method for manufacturing semiconductor device
JP5166297B2 (en) Method for forming silicon oxide film, method for manufacturing semiconductor memory device, and computer-readable storage medium
JP5339327B2 (en) Plasma nitriding method and semiconductor device manufacturing method
WO2006025363A1 (en) Silicon oxide film forming method, semiconductor device manufacturing method and computer storage medium
KR20090094033A (en) Method for forming insulating film and method for manufacturing semiconductor device
JP2012216632A (en) Plasma processing method and element isolation method
JP5357487B2 (en) Silicon oxide film forming method, computer-readable storage medium, and plasma oxidation processing apparatus
JP5374749B2 (en) Insulating film forming method, computer-readable storage medium, and processing system
JP5374748B2 (en) Insulating film forming method, computer-readable storage medium, and processing system
WO2010038887A1 (en) Silicon dioxide film and process for production thereof, computer-readable storage medium, and plasma cvd device
TW201304012A (en) Plasma nitrification method, plasma nitrification apparatus and manufacturing method of semiconductor device
JP2012079785A (en) Reforming method of insulation film
WO2010038888A1 (en) Silicon oxynitride film and process for production thereof, computer-readable storage medium, and plasma cvd device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110125

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110125

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130528

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130718

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130806

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130903

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130904

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130903

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees