JP5336070B2 - Improved method of selective epitaxial growth process. - Google Patents

Improved method of selective epitaxial growth process. Download PDF

Info

Publication number
JP5336070B2
JP5336070B2 JP2007328923A JP2007328923A JP5336070B2 JP 5336070 B2 JP5336070 B2 JP 5336070B2 JP 2007328923 A JP2007328923 A JP 2007328923A JP 2007328923 A JP2007328923 A JP 2007328923A JP 5336070 B2 JP5336070 B2 JP 5336070B2
Authority
JP
Japan
Prior art keywords
gas
halogen
semiconductor
introduction
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007328923A
Other languages
Japanese (ja)
Other versions
JP2008160123A (en
JP2008160123A5 (en
Inventor
フレデリク・レイズ
ロジャー・ルー
マティー・カイマックス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2008160123A publication Critical patent/JP2008160123A/en
Publication of JP2008160123A5 publication Critical patent/JP2008160123A5/ja
Application granted granted Critical
Publication of JP5336070B2 publication Critical patent/JP5336070B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Description

本発明は、半導体装置およびエピタキシャル半導体層を選択的に形成する方法を用いたその製造の分野に関する。   The present invention relates to the field of manufacturing semiconductor devices and methods for selectively forming epitaxial semiconductor layers.

更に、本発明は、選択エピタキシャル成長(SEG)を用いた半導体装置の改良された製造方法に関する。   Furthermore, the present invention relates to an improved manufacturing method of a semiconductor device using selective epitaxial growth (SEG).

エピタキシャル成長は、単結晶基板上に、単結晶材料の薄層(一般には0.5から20μm)を堆積させるプロセスであり、通常、化学気相成長(CVD)が用いられる。エピタキシャル成長は、成長/堆積する層の結晶構造と、基板の結晶構造との間の整合の程度により定義される。   Epitaxial growth is a process of depositing a thin layer (typically 0.5 to 20 μm) of a single crystal material on a single crystal substrate, and chemical vapor deposition (CVD) is usually used. Epitaxial growth is defined by the degree of matching between the crystal structure of the layer being grown / deposited and the crystal structure of the substrate.

半導体製造において、様々な形態に材料を堆積するためにCVDが広く用いられる。例えば、半導体産業において、CVDは、高純度および高性能の固体材料の薄膜を形成するために用いられる化学プロセスである。一般的なCVDプロセスでは、基板が、1またはそれ以上の、基板の表面上で反応および/または分解して要求された材料の所望の薄膜を形成する揮発性前駆体(半導体ソースガス)に晒される。   In semiconductor manufacturing, CVD is widely used to deposit materials in various forms. For example, in the semiconductor industry, CVD is a chemical process used to form thin films of high purity and high performance solid materials. In a typical CVD process, the substrate is exposed to one or more volatile precursors (semiconductor source gases) that react and / or decompose on the surface of the substrate to form the desired thin film of the required material. It is.

低温エピタキシャル成長は、先端の相補型金属酸化物半導体(CMOS)およびバイポーラ相補型金属酸化物半導体(BiCMOS)の電気的特性を改良するために、デバイス製造において非常に魅力的である。CMOSは、デジタルロジックおよびアナログ回路の双方をカバーできる集積回路の主要クラスである。   Low temperature epitaxial growth is very attractive in device fabrication to improve the electrical properties of advanced complementary metal oxide semiconductors (CMOS) and bipolar complementary metal oxide semiconductors (BiCMOS). CMOS is a major class of integrated circuits that can cover both digital logic and analog circuits.

特に、シリコン、シリコン−ゲルマニウム、純粋のゲルマニウム、およびGaAsやInGaAsのようなIII/V族の選択エピタキシャル成長(SEG)は、3次元バイポーラ、金属酸化物半導体(MOS)、バイポーラ相補型金属酸化物半導体(BiCMOS)、およびシリコンオンインシュレータ(SOI)装置に用いられる超集積回路(VLSI)や超々集積回路(ULSI)の製造に重要な役割を果たす。絶縁体/誘電体マスク材料に形成されたパターニング構造を有する半導体基板の場合、SEGは、半導体材料のエピタキシャル成長が、半導体基板の露出した(カバーされていない)領域のみで起きるプロセスである。絶縁体/誘電体マスクで覆われた領域で堆積/成長が起きない場合、このプロセスは「選択的」と呼ばれる。この技術は、非常に先端的なデバイスのセルフアライメント処理の可能性により、魅力的な多くの興味を有する。SEGの幾つかの重要な適用は、(i)BiCMOS技術でのヘテロ接合バイポーラトランジスタのベース層スタック、(ii)シリコンオンインシュレータ(SOI)ウエハ上と同様にバルクSi上の高くなったソース/ドレイン領域、(iii)パターニングされたSiウエハのSi上またはシャロートレンチ分離(STI)上へのGeの成長、(iv)GeまたはSTIパターニングされたウエハ上へのIII/Vの成長、である。STIは、隣接する半導体装置要素の間の電流リークを防止する集積回路構造である。   In particular, silicon, silicon-germanium, pure germanium, and III / V group selective epitaxial growth (SEG) such as GaAs and InGaAs are three-dimensional bipolar, metal oxide semiconductor (MOS), bipolar complementary metal oxide semiconductor. (BiCMOS), and plays an important role in the manufacture of super integrated circuits (VLSI) and ultra super integrated circuits (ULSI) used in silicon-on-insulator (SOI) devices. In the case of a semiconductor substrate having a patterning structure formed in an insulator / dielectric mask material, SEG is a process in which epitaxial growth of the semiconductor material occurs only in exposed (uncovered) regions of the semiconductor substrate. This process is called “selective” if no deposition / growth occurs in the area covered by the insulator / dielectric mask. This technology has a lot of attractive interest due to the possibility of very advanced device self-alignment processing. Some important applications of SEG are: (i) base layer stack of heterojunction bipolar transistors in BiCMOS technology, (ii) elevated source / drain on bulk Si as well as on silicon on insulator (SOI) wafers (Iii) growth of Ge on Si or shallow trench isolation (STI) of patterned Si wafer, (iv) growth of III / V on Ge or STI patterned wafer. STI is an integrated circuit structure that prevents current leakage between adjacent semiconductor device elements.

SEGは、2つのクラスに分離可能な、即ち塩素を用いるものと用いないもののような、様々な堆積化学のために使用されている。一般には、塩素の無い雰囲気での無制限のSEGは、1100℃より高い温度でのみ可能となる。この温度より低い場合、絶縁表面上に、一定のSiの核成長が起き、多結晶シリコンの堆積が起きる。多結晶シリコンの堆積は、基板上の多結晶シリコン層の堆積プロセスである。   SEG is used for a variety of deposition chemistries that can be separated into two classes, namely those with and without chlorine. In general, unlimited SEG in a chlorine-free atmosphere is possible only at temperatures above 1100 ° C. Below this temperature, certain Si nucleation occurs on the insulating surface and deposition of polycrystalline silicon occurs. Polycrystalline silicon deposition is a process of depositing a polycrystalline silicon layer on a substrate.

低い温度における、SEGプロセスの選択性(SEG中に、材料はベア基板の上には成長/堆積するが、絶縁体/誘電体材料の上には成長/堆積しないことを意味する)は、例えばSiHとHCl、またはHClを含むまたは含まないSiHCl(DCS)、を含む塩素混合物について示されている。かかる塩素成分は、絶縁体表面から、核の大きさが臨界に達する前に核を除去することができる。絶縁体表面でSi核形成が無い場合、選択性が増加する。 The selectivity of the SEG process at low temperatures (means that during SEG, the material grows / deposits on the bare substrate but does not grow / deposit on the insulator / dielectric material), for example Shown for chlorine mixtures containing SiH 4 and HCl, or SiH 2 Cl 2 (DCS) with or without HCl. Such chlorine components can remove nuclei from the insulator surface before the size of the nuclei reaches criticality. In the absence of Si nucleation on the insulator surface, selectivity increases.

しかし、Caymaxらが"Proceedings of the 206th Meeting of the Electrochemical Society (Abs 1363, 2004)"で述べたように、塩素ベースの化学薬品を用いた場合でも、完全な選択性が常に保証されるわけではない。Si核形成を抑制するために、エッチングガス(例えばHCl)が半導体ソースガス/前駆体に加えられる。   However, as Caymax et al. Stated in the “Proceedings of the 206th Meeting of the Electrochemical Society (Abs 1363, 2004)”, even when using chlorine-based chemicals, full selectivity is not always guaranteed. Absent. In order to suppress Si nucleation, an etching gas (eg HCl) is added to the semiconductor source gas / precursor.

エッチングは、製造中にウエハの表面から化学的に層を除去するために、微細加工で用いられる。   Etching is used in microfabrication to chemically remove layers from the wafer surface during manufacturing.

前処理の変化(例えばウエット洗浄工程中に用いられる化学薬品の濃度の変化、堆積された窒化層材料の組成/ストイキオメトリの変化、ウエット化学薬品またはキャリアガスやプロセスガスに起因する一般的な汚染(湿気、有機物、金属))は、絶縁体の組成の変化と同様に、選択性の低下に至ることが知られている。絶縁体の表面の欠陥、汚染、または不均一は、Siの核形成を促進する。拡大されたSiの核形成を補償するために、エッチングガスのより高い流れが必要となる。これは成長速度に負の影響を与え、他の望まない影響(例えばファセッティング)に対するプロセスを制御する。これは、特に製造において、重要な問題を引き起こす。   Changes in pre-treatment (e.g. changes in concentration of chemicals used during the wet cleaning process, changes in composition / stoichiometry of deposited nitride material, typical of wet chemicals or carrier and process gases) Contamination (humidity, organic matter, metal)) is known to lead to a decrease in selectivity as well as changes in the composition of the insulator. Defects, contamination, or non-uniformity of the insulator surface promotes Si nucleation. In order to compensate for expanded Si nucleation, a higher flow of etching gas is required. This negatively affects the growth rate and controls the process for other unwanted effects (eg faceting). This causes important problems, especially in manufacturing.

このように、SEGプロセスの選択性は、絶縁体材料の選択、堆積される方法、およびSEG前の工程により影響されるため、SEGに先立つ工程の変化や汚染に対して、より強いSEGプロセスを提供することが望まれる。   Thus, the selectivity of the SEG process is influenced by the choice of insulator material, the method of deposition, and the steps prior to SEG, so that a stronger SEG process against process changes and contamination prior to SEG. It is desirable to provide.

本発明は、従来技術の欠点が存在しない、選択エピタキシャル成長を用いた半導体装置を製造するための改良された方法の提供を目的とする。   An object of the present invention is to provide an improved method for manufacturing a semiconductor device using selective epitaxial growth, which does not have the disadvantages of the prior art.

特に、本発明は、深いサブミクロンCMOS用のSEG工程の熱量を強く制限した処理を目的とする。   In particular, the present invention aims at processing that strongly limits the amount of heat in the SEG process for deep submicron CMOS.

本発明の好ましい目的は、半導体基板の表面処理の方法を提供することであり、より少ない反応性の表面にし、選択エピタキシャルプロセスのためのより広いプロセスウインドウを維持することにある。   A preferred object of the present invention is to provide a method for surface treatment of a semiconductor substrate, to provide a less reactive surface and to maintain a wider process window for selective epitaxial processes.

本発明の他の目的は、ファセッティングまたはn型とp型に注入された基板で異なる成長速度を調整する余地を与える改良された方法を提供することにある。   It is another object of the present invention to provide an improved method which provides room for adjusting different growth rates in faceted or n-type and p-type implanted substrates.

本発明は、例えば選択性の増加のような、選択エピタキシャル成長プロセスの特性を改良する方法の提供を目的とする。   The present invention seeks to provide a method for improving the characteristics of a selective epitaxial growth process, such as increased selectivity.

本発明は、更には、以下のような、選択エピタキシャル成長(SEG)工程を用いた半導体装置の製造方法の提供を目的とする。
かかる製造方法は、少なくとも、
半導体基板を供給する工程と、
半導体基板の上に絶縁性材料のパターンを形成し、これによりカバーされおよびカバーされない表面を形成する工程と、
形成された絶縁性材料のパターンを有する半導体基板の、カバーされたおよびカバーされない表面を洗浄する工程と、
絶縁性材料のパターンを有する基板をエピタキシャルリアクタの反応チャンバ中に入れる工程と、
可能であれば少なくとも1つの第1キャリアガスと共に、少なくとも1つの半導体ソースガスを、エピタキシャルリアクタの反応チャンバ中に導入する工程を含む選択エピタキシャル成長を開始する工程とを含む。
Another object of the present invention is to provide a method for manufacturing a semiconductor device using a selective epitaxial growth (SEG) process as described below.
Such a manufacturing method is at least
Supplying a semiconductor substrate;
Forming a pattern of insulating material on a semiconductor substrate, thereby forming a covered and uncovered surface;
Cleaning a covered and uncovered surface of a semiconductor substrate having a pattern of formed insulating material;
Placing a substrate having a pattern of insulating material into a reaction chamber of an epitaxial reactor;
Initiating selective epitaxial growth including introducing at least one semiconductor source gas into the reaction chamber of the epitaxial reactor together with at least one first carrier gas if possible.

本発明によれば、かかるプロセスは、選択エピタキシャル成長を開始する工程に先立って、反応チャンバ中で、可能であれば第2キャリアガスと共にハロゲン含有エッチングガスを導入して、基板の表面にその場前処理が行われることを特徴とする。   In accordance with the present invention, such a process involves introducing a halogen-containing etching gas with a second carrier gas, if possible, in the reaction chamber prior to the step of initiating selective epitaxial growth, possibly in-situ on the surface of the substrate. Processing is performed.

更には、本発明によれば、基板をエピタキシャルリアクタに導入するのに先立って、基板に洗浄工程が行われる。   Furthermore, according to the present invention, a cleaning process is performed on the substrate prior to introducing the substrate into the epitaxial reactor.

更には、洗浄工程は、ウエット洗浄および/またはウエットエッチング処理を含む。   Further, the cleaning step includes wet cleaning and / or wet etching.

好適には、半導体基板は、単結晶シリコン基板、単結晶ゲルマニウム基板、単結晶シリコンゲルマニウム基板、単結晶シリコンゲルマニウムカーバイド基板、単結晶シリコンカーバイド基板、およびシリコンオンインシュレータ(SOI)基板からなる組から選択される。   Preferably, the semiconductor substrate is selected from the group consisting of a single crystal silicon substrate, a single crystal germanium substrate, a single crystal silicon germanium substrate, a single crystal silicon germanium carbide substrate, a single crystal silicon carbide substrate, and a silicon on insulator (SOI) substrate. Is done.

好適には、絶縁性材料は、誘電体材料であり、好ましくは、二酸化シリコン(SiO)または窒化シリコン(Si)である。 Suitably, the insulating material is a dielectric material, preferably silicon dioxide (SiO 2 ) or silicon nitride (Si 3 N 4 ).

好適には、主な半導体ソースガスは、シリコンソースガス、ゲルマニウムソースガス、シリコンゲルマニウムソースガス、III/Vソースガス、カーボンソースガス、およびゲルミルシランガスおよびその混合ガスの組から選択されるソースガス、からなる組から選択される。   Preferably, the main semiconductor source gas is a source gas selected from the group consisting of a silicon source gas, a germanium source gas, a silicon germanium source gas, a III / V source gas, a carbon source gas, and a germanylsilane gas and a mixed gas thereof. , Are selected from the group consisting of

好適には、ハロゲン含有エッチングガスは、FまたはClを含有するガスであり、更に好適には、HClガス、Clガス、希釈されたHClガス、および希釈されたClガスのようなCl含有ガス、または他のフッ素含有ガス、またはそれらの混合ガスである。 Preferably, the halogen-containing etching gas is a gas containing F or Cl, and more preferably Cl-containing, such as HCl gas, Cl 2 gas, diluted HCl gas, and diluted Cl 2 gas. Gas, or other fluorine-containing gas, or a mixed gas thereof.

好適には、差異1および/または第2のキャリアガスは、Hガスまたは不活性ガスである。 Suitably, the difference 1 and / or the second carrier gas is H 2 gas or an inert gas.

好適な具体例では、ハロゲン含有エッチングガスの導入は、少なくとも1つの半導体ソースガスの導入に先立って少なくとも1回行われ、少なくとも1つの半導体ソースガスの導入する間に、ハロゲン含有エッチングガスの導入は、中断すること無く続けられる。   In a preferred embodiment, the introduction of the halogen-containing etching gas is performed at least once prior to the introduction of the at least one semiconductor source gas, and the introduction of the halogen-containing etching gas is performed during the introduction of the at least one semiconductor source gas. Continue without interruption.

他の好適な具体例では、ハロゲン含有エッチングガスの導入は、少なくとも1つの半導体ソースガスの導入に先立って少なくとも1回行われ、少なくとも1つの半導体ソースガスの導入しながら、ハロゲン含有エッチングガスの導入は、停止し、再開される。   In another preferred embodiment, the introduction of the halogen-containing etching gas is performed at least once prior to the introduction of the at least one semiconductor source gas, and the introduction of the halogen-containing etching gas is performed while the at least one semiconductor source gas is being introduced. Is stopped and restarted.

他の好適な具体例では、少なくとも1つの半導体ソースガスの導入と、ハロゲン含有エッチングガスの導入とが、繰り返し行われる。   In another preferred embodiment, introduction of at least one semiconductor source gas and introduction of a halogen-containing etching gas are repeated.

好適には、選択エピタキシャル成長工程に先立って行われるハロゲン含有エッチングガスを用いたその場前処理は、その場H熱アニールにより行われる。 Preferably, the in-situ pretreatment using a halogen-containing etching gas that is performed prior to the selective epitaxial growth step is performed by in-situ H 2 thermal annealing.

より好適には、その場H熱アニールの温度は、ハロゲン含有エッチングガスを用いたその場前処理の温度より高い。 More preferably, the temperature of the in situ H 2 thermal annealing is higher than the temperature of the in situ pretreatment using a halogen-containing etching gas.

より好適には、その場H熱アニールは、700℃と900℃の間の温度、より好ましくは700℃と850℃の間の温度で行われる。 More preferably, the in situ H 2 thermal anneal is performed at a temperature between 700 ° C. and 900 ° C., more preferably between 700 ° C. and 850 ° C.

好適な具体例では、ハロゲン含有エッチングガスを用いたその場前処理の温度は、選択エピタキシャル成長工程の温度より高い温度で行われる。   In a preferred embodiment, the temperature of the in-situ pretreatment using the halogen-containing etching gas is higher than the temperature of the selective epitaxial growth process.

他の好適な具体例では、ハロゲン含有エッチングガスを用いたその場前処理の温度は、選択エピタキシャル成長工程の温度より低い温度で行われる。   In another preferred embodiment, the temperature of the in-situ pretreatment using the halogen-containing etching gas is performed at a temperature lower than the temperature of the selective epitaxial growth process.

好適には、選択エピタキシャル成長は、500℃と900℃の間の温度で行われる。   Preferably, selective epitaxial growth is performed at a temperature between 500 ° C and 900 ° C.

好適には、選択エピタキシャル成長は、減圧(LPCVD)または大気圧で行われる。   Preferably, selective epitaxial growth is performed at reduced pressure (LPCVD) or atmospheric pressure.

より好適には、ハロゲン含有エッチングガスを用いたその場前処理は、500℃と900℃の間の温度、好ましくは550℃と750℃の間の温度で行われる。   More preferably, the in situ pretreatment with a halogen-containing etching gas is performed at a temperature between 500 ° C. and 900 ° C., preferably between 550 ° C. and 750 ° C.

より好適には、ハロゲン含有エッチングガスを用いたその場前処理の温度は、900℃より低い。   More preferably, the temperature of the in situ pretreatment using a halogen-containing etching gas is lower than 900 ° C.

より好適には、ハロゲン含有エッチングガスを用いたその場前処理の最小継続時間は、少なくとも1秒で、好適には少なくとも1分である。   More preferably, the minimum duration of the in-situ pretreatment using a halogen-containing etching gas is at least 1 second, preferably at least 1 minute.

好適には、ハロゲン含有エッチングガスを用いたその場前処理は、少なくとも30秒、より好ましくは1〜10分間、より好ましくは1〜8分間、より好ましくは2〜4分間行われる。   Suitably, the in situ pretreatment with a halogen-containing etching gas is performed for at least 30 seconds, more preferably 1 to 10 minutes, more preferably 1 to 8 minutes, more preferably 2 to 4 minutes.

有利には、ハロゲン含有エッチングガスを用いたその場前処理中に必要とされるエッチングの量が、0.5Å〜10Åの半導体材料のエッチングより少ないか同じとなるように、処理の温度と時間が決められるべきである。   Advantageously, the temperature and time of the process so that the amount of etching required during the in-situ pretreatment using the halogen-containing etching gas is less than or equal to the etching of the semiconductor material of 0.5 to 10 mm. Should be decided.

好適には、前処理中に、10Åより少なく、より好適には5Åより少ない半導体材料が、カバーされていない表面から除去される。   Preferably, during pre-treatment, less than 10 mm, more preferably less than 5 mm of semiconductor material is removed from the uncovered surface.

本発明で使用される「その場(in situ)」の語は、エピタキシャルリアクタの反応チャンバの内側を意味する。   As used herein, the term “in situ” means inside the reaction chamber of an epitaxial reactor.

本発明で使用される「絶縁(insulating)」は、非導電性材料で囲むことにより電流経路を妨げる特性をいう。   “Insulating” as used in the present invention refers to the property of obstructing the current path by surrounding it with a non-conductive material.

本発明で使用される「絶縁体(insulator)」の語は、絶縁性の、即ち非電気伝導性の材料をいう。   As used herein, the term “insulator” refers to an insulating or non-electrically conductive material.

本発明で使用される「誘電体(dielectric)」は、非電気伝導性を意味する。   As used herein, “dielectric” means non-electrically conductive.

本発明において、「絶縁体(insulator)」と「誘電体(dielectric)」の語は等価であることが理解される。   In the present invention, it is understood that the terms “insulator” and “dielectric” are equivalent.

本発明で使用される「導入(injection)」の語は、ガスの導入を開始し、所定の期間この導入を続ける行動を意味する。   The term “injection” as used in the present invention means the action of starting the introduction of gas and continuing this introduction for a predetermined period of time.

期間は、開始時間と終了時間により定義されることが理解される。   It will be understood that a period is defined by a start time and an end time.

本発明の目的は、限定されない具体例や図面を用いて更に詳細に説明されるであろう。   The objects of the present invention will be explained in more detail using non-limiting examples and drawings.

一の形態では、本発明はCMOS装置のような半導体装置の製造方法に関する。非単結晶パターンが単結晶半導体基板の上に形成される。CMOSの場合、絶縁体スペーサが非単結晶パターンの側壁上に形成される。   In one aspect, the present invention relates to a method for manufacturing a semiconductor device such as a CMOS device. A non-single crystal pattern is formed on the single crystal semiconductor substrate. In the case of CMOS, insulator spacers are formed on the sidewalls of the non-single crystal pattern.

絶縁性スペーサを有する基板の表面は、反応チャンバに導入される前に、洗浄され、自然酸化膜を除去するためのウエットエッチング処理が行われても良い。周囲の環境に晒された場合に(ベアの)基板の上に自然酸化膜が成長するため、洗浄および/またはウエットエッチング処理であるこの処理は、エピタキシャル成長の直前に必要である。   The surface of the substrate having an insulating spacer may be cleaned before being introduced into the reaction chamber and may be subjected to a wet etching process for removing the natural oxide film. This process, which is a cleaning and / or wet etching process, is necessary just prior to epitaxial growth, as natural oxide grows on the (bare) substrate when exposed to the surrounding environment.

例えば希釈されたHF工程のようなウエットエッチ処理は、絶縁性材料の反応性を増幅させ、選択エピタキシャル成長中の選択性の低下に繋がる。洗浄処理(ウエットエッチング)の後に、絶縁性スペーサを有する基板が、エピタキシャル装置の反応チャンバに入れられる。   For example, a wet etch process such as a diluted HF process amplifies the reactivity of the insulating material and leads to a decrease in selectivity during selective epitaxial growth. After the cleaning process (wet etching), a substrate having an insulating spacer is placed in the reaction chamber of the epitaxial apparatus.

第1のアニール工程が、次に、選択的に基板に適用される。アニール工程は、反応チャンバ中にキャリアガスを導入して行われる。水素がキャリアガスとして用いられ、アニール工程が、好適には700℃と900℃の間の温度で行われる。   A first annealing step is then selectively applied to the substrate. The annealing process is performed by introducing a carrier gas into the reaction chamber. Hydrogen is used as the carrier gas and the annealing step is preferably performed at a temperature between 700 ° C. and 900 ° C.

次に、アニール工程が終了した後に、エッチングガスを用いたその場前処理が行われる。半導体ソースガスの導入に先立って、エッチングガスが反応チャンバ中に導入される。これにより、絶縁性材料の増幅された反応性の補正が行われる。少なくとも1つの半導体ソースガスが反応チャンバに導入された時に、選択エピタキシャル成長が始まる。その場前処理中や選択エピタキシャル成長中に、中断することなくエッチングガスを続けて供給しても良いが、使用される流速は、工程によって異なる。   Next, after the annealing process is completed, in-situ pretreatment using an etching gas is performed. Prior to the introduction of the semiconductor source gas, an etching gas is introduced into the reaction chamber. This corrects the amplified reactivity of the insulating material. Selective epitaxial growth begins when at least one semiconductor source gas is introduced into the reaction chamber. The etching gas may be continuously supplied without interruption during in-situ pretreatment or selective epitaxial growth, but the flow rate used varies depending on the process.

一の具体例では、スペーサは、一般には、酸化シリコンや窒化シリコンのようなシリコンベースの誘電体材料を含む。   In one embodiment, the spacer typically comprises a silicon-based dielectric material such as silicon oxide or silicon nitride.

幾つかの具体例では、単結晶半導体基板は、以下の基板、即ち、異なった組成のSi、Ge、SiGe、SiGeC、およびSiC、またはシリコンオンインシュレータ(SOI)基板の1つであっても良い。   In some embodiments, the single crystal semiconductor substrate may be one of the following substrates: Si, Ge, SiGe, SiGeC, and SiC of different composition, or a silicon on insulator (SOI) substrate. .

他の具体例では、非単結晶半導体誘電体パターンが、アモルファス半導体層または多結晶半導体層から形成される。アモルファス半導体層または多結晶半導体層は、シリコン層、ガリウム層、シリコンガリウム層、または金属ゲート材料でも良い。   In other embodiments, the non-single crystal semiconductor dielectric pattern is formed from an amorphous semiconductor layer or a polycrystalline semiconductor layer. The amorphous semiconductor layer or the polycrystalline semiconductor layer may be a silicon layer, a gallium layer, a silicon gallium layer, or a metal gate material.

他の好適な具体例では、エッチングガスは、エピタキシャル半導体層と反応し、バルク絶縁体材料をエッチングしないハロゲン成分を含むことが好ましい。従って、その場処理は、絶縁性材料の薄い表面層のみに影響する。ハロゲン含有エッチングガスは、HClガス、Clガス、希釈されたHCl、または希釈されたClガスであっても良い。希釈されたHClガスは、HClとHの混合ガス、またはHClと不活性ガス(例えばArまたはHe)の混合ガスであっても良い。希釈されたClガスは、ClとHの混合ガス、またはClと不活性ガス(例えばArまたはHe)の混合ガスであっても良い。 In another preferred embodiment, the etching gas preferably includes a halogen component that reacts with the epitaxial semiconductor layer and does not etch the bulk insulator material. Thus, the in-situ treatment only affects the thin surface layer of insulating material. The halogen-containing etching gas may be HCl gas, Cl 2 gas, diluted HCl, or diluted Cl 2 gas. The diluted HCl gas may be a mixed gas of HCl and H 2 or a mixed gas of HCl and an inert gas (for example, Ar or He). The diluted Cl 2 gas may be a mixed gas of Cl 2 and H 2 , or a mixed gas of Cl 2 and an inert gas (eg, Ar or He).

他の具体例では、半導体ソースガスは、シリコンソースガス、ゲルマニウムソースガス、およびシリコンゲルマソースガスの内の1つ、またはIII/V又はそれらの混合でも良い。シリコンソースガスは、シラン(SiH)ガス、ジシラン(Si)ガス、ジクロロシラン(SiHCl)ガス、SiHClガス、およびSiClガスのうちの1つであっても良く、ゲルマニウムソースガスはGeHガスであっても良い。半導体ソースガスは、また、例えばHGeSiH、(HGe)SiH、(HGe)SiH、(HGe)Siのようなゲルミルシランのファミリーのより先端的なガスの1つでも良く、または、SiCおよびSiGeCの成長のために炭素ソースガスを含んでも良い、炭素ソースガスは、CガスまたはCHSiHガスであっても良い。半導体ソースガスは、n型ドーピングのためにはフォスフィン(PH)のようなドーピングガスを含み、p型ドーピングのためにはジボラン(B)のようなドーピングガスを含むことが好ましい。 In other embodiments, the semiconductor source gas may be one of a silicon source gas, a germanium source gas, and a silicon germanium source gas, or III / V or a mixture thereof. The silicon source gas may be one of silane (SiH 4 ) gas, disilane (Si 2 H 6 ) gas, dichlorosilane (SiH 2 Cl 2 ) gas, SiHCl 3 gas, and SiCl 4 gas, The germanium source gas may be GeH 4 gas. The semiconductor source gas is also a more advanced gas of the family of germylsilanes such as H 3 GeSiH 3 , (H 3 Ge) 2 SiH 2 , (H 3 Ge) 3 SiH, (H 3 Ge) 4 Si The carbon source gas, which may be one or may contain a carbon source gas for the growth of SiC and SiGeC, may be C 2 H 6 gas or CH 3 SiH 3 gas. The semiconductor source gas preferably includes a doping gas such as phosphine (PH 3 ) for n-type doping and a doping gas such as diborane (B 2 H 6 ) for p-type doping.

絶縁性材料(例えばSiO、Si、SiC、または重合体材料)の選択、およびこれが堆積される方法のほかに、洗浄や希釈されたHFへの浸責のようなSEGに先立つ処理工程が、SEGプロセスの選択性に重要な影響を与えることも注意すべきである。 In addition to the choice of insulating material (eg, SiO 2 , Si 3 H 4 , SiC, or polymer material) and the manner in which it is deposited, processes prior to SEG such as cleaning and immersion in diluted HF It should also be noted that the process has an important impact on the selectivity of the SEG process.

ディープサブミクロンCMOSプロセスの選択エピタキシャル成長の熱量に対する強い制限のため、成長に先立って、ほとんどの自然酸化物を除去するためにHF浸責を行うことが必要となる。負の副作用として、HF浸責は、絶縁性材料の表面をより反応性にし、これは、成長が選択されるプロセスウインドウを狭くする。拡張された反応性は、窒化シリコンおよび酸化シリコンのような絶縁性材料で観察される。   Due to the strong limitations on the amount of heat of selective epitaxial growth in deep sub-micron CMOS processes, it is necessary to perform HF dip prior to growth to remove most of the native oxide. As a negative side effect, HF dip makes the surface of the insulating material more reactive, which narrows the process window in which growth is selected. Extended reactivity is observed with insulating materials such as silicon nitride and silicon oxide.

特に、窒化シリコンの場合、エピタキシャル成長に先立って行われ、自然酸化物を除去する希釈されたHF工程がそれに続く、洗浄工程は、Si表面をより反応性にし、SEG中の選択性の低下を導くこと注意すべきである。更には、希釈されたHFで処理されたSi表面では、未洗浄の表面より多くの核成長が起きることが観察されている。形成された核の数の増加は、表面の反応性の直接的な測定である。 In particular, in the case of silicon nitride, a cleaning step, which is performed prior to epitaxial growth and is followed by a diluted HF step to remove native oxide, makes the Si 3 N 4 surface more reactive and increases the selectivity in SEG. It should be noted that this leads to a decline. Furthermore, it has been observed that more nucleation occurs on the Si 3 N 4 surface treated with diluted HF than on the uncleaned surface. The increase in the number of nuclei formed is a direct measure of surface reactivity.

HF後の窒化物表面の増加した反応性は、以下の実験により観察される。窒化物表面上への、ジクロロシラン(DCS)を用いた短時間の堆積である。DCSは、Clを含むため、余分にHClを加えることなくほぼ選択性を有するSi前駆体ガスである。堆積時間が非常に短時間であるため、詰まった層の代わりに、分離した核のみが窒化物の表面に形成される。所定の堆積時間に形成される核の数を数えることができ、これは表面の反応性や反応性ガスおよび反応管の状態の、直接的な測定となる。   The increased reactivity of the nitride surface after HF is observed by the following experiment. Short deposition using dichlorosilane (DCS) on the nitride surface. Since DCS contains Cl, it is a Si precursor gas having almost selectivity without adding extra HCl. Due to the very short deposition time, only separated nuclei are formed on the nitride surface instead of the clogged layer. The number of nuclei formed at a given deposition time can be counted, which is a direct measurement of surface reactivity, reactive gas and reaction tube conditions.

この方法を用いることにより、DCS堆積に先立って窒化物の表面がHF浸責された場合、少なくとも桁のオーダでの核の数の増加が観察される。   By using this method, an increase in the number of nuclei, at least on the order of orders, is observed when the nitride surface is HF-impregnated prior to DCS deposition.

拡張された窒化物の反応性は、以下の実験により示される。即ち、3つのシリコンウエハに対して、最初に20nmのPECVD窒化物が堆積され、続いて、過酸化アンモニウム混合液(HOH/H/HO=1:1:5)からなる標準的なSC1洗浄が行われ、続いてDIリンスと乾燥が行われる。 The extended nitride reactivity is shown by the following experiment. That is, 20 nm of PECVD nitride is first deposited on three silicon wafers, followed by ammonium peroxide mixture (H 4 OH / H 2 O 2 / H 2 O = 1: 1: 5). A standard SC1 wash followed by a DI rinse and drying.

全てのウエハは、短時間のDCSを用いた堆積のために、エピタキシャル(エピ)リアクタに入れられる。エピタキシャル成長工程に先立って、ウエハ2、3は、2%HF中で30秒間、余分のHF浸責処理が行われる。DCS堆積に先立って、ウエハ3は、エピリアクタ中でその場HCl前処理が行われる。表1は、全てのこれらの場合の、エピ堆積の前後における粒子測定の結果をまとめたものである。   All wafers are placed in an epitaxial (epi) reactor for deposition using a short time DCS. Prior to the epitaxial growth process, the wafers 2 and 3 are subjected to an extra HF immersion treatment in 2% HF for 30 seconds. Prior to DCS deposition, the wafer 3 is subjected to in situ HCl pretreatment in an epireactor. Table 1 summarizes the results of particle measurements before and after epi deposition in all these cases.

堆積前において、全てのウエハは、同程度の光点欠陥(LPD:Light Point Defect)を示す。表1から分かるように、異なるビン(ビン(bin)は、粒子直径に基づく粒子サイズのばらつきの特定の範囲をいう)についての分布は等しく、ヘイズ(haze:粒子測定ツールにより与えられるバックグラウンドのスキャッタリング情報であり、例えば直径が50nm以下の小さな粒子の存在のような、表面の小さな寸法の変化を測定する)は、同様の値を示している。3つのウエハ全てについて、0.1ミクロンより大きい直径のLPDに対応して、ビン1について約250カウントが測定され、ヘイズは、0.15ppmより低い値を示す。   Prior to deposition, all wafers show similar light point defects (LPD). As can be seen from Table 1, the distribution for different bins (bins refer to a specific range of particle size variation based on particle diameter) is equal and the haze (background measurement provided by the particle measurement tool) Scattering information, measuring small surface dimensional changes such as the presence of small particles with a diameter of 50 nm or less, for example, shows similar values. For all three wafers, approximately 250 counts for bin 1 were measured, corresponding to LPDs with diameters greater than 0.1 microns, and haze values below 0.15 ppm.

堆積の後、ビン1におけるLPDの数は、ウエハ2、3でずっと大きくなり、これらは堆積前に希釈されたHF浸責を行ったものである。ウエハ2の場合、ビン1および2の双方が飽和し、平均ヘイズは約8.089ppmであり、窒化物表面において、多数の小さな核が存在することを示している。   After deposition, the number of LPDs in bin 1 is much higher on wafers 2 and 3, which were diluted HF dip prior to deposition. For wafer 2, both bins 1 and 2 are saturated and the average haze is about 8.089 ppm, indicating that there are many small nuclei on the nitride surface.

エピリアクタ中のその場HCl前処理が続いて行われる、最初の希釈されたHF浸責をDCS堆積に先立って行ったウエハ3は、堆積後において、LPDの数が十分に低減されている。ヘイズは、約30%低くなり、ビン2の値(0.150ミクロンより大きな直径を有するLPD)は、20802から530に減っており、これはウエハ1の値と実際に似ている。この場合、窒化物表面の増加した反応性は、その場HCl前処理により減少した。   The wafer 3 that was subjected to the first diluted HF dip prior to DCS deposition followed by in situ HCl pretreatment in the epireactor has a sufficiently reduced number of LPDs after deposition. The haze is about 30% lower and the bin 2 value (LPD with a diameter greater than 0.150 microns) is reduced from 20802 to 530, which is actually similar to that of wafer 1. In this case, the increased reactivity of the nitride surface was reduced by in situ HCl pretreatment.

それゆえに、SEGプロセスに先立つその場HCl前処理は、最初に希釈されたHF処理により影響された、窒化物の上面層のストイキオメトリを回復させる。   Therefore, in situ HCl pretreatment prior to the SEG process restores the top layer stoichiometry of the nitride, which was affected by the initially diluted HF treatment.

更に、その場HCl処理はプロセスパラメータの他の変動を補正できるため、SEGプロセスはより確固となる。   In addition, the SEG process is more robust because in situ HCl treatment can compensate for other variations in process parameters.

例えば、SEGプロセス中に加えられる(HCl)エッチングガス流のために、臨界値より低い値が使用される。臨界値は、その場HCl前処理無しに、窒化物に対して十分な選択性を有するために必要とされる、最小エッチングガスの流速をいう。その場HCl前処理の他の特徴は、エッチングガス(HCl)の流速を低くすることにより、ファセッティングやn型とp型の注入基板上の成長遅速度の差について、プロセスを調整する余地が大きくなるということである。   For example, a lower than critical value is used for the (HCl) etch gas flow added during the SEG process. The critical value refers to the minimum etch gas flow rate required to have sufficient selectivity for nitride without in situ HCl pretreatment. Another feature of in-situ HCl pretreatment is that there is room to adjust the process for faceting and the difference in growth lag on the n-type and p-type implanted substrates by lowering the flow rate of the etching gas (HCl). It will be bigger.

同時に、その場HCl前処理を導入することにより、SEGプロセスが、洗浄により導入される変化、堆積された窒化物層のストイキオメトリ、キャリアガス/プロセスガスから、または洗浄バスからの金属または水分の汚染に対してより確固となる。   At the same time, by introducing in-situ HCl pretreatment, the SEG process changes the changes introduced by cleaning, stoichiometry of the deposited nitride layer, carrier gas / process gas, or metal or moisture from the cleaning bath Be more robust against contamination.

更に、表面の高ドープ層をエッチングすることにより、n型およびp型の半導体基板上の成長速度の差の低減が、達成される。   Furthermore, by etching the highly doped layer on the surface, a reduction in the difference in growth rate on the n-type and p-type semiconductor substrates is achieved.

HF浸責に先立って、アズデポ(as-deposited)層上の窒化物層の上に薄い酸化層がありそうであり、これは実際にXPS測定により確認されている。薄い酸化層は、HP浸責によりほぼ完全に除去され、SEG中の選択性低下に潜在的に繋がる。なぜなら、酸化物に対する選択性が、窒化物に対する選択性より良好であることが知られているためである。   Prior to HF immersion, there is likely a thin oxide layer on the nitride layer on the as-deposited layer, which has actually been confirmed by XPS measurements. The thin oxide layer is almost completely removed by HP immersion, potentially leading to selectivity degradation during SEG. This is because it is known that the selectivity to oxide is better than the selectivity to nitride.

好ましい具体例では、プロセスフローは、少なくとも以下の工程を含む。
(I)ウエット洗浄工程、(標準的なRCA洗浄の第1工程として良く知られている)SC1洗浄でも良い。
(II)希釈されたHF処理(浸責)、一般にはHF2%で30秒。
(III)温度が700〜900℃のH雰囲気中で1〜10分の熱アニール。
(IV)20〜40slm水素中で希釈された50〜100sccmのHCl流を用いた、500〜900℃の間の温度でのその場HCl前処理、それぞれの時間は2〜4分の間が好ましい。
(V)エッチングガス(例えばHCl)と少なくとも1つのソースガス(例えばSiH、SiCl)とを同時に供給することにより選択エピタキシャル成長。
In a preferred embodiment, the process flow includes at least the following steps.
(I) Wet cleaning step, SC1 cleaning (well known as the first step of standard RCA cleaning) may be used.
(II) Diluted HF treatment (immersion), typically 30% at 2% HF.
(III) Thermal annealing for 1 to 10 minutes in an H 2 atmosphere at 700 to 900 ° C.
(IV) In situ HCl pretreatment at a temperature between 500-900 ° C. with a 50-100 sccm HCl stream diluted in 20-40 slm hydrogen, each time preferably between 2-4 minutes .
(V) Selective epitaxial growth by simultaneously supplying an etching gas (for example, HCl) and at least one source gas (for example, SiH 4 , SiCl 2 H 2 ).

それらの工程は、好ましくは連続的な工程である。   These processes are preferably continuous processes.

その場HCl前処理(上記手順および図1の工程IV)は、選択エピタキシャル成長(V)に先立って行われ、選択的には、その場Hアニール(III)が、その前に行われる。工程(I)、(II)は、好ましくはエピリアクタ外でのウエット処理操作である。工程(III)〜(V)は、エピリアクタ中でその場で行われる。 In situ HCl pretreatment (above procedure and step IV in FIG. 1) is performed prior to selective epitaxial growth (V), and optionally in situ H 2 anneal (III) is performed before. Steps (I) and (II) are preferably wet treatment operations outside the epireactor. Steps (III) to (V) are performed in situ in the epireactor.

図2は、その場HCl前処理が、少なくとも1つの半導体ソースガスの導入の直前に行われる場合を示す。本発明によれば、エッチングガス(HCl)の導入は、少なくとも1つの半導体ソースガスの導入(t0)前に時間間隔δ0をおいて始められる。   FIG. 2 shows the case where the in situ HCl pretreatment is performed immediately before the introduction of at least one semiconductor source gas. According to the present invention, the introduction of the etching gas (HCl) is started at a time interval δ0 before the introduction (t0) of at least one semiconductor source gas.

図2のAに示された好ましい具体例によれば、エッチングガス(HCl)の導入は、少なくとも1つの半導体ソースガスの導入後も中断することなく続けられる。   According to the preferred embodiment shown in FIG. 2A, the introduction of the etching gas (HCl) is continued without interruption after the introduction of at least one semiconductor source gas.

図2のBに示す他の具体例によれば、エッチングガス(HCl)の導入は、少なくとも1つの半導体ソースガスの導入(t0)前に時間間隔δ0をおいて始められるが、続いて中断され、少なくとも1つの半導体ソースガスの導入とともに再開される。   According to another embodiment shown in FIG. 2B, the introduction of the etching gas (HCl) is started at a time interval δ0 before the introduction of at least one semiconductor source gas (t0), but is subsequently interrupted. And resumed with the introduction of at least one semiconductor source gas.

図2のBの場合、エピタキシャル工程の堆積温度は、その場前処理温度より低くなり、ハロゲン種の脱着を防止し、保護効果を持続させる。   In the case of FIG. 2B, the deposition temperature in the epitaxial process is lower than the in-situ pretreatment temperature, preventing the desorption of halogen species and maintaining the protective effect.

図2のCは、複数の工程(n)の堆積を示し、エッチングガス(HCl)の導入は、少なくとも1つの半導体ソースガスの導入(ti、i=0〜n)前に毎回、時間間隔δiをおいて始められる。図2のCの場合、堆積工程(i)は、堆積工程(i−1)が終了した直後に開始することができる。   FIG. 2C shows the deposition of a plurality of steps (n), and the introduction of the etching gas (HCl) is performed every time interval δi before the introduction of at least one semiconductor source gas (ti, i = 0 to n). You can get started. In the case of FIG. 2C, the deposition step (i) can be started immediately after the deposition step (i-1) is completed.

工程(2)、(2)’または(2)’’中のいずれかの半導体ソースガスの導入温度に比較して、工程(1)、(1)’または(1)’’中のハロゲン含有エッチングガスの導入温度は、同じまたは異なって、より高くてもより低くても良い。   Halogen content in step (1), (1) ′ or (1) ″ as compared to the introduction temperature of any semiconductor source gas in step (2), (2) ′ or (2) ″ The introduction temperature of the etching gas may be the same or different and may be higher or lower.

工程(1)、(1)’および(1)’’中のハロゲン含有エッチングガスは、工程(2)、(2)’ および(2)’’中の半導体ソースガスと同様に、同じまたは異なって、より高くてもより低くても良い。   The halogen-containing etching gas in steps (1), (1) ′ and (1) ″ is the same or different as the semiconductor source gas in steps (2), (2) ′ and (2) ″. Higher or lower.

工程(2)、(2)’ および(2)’’中のいずれかの半導体ソースガスの導入中に、工程(1)、(1)’ および(1)’’中のガスが、メインエッチングガスとして供給される。   During the introduction of any of the semiconductor source gases in steps (2), (2) ′ and (2) ″, the gas in steps (1), (1) ′ and (1) ″ is the main etch. Supplied as a gas.

好ましい具体例では、図1の工程VIに対応する工程(1)、(1)’ および(1)’’に記載されたその場(HCl)前処理が、好適には500〜900℃の間の温度で行われ、より好適には500〜850℃の間の温度で行われ、更により好適には550〜750℃の温度で行われる。   In a preferred embodiment, the in situ (HCl) pretreatment described in steps (1), (1) ′ and (1) ″ corresponding to step VI in FIG. 1 is preferably between 500 and 900 ° C. More preferably, it is performed at a temperature between 500 and 850 ° C, and even more preferably at a temperature of 550 to 750 ° C.

好ましい具体例では、図1の工程VIに対応する工程(1)、(1)’ および(1)’’に記載されたその場(HCl)前処理の温度は、Cl保護種の脱着を防止するために、900℃より低くすべきである。   In a preferred embodiment, the in-situ (HCl) pretreatment temperature described in steps (1), (1) ′ and (1) ″ corresponding to step VI in FIG. 1 prevents desorption of Cl-protected species. In order to do so, it should be lower than 900 ° C.

好ましい具体例では、図1の工程VIに対応する工程(1)、(1)’ および(1)’’に記載されたその場(HCl)前処理は、少なくとも30秒の継続時間を有し、好適には1〜10分間であり、より好適には1〜8分間であり、更に好適には、2〜4分間である。   In a preferred embodiment, the in situ (HCl) pretreatment described in steps (1), (1) ′ and (1) ″ corresponding to step VI in FIG. 1 has a duration of at least 30 seconds. 1 to 10 minutes, preferably 1 to 8 minutes, and more preferably 2 to 4 minutes.

他の好ましい具体例では、図1の工程VIに対応する工程(1)、(1)’ および(1)’’に記載されたその場(HCl)前処理の最小の継続期間は、少なくとも1秒であり、好適には少なくとも1分である。   In another preferred embodiment, the minimum duration of the in situ (HCl) pretreatment described in steps (1), (1) ′ and (1) ″ corresponding to step VI in FIG. Seconds, preferably at least 1 minute.

その場前処理中に必要とあれるエッチング料は、半導体材料の0.5Å〜2Åのエッチングより少ないかまたは等しく、これは最も先進的なプロセスフローにも適用可能である。   The etchant required during in-situ pretreatment is less than or equal to 0.5 to 2 inches of etching of the semiconductor material, which is also applicable to the most advanced process flows.

表2および図3は、1秒のHCl前処理(希釈されたHFで処理された窒化物表面で行われる)が、表面の初期ヘイズ値(ジクロロシラン(DCS)の短時間堆積で窒化物表面の上に形成された、50nmより小さな直径を有する核の数により測定される)の改良に繋がることを示す。ヘイズ値は、更に、前処理時間を増加することにより改良され、30秒後に最も低い値が得られる。(所定の前処理時間の間の)HClの流れの増加は、またヘイズ値を改良する。   Table 2 and FIG. 3 show that the 1 second HCl pretreatment (performed on the nitride surface treated with diluted HF) is the initial haze value of the surface (the nitride surface with a short deposition of dichlorosilane (DCS)) (As measured by the number of nuclei having a diameter smaller than 50 nm, formed on the surface). The haze value is further improved by increasing the pretreatment time, and the lowest value is obtained after 30 seconds. Increasing the HCl flow (during a given pretreatment time) also improves the haze value.

得られた有利な効果は、(1)希釈されたHFによる非常に薄い酸化層を除去した後に窒化物表面に存在する表面ダングリングボンドをClが保護するという事実、または(2)その場HCl処理は余分のSiをエッチングし、表面ストイキオメトリを回復させるのに対し、希釈されたHFは、優先的なNのエッチングによりSiリッチな表面にするという事実、のいずれかで説明できる。   The advantageous effects obtained are (1) the fact that Cl protects surface dangling bonds present on the nitride surface after removing a very thin oxide layer by diluted HF, or (2) in situ HCl. The process can be explained by any of the fact that the etch etches excess Si and restores surface stoichiometry, whereas diluted HF renders the Si-rich surface by preferential N etching.

Figure 0005336070
堆積前後において、LPCVD窒化物の上で測定された粒子数
Figure 0005336070
Number of particles measured on LPCVD nitride before and after deposition

Figure 0005336070
異なったHClその場前処理時間についてのヘイズの測定(50nmより小さい直径を有する核の反射数)
Figure 0005336070
Haze measurements for different HCl in situ pretreatment times (number of reflections of nuclei with diameters smaller than 50 nm)

反応チャンバに半導体ソースガスを導入するのに先立つ、その場(HCl)前処理を備えたプロセスシーケンスであって、工程III〜Vはエピリアクタ中で行われるプロセスシーケンスを示す。A process sequence with in situ (HCl) pretreatment prior to introducing the semiconductor source gas into the reaction chamber, steps III-V show the process sequence performed in the epireactor. 本発明の異なる具体例のタイムスケジュールの概要を示す。2 shows an overview of a time schedule for different embodiments of the present invention. 異なるHClその場前処理にかかるヘイズの測定を示す。The haze measurements for different HCl pre-treatments are shown.

Claims (9)

選択エピタキシャル成長(SEG)工程を用いた半導体装置の製造方法であって、少なくとも、
半導体基板を供給する工程と、
半導体基板の上に絶縁性材料のパターンを形成し、これによりカバーされおよびカバーされない表面を形成する工程と、
形成された絶縁性材料のパターンを有する半導体基板の、カバーされたおよびカバーされない表面を洗浄する工程と、
絶縁性材料のパターンを有する基板をエピタキシャルリアクタの反応チャンバ中に入れる工程と、
少なくとも1つの第1キャリアガスと共に少なくとも1つの半導体ソースガスを、エピタキシャルリアクタの反応チャンバ中に導入する工程を含む選択エピタキシャル成長を開始する工程と、を含み、
選択エピタキシャル成長を開始する工程に先立って、反応チャンバ中で、第2キャリアガスと共にハロゲン含有エッチングガスを導入して、基板の表面にその場前処理が行われ
半導体基板は、単結晶シリコン基板、単結晶ゲルマニウム基板、単結晶シリコンゲルマニウム基板、単結晶シリコンゲルマニウムカーバイド基板、単結晶シリコンカーバイド基板、およびシリコンオンインシュレータ(SOI)基板からなる組から選択され、
絶縁性材料は、誘電体材料であり、好適には、二酸化シリコン(SiO )または窒化シリコン(Si )からなり、
洗浄工程は、ウエット洗浄処理および/またはウエットエッチング処理を含み、
主な半導体ソースガスは、シリコンソースガス、ゲルマニウムソースガス、シリコンゲルマニウムソースガス、III/Vソースガス、カーボンソースガス、およびゲルミルシランガスおよびその混合ガスの組から選択されるソースガス、からなる組から選択され、
第1および/または第2のキャリアガスは、H ガスまたは不活性ガスであり、
ハロゲン含有エッチングガスは、HClガス、Cl ガス、希釈されたHClガス、および希釈されたCl ガスからなる組から選択され、
希釈されたHClガスは、HClとH ガスの混合ガス、またはHClと不活性ガスであり、
ハロゲン含有エッチングガスの導入は、少なくとも1つの半導体ソースガスの導入に先立って少なくとも1回行われ、少なくとも1つの半導体ソースガスの導入する間に、ハロゲン含有エッチングガスの導入は、中断すること無く続けられ、
少なくとも1つの半導体ソースガスの導入と、ハロゲン含有エッチングガスの導入とが、繰り返し行われ、
ハロゲン含有エッチングガスを用いたその場前処理中に必要とされるエッチングの量は、0.5Å〜10Åの半導体材料のエッチングより少ないか同じであることを特徴とする製造方法。
A method of manufacturing a semiconductor device using a selective epitaxial growth (SEG) process, comprising:
Supplying a semiconductor substrate;
Forming a pattern of insulating material on a semiconductor substrate, thereby forming a covered and uncovered surface;
Cleaning a covered and uncovered surface of a semiconductor substrate having a pattern of formed insulating material;
Placing a substrate having a pattern of insulating material into a reaction chamber of an epitaxial reactor;
Initiating selective epitaxial growth including introducing at least one semiconductor source gas with at least one first carrier gas into a reaction chamber of the epitaxial reactor;
Prior to the step of starting the selective epitaxial growth, in-situ pretreatment is performed on the surface of the substrate by introducing a halogen-containing etching gas together with the second carrier gas in the reaction chamber ,
The semiconductor substrate is selected from the group consisting of a single crystal silicon substrate, a single crystal germanium substrate, a single crystal silicon germanium substrate, a single crystal silicon germanium carbide substrate, a single crystal silicon carbide substrate, and a silicon on insulator (SOI) substrate,
The insulating material is a dielectric material, preferably made of silicon dioxide (SiO 2 ) or silicon nitride (Si 3 N 4 ),
The cleaning process includes a wet cleaning process and / or a wet etching process,
The main semiconductor source gas is a group consisting of a silicon source gas, a germanium source gas, a silicon germanium source gas, a III / V source gas, a carbon source gas, and a source gas selected from the group consisting of a germanium silane gas and a mixed gas thereof. Selected from
The first and / or second carrier gas is H 2 gas or inert gas;
The halogen-containing etching gas is selected from the group consisting of HCl gas, Cl 2 gas, diluted HCl gas, and diluted Cl 2 gas;
The diluted HCl gas is a mixed gas of HCl and H 2 gas, or HCl and an inert gas,
The introduction of the halogen-containing etching gas is performed at least once prior to the introduction of the at least one semiconductor source gas, and the introduction of the halogen-containing etching gas continues without interruption during the introduction of the at least one semiconductor source gas. And
The introduction of at least one semiconductor source gas and the introduction of a halogen-containing etching gas are repeated,
A manufacturing method characterized in that the amount of etching required during in-situ pre-treatment using a halogen-containing etching gas is less than or equal to the etching of a semiconductor material of 0.5 to 10 mm .
希釈されたClガスは、ClとHの混合ガス、またはClと不活性ガスの混合ガスである請求項1に記載の製造方法。 The manufacturing method according to claim 1 , wherein the diluted Cl 2 gas is a mixed gas of Cl 2 and H 2 , or a mixed gas of Cl 2 and an inert gas. ハロゲン含有エッチングガスの導入は、少なくとも1つの半導体ソースガスの導入に先立って少なくとも1回行われ、
ハロゲン含有エッチングガスの導入は停止し、少なくとも1つの半導体ソースガスの導入とともに再開される請求項1または2に記載の製造方法。
The introduction of the halogen-containing etching gas is performed at least once prior to the introduction of the at least one semiconductor source gas,
The manufacturing method according to claim 1 or 2 , wherein the introduction of the halogen-containing etching gas is stopped and restarted with the introduction of at least one semiconductor source gas.
選択エピタキシャル成長工程に先立つハロゲン含有エッチングガスを用いたその場前処理は、その場H熱アニールで行われる請求項1〜3のいずれかに記載の製造方法。 The manufacturing method according to claim 1 , wherein the in-situ pretreatment using a halogen-containing etching gas prior to the selective epitaxial growth step is performed by in-situ H 2 thermal annealing. その場H熱アニールの温度は、ハロゲン含有エッチングガスを用いたその場前処理の温度より高い請求項4に記載の製造方法。 The manufacturing method according to claim 4 , wherein the temperature of in-situ H 2 thermal annealing is higher than the temperature of in-situ pretreatment using a halogen-containing etching gas. ハロゲン含有エッチングガスを用いたその場前処理は、500℃と900℃の間の温度、好適には550℃と750℃の間の温度で行われる請求項1〜5のいずれかに記載の製造方法。 Situ pretreatment using a halogen-containing etching gas, prepared according to any one of claims 1 to 5 carried out at a temperature between 500 ° C. and a temperature of between 900 ° C., preferably at 550 ° C. and 750 ° C. Method. ハロゲン含有エッチングガスを用いたその場前処理は、少なくとも1秒行われる請求項1〜6のいずれかに記載の製造方法。 The production method according to claim 1, wherein the in-situ pretreatment using the halogen-containing etching gas is performed for at least 1 second. ハロゲン含有エッチングガスを用いたその場前処理の継続時間は、少なくとも30秒で、好適には少なくとも1分である請求項1〜7のいずれかに記載の製造方法。 The manufacturing method according to any one of claims 1 to 7 , wherein the duration of the in-situ pretreatment using the halogen-containing etching gas is at least 30 seconds, preferably at least 1 minute. ハロゲン含有エッチングガスを用いたその場前処理は、1〜10分間、好適には1〜8分間、より好適には2〜4分間行われる請求項1〜8のいずれかに記載の製造方法。 The manufacturing method according to claim 1 , wherein the in-situ pretreatment using the halogen-containing etching gas is performed for 1 to 10 minutes, preferably 1 to 8 minutes, more preferably 2 to 4 minutes.
JP2007328923A 2006-12-21 2007-12-20 Improved method of selective epitaxial growth process. Active JP5336070B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP06126942.9 2006-12-21
EP06126942 2006-12-21
EP07112851 2007-07-20
EP07112851.6 2007-07-20

Publications (3)

Publication Number Publication Date
JP2008160123A JP2008160123A (en) 2008-07-10
JP2008160123A5 JP2008160123A5 (en) 2010-08-19
JP5336070B2 true JP5336070B2 (en) 2013-11-06

Family

ID=38521171

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007328923A Active JP5336070B2 (en) 2006-12-21 2007-12-20 Improved method of selective epitaxial growth process.

Country Status (1)

Country Link
JP (1) JP5336070B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5192954B2 (en) * 2008-09-12 2013-05-08 古河電気工業株式会社 Continuous thin film forming method, forming apparatus, film forming glass substrate, and semiconductor element
JP5304255B2 (en) * 2009-01-13 2013-10-02 住友電気工業株式会社 Silicon carbide substrate, epitaxial wafer, and method for manufacturing silicon carbide substrate
JP6924593B2 (en) * 2017-03-21 2021-08-25 信越半導体株式会社 Manufacturing method of epitaxial wafer

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000114190A (en) * 1998-10-08 2000-04-21 Sony Corp Vapor phase growth method, and manufacture of semiconductor device
JP2006294953A (en) * 2005-04-13 2006-10-26 Elpida Memory Inc Method and apparatus for manufacturing semiconductor device

Also Published As

Publication number Publication date
JP2008160123A (en) 2008-07-10

Similar Documents

Publication Publication Date Title
JP5329094B2 (en) Etch treatment process for substrate and chamber surfaces
JP5173140B2 (en) Method for depositing electrically active doped crystalline Si-containing films
US7737007B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
TWI442448B (en) Methdos to fabricate mosfet devices using selective deposition processes
US20090087967A1 (en) Precursors and processes for low temperature selective epitaxial growth
JP2007535147A (en) In situ doped epitaxial film
US20060156970A1 (en) Methods for in-situ cleaning of semiconductor substrates and methods of semiconductor device fabrication employing the same
KR20090026354A (en) Pre-cleaning of substrates in epitaxy chambers
KR20190095325A (en) Method and apparatus for selective epitaxy
US9966438B2 (en) Method of doped germanium formation
US20130040440A1 (en) EPITAXIAL PROCESS WITH SURFACE CLEANING FIRST USING HCl/GeH4/H2SiCl2
US20080153266A1 (en) Method to improve the selective epitaxial growth (seg) process
Hartmann et al. Low temperature boron and phosphorous doped SiGe for recessed and raised sources and drains
KR20200074898A (en) Method for fabricating a monocrystalline structure
KR100938301B1 (en) Etchant treatment processes for substrate surfaces and chamber surfaces
JP5336070B2 (en) Improved method of selective epitaxial growth process.
KR20100005126A (en) Process for producing semiconductor device
US10312096B2 (en) Methods for titanium silicide formation using TiCl4 precursor and silicon-containing precursor
JP2701793B2 (en) Method for manufacturing semiconductor device
EP1936670A2 (en) Method to improve the Selective Epitaxial Growth (SEG) Process
Raynal et al. WET and Siconi® cleaning sequences for SiGe epitaxial regrowth

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100702

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100702

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121002

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121205

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130402

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130723

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130801

R150 Certificate of patent or registration of utility model

Ref document number: 5336070

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250