JP5055044B2 - Multi-shower head for multi-layer CVD - Google Patents

Multi-shower head for multi-layer CVD Download PDF

Info

Publication number
JP5055044B2
JP5055044B2 JP2007177722A JP2007177722A JP5055044B2 JP 5055044 B2 JP5055044 B2 JP 5055044B2 JP 2007177722 A JP2007177722 A JP 2007177722A JP 2007177722 A JP2007177722 A JP 2007177722A JP 5055044 B2 JP5055044 B2 JP 5055044B2
Authority
JP
Japan
Prior art keywords
fluid
gas
plate
pipe
shower head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007177722A
Other languages
Japanese (ja)
Other versions
JP2009016624A (en
Inventor
克範 福田
雅輝 板東
秀晃 金銅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikkoshi Co Ltd
Original Assignee
Nikkoshi Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikkoshi Co Ltd filed Critical Nikkoshi Co Ltd
Priority to JP2007177722A priority Critical patent/JP5055044B2/en
Publication of JP2009016624A publication Critical patent/JP2009016624A/en
Application granted granted Critical
Publication of JP5055044B2 publication Critical patent/JP5055044B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)

Description

本発明は、主として半導体製造プロセスにおいて用いられるCVD用マルチシャワーヘッドに関し、特には、多層構造のCVD用マルチシャワーヘッドの製造方法に関する。   The present invention relates to a CVD multi-shower head mainly used in a semiconductor manufacturing process, and more particularly to a method for manufacturing a multi-layer CVD multi-shower head.

半導体製造プロセスにおいては、CVD(Chemical Vapor Deposition 化学気相成長)プロセスが用いられることが多い。それらプロセスに用いられる装置の中で、シャワーヘッドと名付けられた装置システムがある(特許文献1参照)。 特許文献1に示されるシャワーヘッドは、図5に示されるように、各段を構成するブロック体内にガス通路用のパターンを形成し、各段のブロックを積層一体化することによって相互に干渉しない複数のガス通路を構成するものである。すなわち、上段ブロック31には、上部に第1ガス(例えば原料ガス)導入口32、第2ガス(例えば燃料ガス)導入口33をそれぞれ有する第1ガス流路34、第2ガス流路35が形成されており、中段ブロック36には、前記第1ガス流路34、第2ガス流路35にそれぞれ連通する第1ガス流路34’、第2ガス流路35’が、さらに、下段ブロック37には、第1ガス流路34”、第2ガス流路35”が、それぞれ形成され、上段ブロック31、中段ブロック36および下段ブロック37が順次密着密閉されてシャワーヘッド38が構成される。   In the semiconductor manufacturing process, a CVD (Chemical Vapor Deposition) process is often used. Among the apparatuses used in these processes, there is an apparatus system named a shower head (see Patent Document 1). As shown in FIG. 5, the shower head shown in Patent Document 1 forms a pattern for a gas passage in the block body constituting each stage, and does not interfere with each other by stacking and integrating the blocks at each stage. A plurality of gas passages are formed. That is, the upper block 31 includes a first gas channel 34 and a second gas channel 35 each having a first gas (for example, source gas) inlet 32 and a second gas (for example, fuel gas) inlet 33 at the top. The middle block 36 is further provided with a first gas channel 34 'and a second gas channel 35' communicating with the first gas channel 34 and the second gas channel 35, respectively. 37, a first gas flow path 34 "and a second gas flow path 35" are formed, respectively, and the upper block 31, the middle block 36, and the lower block 37 are in close contact with each other to form a shower head 38.

そして、下段ブロック37の下側に形成される第1ガス噴出口39、第2ガス噴出口40から第1ガス、第2ガスがそれぞれ噴出され、ここで両ガスが混合され、化学反応が起こると同時にガス噴出口に対向して設置されている処理対象物(図示せず)の表面に化学反応生成物の皮膜が形成される。なお、41は冷却水路である。
特許文献1によれば、「ガス噴出口」39、40は、「例えば10〜20mm程度の所定のピッチで整然と配列されて」おり、その「孔径」は、「例えば5〜6mm程度に設定される」とされ、「ガス噴射孔」及び「ガス流路」は、「ドリル加工により容易に形成することが可能である。」とされている。
Then, the first gas and the second gas are respectively ejected from the first gas ejection port 39 and the second gas ejection port 40 formed on the lower side of the lower block 37, where both gases are mixed and a chemical reaction occurs. At the same time, a film of a chemical reaction product is formed on the surface of a processing object (not shown) that is installed facing the gas outlet. Reference numeral 41 denotes a cooling water channel.
According to Patent Document 1, the “gas jets” 39 and 40 are “orderedly arranged at a predetermined pitch of, for example, about 10 to 20 mm”, and the “hole diameter” is set to “for example, about 5 to 6 mm. “The gas injection hole” and “the gas flow path” are “can be easily formed by drilling”.

ブロックの板厚内にガス流路を形成することなく、板厚方向に貫通するガス流路と表面に掘られる凹部を形成されたブロックを組み合わせることによってシャワーヘッドを構成する改良形も知られている(特許文献2参照)。
特許文献2によれば、図6に示されるとおり、2種類のプロセスガス(例えば、4塩化チタンとアンモニア)は、表面板(a faceplate)42に設けられた表面板板厚貫通路43と、下部ガス分配板(a lower gas distribution plate)44に設けられた下部ガス分配板板厚貫通路45とを通してプロセス領域(the process rigion)46に供給され、混合され、化学反応が起こると同時に、各プロセスガスの噴出口に対向して設置されている処理対象物47の表面に化学反応生成物の皮膜が形成される。なお、48はボルトであり、該ボルト48で表面板42、下部ガス分配板44ならびにガス分配集成体(a gasdistribution manifold)49が相互に固定されている。
There is also known an improved type in which a shower head is configured by combining a gas channel penetrating in the plate thickness direction and a block formed with a recess dug in the surface without forming a gas channel in the plate thickness. (See Patent Document 2).
According to Patent Document 2, as shown in FIG. 6, two kinds of process gases (for example, titanium tetrachloride and ammonia) are provided on a surface plate thickness through passage 43 provided on a faceplate 42, The process gas is supplied to the process region 46 through a lower gas distribution plate thickness through passage 45 provided in a lower gas distribution plate 44, mixed, and a chemical reaction occurs. A film of the chemical reaction product is formed on the surface of the processing object 47 installed facing the process gas jet port. In addition, 48 is a volt | bolt, The surface plate 42, the lower gas distribution plate 44, and the gas distribution assembly (a gas distribution manifold) 49 are mutually fixed with this volt | bolt 48.

特許文献2におけるプロセスガスの噴出口パターンは、図7に示すような例が例示されている。
特許文献2によれば、表面板の板厚はほぼ2.5mm程度、板厚貫通路は、その長さ(深さ)がほぼ1.21mm程度、隣接中心間距離がほぼ6.35mm程度であり、その径は約0.64mm(0.025 inches)程度とされている。
特許文献1、特許文献2にそれぞれ示されているシャワーヘッド装置においては、ブロック・板にそれぞれ設けられたガス通路の密度が疎らであるので、対向端部の径を大きくする余裕があり、ブロックないし板の位置合わせにそれほど神経を使わなくても組み立てることは容易である。
An example of the process gas jet pattern in Patent Document 2 is shown in FIG.
According to Patent Document 2, the thickness of the surface plate is about 2.5 mm, the thickness penetration path has a length (depth) of about 1.21 mm, and the distance between adjacent centers is about 6.35 mm. The diameter is about 0.64 mm (0.025 inches).
In the showerhead devices shown in Patent Document 1 and Patent Document 2, since the density of the gas passages provided in the block and the plate is sparse, there is room for increasing the diameter of the opposed end, and the block And it is easy to assemble without much nerves to align the plates.

この種の反応系においては、噴出後の混合によって各ガスの反応が開始され、それらの反応後速やかに被処理物表面に皮膜形成されることが好ましいので、ガス通路ないし板厚貫通路の密度(径と隣接通路中心間隔)は細かいことが、皮膜の均一性等を実現するために、一般に好ましい。
ガス通路の密度を上げるために、径の小さなドリルを用いるとき、ドリル自体の強度も小さくなり、また、一般に、ドリルによる穿孔深さはドリル径の6〜10倍が限度とされていることから、ドリルにより形成する貫通孔のみでガス通路密度の高いシャワーヘッドを作成することには限度がある。
貫通孔の中に所要内径を有するパイプを嵌め込むことによって、ガス通路の密度を上げることを、本出願人は試みている。その概要を図8に示す。
In this type of reaction system, the reaction of each gas is started by mixing after ejection, and it is preferable that a film is formed on the surface of the workpiece immediately after the reaction. It is generally preferable that the (diameter and the center distance between adjacent passages) is small in order to achieve uniformity of the film and the like.
When using a drill with a small diameter to increase the density of the gas passage, the strength of the drill itself is also reduced, and generally the drilling depth by the drill is limited to 6 to 10 times the drill diameter. There is a limit to producing a shower head having a high gas passage density only with through holes formed by a drill.
The applicant has attempted to increase the density of the gas passages by fitting a pipe having the required inner diameter into the through hole. The outline is shown in FIG.

図8において、下板51には、ガス1(例えば原料ガス)通路用孔58およびガス2(例えば燃料ガス)通路用孔52が形成されている。上板53の下面には広範囲に凹部54が形成され、下板51のガス2通路用孔52に対応する位置には、ガス2通路用孔55が穿たれている。そして、下板51と上板53とが対接され、ガス2通路用孔52およびガス2通路用孔55に亘って、長ガス通路パイプ56が埋設される。ガス1通路用孔58には、短ガス通路パイプが埋設されてもよい。   In FIG. 8, a gas 1 (for example, source gas) passage hole 58 and a gas 2 (for example, fuel gas) passage hole 52 are formed in the lower plate 51. A concave portion 54 is formed in the lower surface of the upper plate 53 over a wide range, and a gas 2 passage hole 55 is formed at a position corresponding to the gas 2 passage hole 52 of the lower plate 51. The lower plate 51 and the upper plate 53 are in contact with each other, and a long gas passage pipe 56 is embedded across the gas 2 passage hole 52 and the gas 2 passage hole 55. A short gas passage pipe may be embedded in the gas 1 passage hole 58.

下板51と上板53の対接部分、下板51と上板53とに穿たれたガス2通路用孔52、55と長ガス通路パイプ56、更には、用いた場合には短ガス通路パイプと下板51のガス2通路用孔52周囲とは、気密(ガス密)に、例えばロウ付けなどで、接合される。
一般の金属材料においては、クリアランスが50μm程度であれば、例えばロウ付けなどで気密(ガス密)に接合される。
なお、57は、被処理物である。
The contact portion between the lower plate 51 and the upper plate 53, the gas 2-passage holes 52 and 55 and the long gas passage pipe 56 formed in the lower plate 51 and the upper plate 53, and the short gas passage when used. The pipe and the periphery of the gas 2 passage hole 52 of the lower plate 51 are joined in an airtight (gastight) manner, for example, by brazing.
In the case of a general metal material, if the clearance is about 50 μm, it is joined in an airtight (gas tight) manner, for example, by brazing.
Reference numeral 57 denotes an object to be processed.

ガス通路用孔へのガス通路パイプの挿入において、短ガス通路パイプの場合は、下板51にだけ関係するのであるから、格別の問題はない。
長ガス通路パイプ56の場合には、下板51と上板53との両方に関係するので、問題となることが多くなりやすい。
2つの方法があり得る。その1は、用いる場合には、下板51に短ガス通路パイプをセットした後に、下板51と上板53とを、ガス2通路用孔52とガス2通路用孔55とが対応するように重ね合わせて、そこに長ガス通路パイプ56を共通に挿通させる方法である。この方法は、比較的クリアランスの少ない孔が長くなるに従って、加工精度ならびにパイプ自体の強度が問題となっていき、困難さが増加する。
In the insertion of the gas passage pipe into the gas passage hole, since the short gas passage pipe is related only to the lower plate 51, there is no particular problem.
In the case of the long gas passage pipe 56, since it relates to both the lower plate 51 and the upper plate 53, it tends to be problematic.
There can be two ways. First, in the case of use, after setting a short gas passage pipe on the lower plate 51, the lower plate 51 and the upper plate 53 correspond to the gas 2 passage hole 52 and the gas 2 passage hole 55. And the long gas passage pipe 56 is inserted in common there. In this method, as the hole having a relatively small clearance becomes longer, the processing accuracy and the strength of the pipe itself become problems, and the difficulty increases.

その2は、用いる場合には、下板51に短ガス通路パイプをセットし、続いて長ガス通路パイプ56をもセットし(このとき、長ガス通路パイプ56の大半は、下板51の上方向に突出する)、その突出している長ガス通路パイプ56群に上板53のガス2通路用孔55を適合させながら挿通させる方法である。この方法は、パイプの本数が増加する、ないしパイプの密度が大きくなるに従って、上板53の挿通が困難になってくる。   Second, when used, a short gas passage pipe is set on the lower plate 51, and then a long gas passage pipe 56 is also set (At this time, most of the long gas passage pipe 56 is placed on the upper plate 51. This is a method of inserting the gas 2 passage hole 55 of the upper plate 53 into the projecting long gas passage pipe 56 group while fitting it. This method makes it difficult to insert the upper plate 53 as the number of pipes increases or the density of the pipes increases.

シャワーヘッドへの高性能化の課題としては、第1に、反応系の高温化に対応する冷却機能の付加であり、第2は、反応に関与するガス(物質)の多様化である。
それらの高性能化を実現するための思考実験的なアプローチとしては、“ブロックないし板の増加”が想定し得る。しかし、前述の通りの、気密接合が可能な50μm程度のクリアランスでの数百本のパイプの同時挿通は、実際には不可能に近い。
The problem of improving the performance of the shower head is firstly the addition of a cooling function corresponding to the higher temperature of the reaction system, and secondly the diversification of gases (substances) involved in the reaction.
As an approach of thinking experiment to realize such high performance, “increase in blocks or boards” can be assumed. However, as described above, simultaneous insertion of several hundred pipes with a clearance of about 50 μm capable of airtight joining is almost impossible.

特開平8−291385号公報JP-A-8-291385 米国特許第6086677号明細書US Pat. No. 6,086,677

以上の事情から、本発明は、多段のシャワーヘッドを実用的に実現する方策を確立することを課題とする。   In view of the above circumstances, an object of the present invention is to establish a policy for practically realizing a multi-stage shower head.

本発明の多層構造のCVD用マルチシャワーヘッドは、反応ガスを被処理物の近傍で混合しCVD法で被処理物の表面に被膜を形成するシャワーヘッドであって、蓋板、上板、中板及び下板を積層して形成される多層構造のCVD用マルチシャワーヘッドにおいて、蓋板と上板との間に第1流体が供給される第1流体分配空間が形成され、上板と中板との間に第2流体が供給される第2流体分配空間が形成され、中板と下板との間に第3流体が供給される第3流体分配空間が形成され、前記第1流体分配空間内の第1流体を反応空間に噴出するための第1流体通路パイプが上板、中板、下板を貫通して挿通され、前記第2流体分配空間内の第2流体を反応空間に噴出するための第2流体通路パイプが中板、下板を貫通して挿通され、前記第1と第2の流体通路パイプが挿通された上板及び中板の貫通孔において、該貫通孔と流体通路パイプとの間隙にパイプガイドが挿通設置されていることを特徴としている。なお、前記貫通孔において、流体通路パイプ及びパイプガイドとの間はロウ材で気密構造とするのが好ましい。 CVD multi shower head having a multilayer structure of the present invention, the reaction gas to a shower head to form a coating film on the surface of the object to be treated by mixing the CVD method in the vicinity of the object, the cover plate, the upper plate, medium In a multi-layer CVD multi-shower head formed by laminating a plate and a lower plate , a first fluid distribution space for supplying a first fluid is formed between the cover plate and the upper plate, and the upper plate and the middle plate A second fluid distribution space to be supplied with a second fluid is formed between the plate and a third fluid distribution space to be supplied with a third fluid between the middle plate and the lower plate. A first fluid passage pipe for ejecting the first fluid in the distribution space to the reaction space is inserted through the upper plate, the middle plate, and the lower plate, and the second fluid in the second fluid distribution space is passed through the reaction space. A second fluid passage pipe for injecting into the first through the middle plate and the lower plate, In the through hole of the second fluid passage pipe inserted through the top and middle plate, it is characterized in that gap pipe guide between the through hole and the fluid passage pipe is inserted installed. In the through hole, it is preferable that a space between the fluid passage pipe and the pipe guide is made of a brazing material.

数千本の流体通路パイプを林立させた状態で貫通孔を形成させた板に嵌め込むことが、本発明のように、流体通路パイプを小外径とし、貫通孔と流体通路パイプとの間にパイプガイドを挿入することによって、製造工業的に可能となるという絶大な効果が得られ、その結果として、均一・精密なCVD皮膜の形成が効率化される。   By inserting thousands of fluid passage pipes into a plate having through holes formed in a forested state, as in the present invention, the fluid passage pipes have a small outer diameter, and between the through holes and the fluid passage pipes. By inserting the pipe guide into the wall, the great effect that it becomes possible in the manufacturing industry is obtained, and as a result, the formation of a uniform and precise CVD film is made efficient.

本発明は、貫通孔の内径とガス通路パイプの外径とのギャップを、挿通に支障の無いように十分に大きく設け、その貫通孔の内径とガス通路パイプの外径とのギャップは、パイプガイドをその間隙に挿通・追加することにより、気密(ガス密)に接合され得る50μm程度にまで埋めることを基本的なコンセプトとする。
以下に、図面を参照しつつ、本発明を詳細に説明する。
初めに、本発明のシャワーヘッドの実施の形態における流体および冷却水の流れをそれぞれに分けて表した説明図を図1に示す。
図1において、1は本発明のシャワーヘッドであり、基本的に、蓋板2、上板3、中板4、下板5からなる。
The present invention provides a sufficiently large gap between the inner diameter of the through hole and the outer diameter of the gas passage pipe so as not to hinder the insertion, and the gap between the inner diameter of the through hole and the outer diameter of the gas passage pipe The basic concept is to fill the gap to about 50 μm that can be joined in an airtight (gas tight) manner by inserting and adding a guide to the gap.
Hereinafter, the present invention will be described in detail with reference to the drawings.
First, FIG. 1 is an explanatory view showing the flow of the fluid and the cooling water in the embodiment of the shower head of the present invention separately.
In FIG. 1, reference numeral 1 denotes a shower head according to the present invention, which basically comprises a lid plate 2, an upper plate 3, an intermediate plate 4, and a lower plate 5.

第1流体(例えば原料ガス)は、第1流体供給口6から、蓋板2の下部に形成された第1流体分配空間7を介して第1流体通路パイプ8(図4も参照)に供給され、反応空間9に噴出される。
第2流体(例えば燃料ガス)は、図1中段に示されるように、第2流体供給具10から第2流体分配空間11介して第2流体通路パイプ12(図4も参照)に供給され、反応空間9に噴出される。
第3流体(例えば、冷却水)は、図1下段に示されるように、第3流体供給通路13から第3流体分配空間14を通過し、第3流体排出通路15から系外へと排出される。
The first fluid (for example, source gas) is supplied from the first fluid supply port 6 to the first fluid passage pipe 8 (see also FIG. 4) through the first fluid distribution space 7 formed in the lower part of the lid plate 2. And ejected into the reaction space 9.
As shown in the middle stage of FIG. 1, the second fluid (for example, fuel gas) is supplied from the second fluid supply tool 10 to the second fluid passage pipe 12 (see also FIG. 4) through the second fluid distribution space 11, It is ejected into the reaction space 9.
As shown in the lower part of FIG. 1, the third fluid (for example, cooling water) passes through the third fluid distribution space 14 from the third fluid supply passage 13 and is discharged out of the system from the third fluid discharge passage 15. The

図2には、本発明のシャワーヘッドの実施の形態における全体構成を説明図として示す。
図2には、図1で説明した蓋板2、上板3、中板4、下板5、さらに、第1流体分配空間7、第2流体分配空間11、第3流体分配空間14、それらの空間にそれぞれのガスないし冷却水を供給・排出する第1流体供給口6、第1流体通路パイプ8、第2流体供給具10、第2流体通路パイプ12、第3流体供給通路13、第3流体排出通路15が示されている。なお、16は、温度センサーその他の操業中のパラメータ測定端子挿入用の測定端子サイトであって、図示例では、一つの半径に5サイトとしているが、1列中のサイト数、また設定半径数は適宜とすることができ、さらには、列をなさずに面内に分散したものであっても良い。
In FIG. 2, the whole structure in embodiment of the shower head of this invention is shown as explanatory drawing.
FIG. 2 shows the cover plate 2, the upper plate 3, the middle plate 4, the lower plate 5 described in FIG. 1, the first fluid distribution space 7, the second fluid distribution space 11, the third fluid distribution space 14, and the like. The first fluid supply port 6, the first fluid passage pipe 8, the second fluid supply tool 10, the second fluid passage pipe 12, the third fluid supply passage 13, A three-fluid discharge passage 15 is shown. Reference numeral 16 denotes a measurement terminal site for inserting a temperature sensor or other parameter measurement terminal during operation. In the illustrated example, one site has 5 sites per radius, but the number of sites in one row and the set number of radii. May be appropriate, and may be distributed in-plane without forming a row.

第1流体通路パイプ8および第2流体通路パイプ12の反応空間側の端部は、流体噴出口17となっており、その配列の一例が図2(b)に示されている。図2(b)に示された例では、流体噴出口が配列された単位正方形の1辺の長さが4mmで、図示例では第1流体の噴出口1589個、第2流体の噴出口1588個の、合計3177個のものである。
流体噴出口17は、図3に拡大して示されるように、例えば、第1流体噴出口18、第2流体噴出口19のように、正方形の各頂点を交互に配列される。正方形は正六角形配列とすることもできるし、各噴出口の間隔が近似するように配列されるものであれば、各種の配列とすることができる。但し、ガス反応系の種類により、あるいは各ガス成分の活動度に差異がある場合、等では、第1流体と第2流体の噴出口は、均等に配列させることは必ずしも必要不可欠なものではない。
The ends of the first fluid passage pipe 8 and the second fluid passage pipe 12 on the reaction space side are fluid ejection ports 17, and an example of the arrangement is shown in FIG. Figure In the example shown in 2 (b), in 4mm is the length of one side of the unit of square fluid ejection ports are arranged, 1589 or spout of the first fluid in the illustrated example, the ejection port of the second fluid There are 1,588, a total of 3,177.
As shown in an enlarged view in FIG. 3, the fluid ejection ports 17 are alternately arranged at the apexes of a square, such as the first fluid ejection port 18 and the second fluid ejection port 19. The squares can be arranged in a regular hexagonal arrangement, or various arrangements as long as the intervals between the ejection ports are approximated. However, in the case where there is a difference in the activity of each gas component depending on the type of the gas reaction system, it is not always indispensable to evenly arrange the outlets of the first fluid and the second fluid. .

次に、図4を用いて、本発明のマルチシャワーヘッドの製造方法を説明する。なお、ここでは、本発明の骨子部分である、従来極めて困難とされてきた高密度に反応ガス噴射口を実現する部分について、主として説明する。
平板である下板5には、第1流体通路パイプ8および第2流体通路パイプ12を挿通する貫通孔20を穿孔する。貫通孔20の内径と流体通路パイプ(第1流体通路パイプ8および第2流体通路パイプ12)の外径とのクリアランスは、50μm程度とする。
中板4には、第3流体分配空間14となる凹部を形成し、第3流体供給通路13とパイプガイド21、23挿通設置用の貫通孔22、24を穿孔する。
Next, the manufacturing method of the multi shower head of this invention is demonstrated using FIG. Here, the main part of the present invention, which is a part that realizes the reactive gas injection ports at a high density, which has been considered extremely difficult in the past, will be mainly described.
A through-hole 20 through which the first fluid passage pipe 8 and the second fluid passage pipe 12 are inserted is drilled in the lower plate 5 which is a flat plate. The clearance between the inner diameter of the through hole 20 and the outer diameter of the fluid passage pipe (the first fluid passage pipe 8 and the second fluid passage pipe 12) is about 50 μm.
The middle plate 4 is formed with a recess that becomes the third fluid distribution space 14, and the third fluid supply passage 13 and the through holes 22, 24 for inserting the pipe guides 21, 23 are drilled.

上板3には、第2流体分配空間11となる凹部を形成し、第3流体供給通路13と第2流体供給具用の貫通孔(図示省略)とパイプガイド23挿通設置用の貫通孔24を穿孔する。パイプガイド(21、23)挿通設置用の貫通孔(22、24)の大きさ(内径)とパイプガイド(21、23)の外側の大きさ(外径)とのクリアランスは、50μm程度とする。
図示例では、貫通孔22および貫通孔24の孔形状にプロフィールを有する例を示したが、ストレート貫通孔でも差し支えない。
蓋板2には、第3流体供給通路13と第2流体供給具用の貫通孔(図示省略)と、第1流体供給口6(図1等、図4では図示省略)を形成する。
The upper plate 3 is formed with a recess that becomes the second fluid distribution space 11, a third fluid supply passage 13, a through hole (not shown) for the second fluid supply tool, and a through hole 24 for installing the pipe guide 23. Perforate. The clearance between the size (inner diameter) of the through holes (22, 24) for inserting and installing the pipe guide (21, 23) and the outer size (outer diameter) of the pipe guide (21, 23) is about 50 μm. .
In the example of illustration, although the example which has a profile in the hole shape of the through-hole 22 and the through-hole 24 was shown, a straight through-hole may be sufficient.
The lid plate 2 is formed with a third fluid supply passage 13, a through hole for the second fluid supply tool (not shown), and a first fluid supply port 6 (FIG. 1, etc., not shown in FIG. 4).

組み立ては、次の通りである。
下板5に設けられている流体通路パイプ用の貫通孔20に、所定の配列になるように流体通路パイプを挿通立設する。
クリアランスが50μm程度であれば、1本ずつ行うので、流体通路パイプの挿通立設操作に格別の支障はない。
流体通路パイプ(第1流体通路パイプ8および第2流体通路パイプ12)の林立している下板5に、中板4を重ねる。下板5には多数の流体通路パイプが林立しているが、流体通路パイプと、パイプガイド21、23挿通設置用の貫通孔22、24とのクリアランスは、パイプガイド21、23が挿入可能な分だけあるので、重ね操作に格別の支障はない。
The assembly is as follows.
The fluid passage pipe is inserted and erected in the through hole 20 for the fluid passage pipe provided in the lower plate 5 so as to have a predetermined arrangement.
If the clearance is about 50 μm, since it is performed one by one, there is no particular problem in the operation of inserting and standing the fluid passage pipe.
The middle plate 4 is overlapped on the lower plate 5 where the fluid passage pipes (the first fluid passage pipe 8 and the second fluid passage pipe 12) stand. A number of fluid passage pipes are erected on the lower plate 5, but the clearance between the fluid passage pipe and the through holes 22 and 24 for inserting and installing the pipe guides 21 and 23 can be inserted into the pipe guides 21 and 23. Because there are only minutes, there is no particular obstacle to the stacking operation.

下板5と中板4との重ね合わせの後、流体通路パイプ(第1流体通路パイプ8および第2流体通路パイプ12)とパイプガイド21、23挿通設置用の貫通孔22、24との間隙にパイプガイド21、23を挿通設置する。
ここでは、第2流体通路パイプ12の先端は、第2流体分配空間内に開口し、第1流体通路パイプ8は、中板4から大きく突出している。
下板5と中板4との対接部、流体通路パイプとパイプガイド(パイプガイド21、23)との接合部、パイプガイドと中板4との接合部にロウ材を塗布・載置等する。
After the lower plate 5 and the middle plate 4 are overlapped, the gap between the fluid passage pipe (the first fluid passage pipe 8 and the second fluid passage pipe 12) and the through holes 22 and 24 for installing the pipe guides 21 and 23 is provided. The pipe guides 21 and 23 are inserted and installed.
Here, the distal end of the second fluid passage pipe 12 opens into the second fluid distribution space, and the first fluid passage pipe 8 protrudes greatly from the intermediate plate 4.
A brazing material is applied to and placed on the joint between the lower plate 5 and the middle plate 4, the joint between the fluid passage pipe and the pipe guide (pipe guides 21, 23), and the joint between the pipe guide and the middle plate 4. To do.

中板4の上から、突出している第1流体通路パイプ8をパイプガイド23挿通設置用の貫通孔24に挿通しつつ、上板3を重ね合わせる。貫通孔24と第1流体通路パイプ8とのクリアランスは、パイプガイド23が挿入可能な分だけあるので、重ね操作に格別の支障はない。そして、パイプガイド23を挿通設置する。
ここでは、第1流体通路パイプ8の先端は、第1流体分配空間内に開口している。
中板4と上板3との対接部、流体通路パイプとパイプガイド23との接合部にロウ材を塗布・載置等する。
The upper plate 3 is overlapped while the protruding first fluid passage pipe 8 is inserted into the through hole 24 for installing the pipe guide 23 from above the middle plate 4. Since the clearance between the through hole 24 and the first fluid passage pipe 8 is as long as the pipe guide 23 can be inserted, there is no particular obstacle to the overlapping operation. Then, the pipe guide 23 is inserted and installed.
Here, the tip of the first fluid passage pipe 8 opens into the first fluid distribution space.
A brazing material is applied to and placed on the contact portion between the middle plate 4 and the upper plate 3 and the junction between the fluid passage pipe and the pipe guide 23.

上板3の上に、蓋板2を載置し、その対接部にロウ材を塗布・載置等する。
これらの組み立て体の全体を、真空炉に挿入し所定温度に加熱することにより、ロウ材を塗布・載置等した箇所で漏れのない多層構造のマルチシャワーヘッドが製造される。
本発明のマルチシャワーヘッドを構成する素材については、従来用いられてきた耐熱性・耐蝕性の高いSUS316L等のステンレス材、純Ni材、インコネル材等が用いられ得る。
また、プロセス温度が低い場合には、アルミ材等で全ての部材を構成しても良い。
The cover plate 2 is placed on the upper plate 3, and a brazing material is applied and placed on the contact portion.
The entire assembly is inserted into a vacuum furnace and heated to a predetermined temperature, thereby producing a multi-shower head having a multilayer structure that does not leak at a place where a brazing material is applied and placed.
As the material constituting the multi shower head of the present invention, a conventionally used stainless material such as SUS316L having high heat resistance and corrosion resistance, pure Ni material, Inconel material, and the like can be used.
Further, when the process temperature is low, all members may be made of an aluminum material or the like.

以上、2ガス反応系と冷却系とを確保するマルチ(3)シャワーヘッドについて説明してきたが、低温反応系で3ガス(それ以上)反応系であれば、例えば、第3流体分配空間から反応系空間への通路を形成することにより、対応変形することが可能であることはいうまでもない。さらに、中板類似の板を重ねることによって、更なるマルチシャワーヘッドを構成することも可能である。但し、流体噴出口の配列パターンには、それなりの考慮を払う必要が出てくることはいうまでもない。   The multi (3) shower head that secures the two-gas reaction system and the cooling system has been described above. However, if the low-temperature reaction system is a three-gas (or more) reaction system, for example, the reaction starts from the third fluid distribution space. Needless to say, it is possible to perform corresponding deformation by forming a passage to the system space. Furthermore, it is possible to construct a further multi-shower head by stacking similar plates. However, it goes without saying that it is necessary to pay due consideration to the arrangement pattern of the fluid ejection ports.

流体通路パイプの内径0.4±0.01mm、外径1.2mm、パイプガイドの内径1.2mm、外径2.4mm、パイプガイド挿入孔の径2.4mm、で、上板・中板・下板の板厚は、順に9mm、11.5mm、4mm、流体噴出口配置面は1辺4mmの正四角形形状のものを試作した。
今回製作したマルチシャワーヘッドの材料としては、半導体プロセスに使用可能で、耐熱性・耐食性が優れ、比較的安価であるSUS316L材で、全ての部材を構成した。
各部分に気密性が損なわれている箇所は見られなかった。
Fluid passage pipe inner diameter 0.4 ± 0.01mm, outer diameter 1.2mm, pipe guide inner diameter 1.2mm, outer diameter 2.4mm, pipe guide insertion hole diameter 2.4mm, upper plate / middle plate -The plate thickness of the lower plate was 9 mm, 11.5 mm, 4 mm in order, and a fluid jet outlet arrangement surface was prototyped with a regular square shape with a side of 4 mm.
As the material of the multi shower head manufactured this time, all members are made of SUS316L material that can be used in semiconductor processes, has excellent heat resistance and corrosion resistance, and is relatively inexpensive.
There were no places where airtightness was impaired in each part.

本発明によれば、流体噴出口分布の細かいマルチシャワーヘッドが高性能に高能率に製造することができ、それを用いることによって、設計意図通りの精密な皮膜形成が可能となるため、皮膜形成に係る半導体製造等の産業分野に寄与する処大である。   According to the present invention, a multi-shower head with a fine distribution of fluid jets can be manufactured with high performance and high efficiency, and by using this, it becomes possible to form a precise film as intended. This is a big contribution to the industrial field such as semiconductor manufacturing.

本発明のシャワーヘッドの実施の形態における流体および冷却水の流れをそれぞれに分けて示す説明図である。It is explanatory drawing which divides and shows the flow of the fluid and cooling water in embodiment of the shower head of this invention, respectively. 本発明のシャワーヘッドの実施の形態における全体構成を示す説明図であって、(a)は立面断面図、(b)は下板の反応空間に面する底面の説明図である。It is explanatory drawing which shows the whole structure in embodiment of the shower head of this invention, Comprising: (a) is elevation sectional drawing, (b) is explanatory drawing of the bottom face which faces the reaction space of a lower board. 下板に形成された流体噴出口配列の一例を示す部分拡大説明図である。It is a partial expanded explanatory view which shows an example of the fluid jet nozzle arrangement | sequence formed in the lower board. 本発明のマルチシャワーヘッドの製造方法を説明する図である。It is a figure explaining the manufacturing method of the multi shower head of this invention. 従来のシャワーヘッドを示す分解説明図。Exploded view showing a conventional shower head. 従来のシャワーヘッドの他の例を示す説明図。Explanatory drawing which shows the other example of the conventional shower head. ダブルシャワーヘッドにおける作動空間に開口する各プロセスガスの噴出口パターンの一例を示す説明図である。It is explanatory drawing which shows an example of the jet pattern of each process gas opened to the working space in a double shower head. 従来のシャワーヘッドのさらに他の例を示す説明図。Explanatory drawing which shows the further another example of the conventional shower head.

符号の説明Explanation of symbols

1:シャワーヘッド
2:蓋板
3:上板
4:中板
5:下板
6:第1流体(例えば原料ガス)供給口
7:第1流体分配空間
8:第1流体通路パイプ
9:反応空間
10:第2流体(例えば燃料ガス)供給具
11:第2流体分配空間
12:第2流体通路パイプ
13:第3流体供給通路
14:第3流体分配空間
15:第3流体排出通路
16:測定端子サイト
17:流体噴出口
18:第1流体噴出口
19:第2流体噴出口
20:(流体通路パイプ用)貫通孔
21:パイプガイド
22:(パイプガイド用)貫通孔
23:パイプガイド
24:(パイプガイド用)貫通孔
31:上段ブロック
32:第1ガス導入口
33:第2ガス導入口
34、34’、34’:第1ガス流路
35、35’、35”:第2ガス流路
36:中段ブロック
37:下段ブロック
38:シャワーヘッド
39:第1ガス噴出口
40:第2ガス噴出口
41:冷却水路
42:表面板(a faceplate)
43:表面板板厚貫通路
44:下部ガス分配板
45:下部ガス分配板板厚貫通路
46:プロセス領域
47:処理対象物
48:ボルト
49:ガス分配集成体
51:下板
58:ガス1(例えば原料ガス)通路用孔
52:ガス2(例えば燃料ガス)通路用孔
53:上板
54:(上板の)凹部
55:ガス2通路用孔
56:長ガス通路パイプ
57:被処理物
1: shower head 2: lid plate 3: upper plate 4: middle plate 5: lower plate 6: first fluid (for example, source gas) supply port 7: first fluid distribution space 8: first fluid passage pipe 9: reaction space 10: Second fluid (for example, fuel gas) supply tool 11: Second fluid distribution space 12: Second fluid passage pipe 13: Third fluid supply passage 14: Third fluid distribution space 15: Third fluid discharge passage 16: Measurement Terminal site 17: Fluid outlet 18: First fluid outlet 19: Second fluid outlet 20: (For fluid passage pipe) Through hole 21: Pipe guide 22: (For pipe guide) Through hole 23: Pipe guide 24: (For pipe guide) Through hole 31: Upper block 32: First gas inlet 33: Second gas inlet 34, 34 ', 34': First gas flow path 35, 35 ', 35 ": Second gas flow Road 36: Middle block 37: Lower block 38: Shower Head 39: first gas ejection port 40: second gas ejection port 41: cooling water passage 42: surface plate (a the Faceplate)
43: Surface plate thickness through passage 44: Lower gas distribution plate 45: Lower gas distribution plate thickness through passage 46: Process region 47: Process object 48: Bolt 49: Gas distribution assembly 51: Lower plate 58: Gas 1 (For example, source gas) passage hole 52: gas 2 (for example, fuel gas) passage hole 53: upper plate 54: recess (of upper plate) 55: gas 2 passage hole 56: long gas passage pipe 57: object to be treated

Claims (2)

反応ガスを被処理物の近傍で混合しCVD法で被処理物の表面に被膜を形成するシャワーヘッドであって、蓋板、上板、中板及び下板を積層して形成される多層構造のCVD用マルチシャワーヘッドにおいて、蓋板と上板との間に第1流体が供給される第1流体分配空間が形成され、上板と中板との間に第2流体が供給される第2流体分配空間が形成され、中板と下板との間に第3流体が供給される第3流体分配空間が形成され、前記第1流体分配空間内の第1流体を反応空間に噴出するための第1流体通路パイプが上板、中板、下板を貫通して挿通され、前記第2流体分配空間内の第2流体を反応空間に噴出するための第2流体通路パイプが中板、下板を貫通して挿通され、前記第1と第2の流体通路パイプが挿通された上板及び中板の貫通孔において、該貫通孔と流体通路パイプとの間隙にパイプガイドが挿通設置されていることを特徴とするマルチシャワーヘッド。 A shower head in which a reaction gas is mixed in the vicinity of an object to be processed and a film is formed on the surface of the object to be processed by a CVD method, and a multilayer structure formed by laminating a cover plate, an upper plate, an intermediate plate, and a lower plate In the CVD multi-shower head, a first fluid distribution space in which a first fluid is supplied is formed between the lid plate and the upper plate, and a second fluid is supplied between the upper plate and the middle plate. A second fluid distribution space is formed, a third fluid distribution space is formed between the middle plate and the lower plate, and a third fluid is supplied, and the first fluid in the first fluid distribution space is ejected into the reaction space. A first fluid passage pipe is inserted through the upper plate, the middle plate, and the lower plate, and the second fluid passage pipe for ejecting the second fluid in the second fluid distribution space to the reaction space is the middle plate The upper plate and the middle plate are inserted through the lower plate and the first and second fluid passage pipes are inserted therethrough. In the hole, multi shower head, characterized in that gap pipe guide between the through hole and the fluid passage pipe is inserted installed. 前記貫通孔において、流体通路パイプ及びパイプガイドとの間がロウ材で気密構造とされる請求項1に記載のマルチシャワーヘッド。The multi shower head according to claim 1, wherein a space between the fluid passage pipe and the pipe guide is made of a brazing material in the through hole.
JP2007177722A 2007-07-05 2007-07-05 Multi-shower head for multi-layer CVD Active JP5055044B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007177722A JP5055044B2 (en) 2007-07-05 2007-07-05 Multi-shower head for multi-layer CVD

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007177722A JP5055044B2 (en) 2007-07-05 2007-07-05 Multi-shower head for multi-layer CVD

Publications (2)

Publication Number Publication Date
JP2009016624A JP2009016624A (en) 2009-01-22
JP5055044B2 true JP5055044B2 (en) 2012-10-24

Family

ID=40357160

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007177722A Active JP5055044B2 (en) 2007-07-05 2007-07-05 Multi-shower head for multi-layer CVD

Country Status (1)

Country Link
JP (1) JP5055044B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103074602A (en) * 2012-01-21 2013-05-01 光达光电设备科技(嘉兴)有限公司 Reaction chamber of chemical vapor deposition apparatus
KR102170451B1 (en) 2020-01-22 2020-10-28 (주)이큐테크플러스 Radical unit device for distributing precursor and reactant gas and atomic layer deposition apparatus including radical unit device therefor

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3418360B2 (en) * 1999-08-10 2003-06-23 松下電器産業株式会社 Resin feeder
JP3980821B2 (en) * 2000-09-21 2007-09-26 株式会社荏原製作所 Gas injection head and CVD apparatus
JP2007042890A (en) * 2005-08-03 2007-02-15 Hitachi Kokusai Electric Inc Substrate treatment apparatus

Also Published As

Publication number Publication date
JP2009016624A (en) 2009-01-22

Similar Documents

Publication Publication Date Title
JP6818782B2 (en) Gas distribution shower head for semiconductor processing
US20200141002A1 (en) Monolithic gas distribution manifold and various construction techniques and use cases therefor
TWI424084B (en) High temperature ald inlet manifold
EP1134789A2 (en) One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6089472A (en) Shower head
US9534724B2 (en) Gas showerhead, method for making the same and thin film growth reactor
US20130118405A1 (en) Fluid cooled showerhead with post injection mixing
US9879795B2 (en) Additively manufactured gas distribution manifold
US20090211707A1 (en) Apparatus for gas distribution and its applications
CN110753994B (en) Multi-panel for process chamber
KR20060011887A (en) Gas distribution system
WO2014198134A1 (en) Pipeline-cooling gas distribution device for metal organic chemical vapour deposition reactor
TWI706240B (en) Fluid control device, base block used in the device, and manufacturing method of the fluid control device
TWI671615B (en) Fluid control device and product manufacturing method using the same
KR20090031338A (en) Semiconductor device fabrication equipment with showerhead
JP5055044B2 (en) Multi-shower head for multi-layer CVD
US20160333478A1 (en) Chemical vapor deposition apparatus and chemical vapor deposition method
JP4971376B2 (en) Gas injection module
TW201529456A (en) Levitation transportation device
US20090114295A1 (en) Gas-panel assembly
US20080000424A1 (en) Showerhead for a Gas Supply Apparatus
TW202129715A (en) High temperature dual channel showerhead
JP2010103225A (en) Electrode plate for plasma processing apparatus
FI128427B (en) Nozzle head and apparatus
KR101755031B1 (en) Apparatus for Injecting Gas

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100408

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100609

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111107

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120113

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120720

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120730

R150 Certificate of patent or registration of utility model

Ref document number: 5055044

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150803

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250