JP4859884B2 - Semiconductor device and manufacturing method thereof - Google Patents

Semiconductor device and manufacturing method thereof Download PDF

Info

Publication number
JP4859884B2
JP4859884B2 JP2008170849A JP2008170849A JP4859884B2 JP 4859884 B2 JP4859884 B2 JP 4859884B2 JP 2008170849 A JP2008170849 A JP 2008170849A JP 2008170849 A JP2008170849 A JP 2008170849A JP 4859884 B2 JP4859884 B2 JP 4859884B2
Authority
JP
Japan
Prior art keywords
silicon nitride
field effect
channel
effect transistor
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008170849A
Other languages
Japanese (ja)
Other versions
JP2008306195A (en
Inventor
清太 鉢嶺
昭博 清水
長斗司 大木
哲 酒井
直樹 山本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2008170849A priority Critical patent/JP4859884B2/en
Publication of JP2008306195A publication Critical patent/JP2008306195A/en
Application granted granted Critical
Publication of JP4859884B2 publication Critical patent/JP4859884B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

本発明は、半導体装置及びその製造技術に関し、特に、同一基板にnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置及びその製造技術に適用して有効な技術に関するものである。   The present invention relates to a semiconductor device and a manufacturing technique thereof, and more particularly to a semiconductor device having an n-channel conductive field effect transistor and a p-channel conductive field effect transistor on the same substrate and a technique effective when applied to the manufacturing technique. is there.

半導体装置に搭載される電界効果トランジスタとして、例えばMISFET(Metal Insulator Semiconductor Field Effect Transistor)と呼称される絶縁ゲート型電界効果トランジスタが知られている。このMISFETは、高集積化し易いという特徴を持っていることから、集積回路を構成する回路素子として広く用いられている。   As a field effect transistor mounted on a semiconductor device, for example, an insulated gate field effect transistor called MISFET (Metal Insulator Semiconductor Field Effect Transistor) is known. This MISFET is widely used as a circuit element constituting an integrated circuit because it has a feature of being easily integrated.

MISFETは、nチャネル導電型及びpチャネル導電型を問わず、一般的に、チャネル形成領域、ゲート絶縁膜、ゲート電極、ソース領域及びドレイン領域等を有する構成となっている。ゲート絶縁膜は、半導体基板の回路形成面(一主面)の素子形成領域に設けられ、例えば酸化シリコン膜で形成されている。ゲート電極は、半導体基板の回路形成面の素子形成領域上にゲート絶縁膜を介在して設けられ、例えば抵抗値を低減する不純物が導入された多結晶シリコン膜で形成されている。チャネル形成領域は、ゲート電極と対向する半導体基板の領域(ゲート電極直下)に設けられている。ソース領域及びドレイン領域は、チャネル形成領域のチャネル長方向における両側に設けられた半導体領域(不純物拡散領域)で形成されている。   A MISFET is generally configured to have a channel formation region, a gate insulating film, a gate electrode, a source region, a drain region, and the like regardless of the n-channel conductivity type or the p-channel conductivity type. The gate insulating film is provided in an element formation region on the circuit formation surface (one main surface) of the semiconductor substrate, and is formed of, for example, a silicon oxide film. The gate electrode is provided on the element formation region on the circuit formation surface of the semiconductor substrate with a gate insulating film interposed therebetween, and is formed of, for example, a polycrystalline silicon film into which an impurity for reducing a resistance value is introduced. The channel formation region is provided in a region of the semiconductor substrate facing the gate electrode (just below the gate electrode). The source region and the drain region are formed of semiconductor regions (impurity diffusion regions) provided on both sides of the channel formation region in the channel length direction.

なお、MISFETにおいて、ゲート絶縁膜が酸化シリコン膜からなるものは、通常、MOSFET(Metal Oxide Semiconductor Field Effect Transistor)と呼ばれている。また、チャネル形成領域とは、ソース領域とドレイン領域とを結ぶ電流通路(チャネル)が形成される領域を言う。また、電流が半導体基板の厚さ方向(深さ方向)に流れるものを縦型、電流が半導体基板の平面方向(表面方向)に流れるものを横型と呼んでいる。また、ソース領域と、ドレイン領域との間(ゲート電極下)のチャネル形成領域に電子のチャネル(導電通路)ができるものをn型(又はnチャネル導電型)、正孔のチャネルができるものをp型(又はpチャネル導電型)と呼んでいる。   In the MISFET, a gate insulating film made of a silicon oxide film is usually called a MOSFET (Metal Oxide Semiconductor Field Effect Transistor). A channel formation region refers to a region where a current path (channel) that connects a source region and a drain region is formed. In addition, a current flowing in the thickness direction (depth direction) of the semiconductor substrate is called a vertical type, and a current flowing in the plane direction (surface direction) of the semiconductor substrate is called a horizontal type. In addition, an n-type (or n-channel conductivity type) can form an electron channel (conducting passage) in a channel formation region between the source region and the drain region (under the gate electrode), and a hole channel can be formed. This is called p-type (or p-channel conductivity type).

ところで、0.1μmレベル時代の超微細CMIS(Complementary MIS)プロセスでは、新素材の導入、MISFETの短チャネル効果抑制等の理由から低温化が進んでいる。これは、素子中にプロセス起因の残留応力を残しやすい。プロセス起因の残留応力は、半導体基板の回路形成面の表層部、即ちMISFETのチャネル形成領域に働く。   By the way, in the ultra fine CMIS (Complementary MIS) process at the age of 0.1 μm, the temperature is decreasing due to the introduction of new materials and the suppression of the short channel effect of MISFET. This tends to leave a process-induced residual stress in the device. The residual stress caused by the process acts on the surface layer portion of the circuit formation surface of the semiconductor substrate, that is, the channel formation region of the MISFET.

一般的なCMIS(相補型MIS)プロセスでは、例えば半導体基板の回路形成面上に層間絶縁膜を形成する場合、nチャネル導電型MISFET及びpチャネル導電型MISFET上で同一材料を用いてきた結果、同一チップ内においてMISFETのチャネル形成領域に働く応力はほぼ同じであった。また、通常は、プロセス的な工夫により、nチャネル導電型MISFET及びpチャネル導電型MISFETのチャネル形成領域に働く応力の低減化を図ってきた。   In a general CMIS (complementary MIS) process, for example, when an interlayer insulating film is formed on a circuit formation surface of a semiconductor substrate, the same material has been used on an n-channel conductive MISFET and a p-channel conductive MISFET. The stress acting on the channel formation region of the MISFET in the same chip was almost the same. In general, the stress acting on the channel formation region of the n-channel conductivity type MISFET and the p-channel conductivity type MISFET has been reduced by a process device.

また、チャネル形成領域の応力に対するトランジスタ特性の変化については、ドレイン電流(Id)が流れる方向(ゲート長方向)と同じ向きに応力をかけた場合、
(1)nチャネル導電型MISFETのドレイン電流は、圧縮応力で減少し、引っ張り応力で増加すること、
(2)pチャネル導電型MISFETのドレイン電流は、圧縮応力で増加し、引っ張り応力で減少することが知られている。
Regarding the change in transistor characteristics with respect to the stress in the channel formation region, when stress is applied in the same direction as the drain current (Id) flow direction (gate length direction),
(1) The drain current of the n-channel conductivity type MISFET decreases with compressive stress and increases with tensile stress.
(2) It is known that the drain current of a p-channel conductivity type MISFET increases with compressive stress and decreases with tensile stress.

しかし、その変化は高々数%以下であった(文献:IEEE TRANSACTIONS ON ELECTRON DEVICES .VOL.38.NO.4.APRIL 1991 p898〜p900 参照)。これは、例えばゲート長寸法が1μmのような長寸法のプロセス世代では、十分高温長時間のアニールがなされていたことにもよる。   However, the change was no more than a few percent (see: IEEE TRANSACTIONS ON ELECTRON DEVICES .VOL.38.NO.4.APRIL 1991 p898-p900). This is because, for example, in a process generation having a long dimension such as a gate length dimension of 1 μm, annealing at a sufficiently high temperature for a long time has been performed.

本発明者等の検討によれば、MISFETのゲート長を0.1μm付近まで微細化し、プロセスを低温化すると、残留応力が増大し、チャネル形成領域の応力によるトランジスタ特性への影響がとても大きくなることがわかった。   According to the study by the present inventors, when the gate length of the MISFET is miniaturized to near 0.1 μm and the process is lowered, the residual stress increases, and the influence of the stress in the channel formation region on the transistor characteristics becomes very large. I understood it.

例えば、MISFETの形成後に層間絶縁膜を兼ねたセルファラインコンタクト用のプラズマCVD窒化膜(プラズマCVD法によって形成される窒化膜)の形成条件を変えると、膜中の応力が圧縮方向から引っ張り方向へと大きく変化し、これに応じてMISFETのトランジスタ特性も大きく変化することがわかった。これを図2のドレイン電流変動率の膜応力依存性に示す。但し、図中の応力の値は、MISFETのチャネル形成領域の内部応力を現すものではなく、層間絶縁膜を被膜した後のウェーハの反りから換算して求めた層間絶縁膜自身の値である。   For example, when the formation conditions of a plasma CVD nitride film for self-contact contact that also serves as an interlayer insulating film (nitride film formed by plasma CVD) are changed after the MISFET is formed, the stress in the film changes from the compression direction to the pulling direction. It has been found that the transistor characteristics of the MISFET also change greatly. This is shown in the film stress dependence of the drain current fluctuation rate in FIG. However, the stress value in the figure does not represent the internal stress of the channel formation region of the MISFET, but is the value of the interlayer insulating film itself obtained by conversion from the warpage of the wafer after coating the interlayer insulating film.

応力による影響は、前述の文献と同じ傾向であるが、その大きさが±10〜20%と一桁以上大きくなっている。更に、nチャネル導電型MISFETとpチャネル導電型MISFETとでは、膜の応力に応じてドレイン電流の増減が明らかに逆の方向を示す。   The influence of stress has the same tendency as the above-mentioned document, but the magnitude is ± 10 to 20%, which is larger by one digit or more. Further, in the n-channel conductivity type MISFET and the p-channel conductivity type MISFET, the increase / decrease in the drain current clearly shows opposite directions depending on the stress of the film.

従って、層間絶縁膜等の形成条件を変えて内部応力の大きさが変わると、nチャネル導電型MISFEET及びpチャネル導電型MISFETのドレイン電流が相反する動きを示し、両素子のドレイン電流を同時に向上できないという問題があった。   Therefore, when the conditions for forming the interlayer insulating film and the like are changed and the magnitude of the internal stress is changed, the drain currents of the n-channel conductivity type MISFEET and the p-channel conductivity type MISFET show opposite movements, and the drain currents of both elements are simultaneously improved. There was a problem that I could not.

また、更に、0.1μmレベル以降では、この応力によるドレイン電流の変動が±10〜20%以上にもなり、nチャネル導電型MISFETとpチャネル導電型MISFETとのドレイン電流のバランスが変化するという問題があった。   Further, after the 0.1 μm level, the drain current fluctuation due to this stress becomes ± 10 to 20% or more, and the balance of the drain current between the n-channel conductivity type MISFET and the p-channel conductivity type MISFET changes. There was a problem.

本発明の目的は、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのドレイン電流の増加を図る(電流駆動能力の増加を図る)ことが可能な技術を提供することにある。
本発明の他の目的は、nチャネル導電型電界効果トランジスタ及びpチャネル電界効果トランジスタのドレイン電流比を自由に設定することが可能な技術を提供することにある。
An object of the present invention is to provide a technique capable of increasing the drain current (increasing the current driving capability) of the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor.
Another object of the present invention is to provide a technique capable of freely setting the drain current ratio of an n-channel conductivity type field effect transistor and a p-channel field effect transistor.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、下記のとおりである。
本発明の主旨は、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタの各々のチャネル形成領域に働く応力を各々のドレイン電流が増加する方向に膜の応力によって制御することである。nチャネル導電型電界効果トランジスタでは、ドレイン電流の流れ方向(ゲート長方向)に沿う引っ張り応力がチャネル形成領域に働くことによってドレイン電流が増加する。pチャネル導電型電界効果トランジスタでは、ドレイン電流の流れ方向(ゲート長方向)に沿う圧縮応力がチャネル形成領域に働くことによってドレイン電流が増加する。即ち、nチャネル導電型電界効果トランジスタのチャネル形成領域にドレイン電流方向の引っ張り応力、pチャネル導電型電界効果トランジスタのチャネル形成領域にドレイン電流方向の圧縮応力が働くように膜の応力によって制御する。例えば、以下のようにする。
Of the inventions disclosed in this application, the outline of typical ones will be briefly described as follows.
The gist of the present invention is to control the stress acting on the channel formation region of each of the n channel conductivity type field effect transistor and the p channel conductivity type field effect transistor by the stress of the film in the direction in which each drain current increases. In an n-channel conductivity type field effect transistor, the drain current increases due to the tensile stress along the flow direction (gate length direction) of the drain current acting on the channel formation region. In a p-channel conductivity type field effect transistor, the drain current increases due to the compressive stress along the drain current flow direction (gate length direction) acting on the channel formation region. That is, the film stress is controlled by the tensile stress in the drain current direction in the channel formation region of the n-channel conductivity type field effect transistor and the compressive stress in the drain current direction in the channel formation region of the p-channel conductivity type field effect transistor. For example:

(1)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
前記pチャネル導電型電界効果トランジスタのゲート電極と前記半導体基板の素子分離領域との間の半導体領域を絶縁膜で覆った状態で、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる第1の絶縁膜を形成する(a)工程と、
エッチング処理を施して、前記pチャネル導電型電界効果トランジスタ上の前記第1の絶縁膜を選択的に除去する(b)工程と、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる第2の絶縁膜を形成する(c)工程と、
前記nチャネル導電型電界効果トランジスタ上の前記第2の絶縁膜を選択的に除去する(d)工程とを有する。
(1) A method of manufacturing a semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
With the semiconductor region between the gate electrode of the p-channel conductivity type field effect transistor and the element isolation region of the semiconductor substrate covered with an insulating film, the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor A step (a) of forming a first insulating film that generates a tensile stress in a channel formation region of the n-channel conductivity type field effect transistor so as to cover these gate electrodes on the transistor;
(B) a step of selectively removing the first insulating film on the p-channel conductivity type field effect transistor by performing an etching process;
A second stress generating compressive stress in a channel formation region of the p-channel conductivity type field effect transistor so as to cover the gate electrode on the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor; (C) a step of forming an insulating film;
And (d) a step of selectively removing the second insulating film on the n-channel conductivity type field effect transistor.

(2)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
前記nチャネル導電型電界効果トランジスタのゲート電極と前記半導体基板の素子分離領域との間の半導体領域を絶縁膜で覆った状態で、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる第1の絶縁膜を形成する(a)工程と、
エッチング処理を施して、前記nチャネル導電型電界効果トランジスタ上の前記第1の絶縁膜を選択的に除去する(b)工程と、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる第2の絶縁膜を選択的に形成する(c)工程と、
前記pチャネル導電型電界効果トランジスタ上の前記第2の絶縁膜を選択的に除去する(d)工程とを有する。
(2) A method of manufacturing a semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
In a state where a semiconductor region between the gate electrode of the n-channel conductivity type field effect transistor and the element isolation region of the semiconductor substrate is covered with an insulating film, the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect A step (a) of forming a first insulating film that generates compressive stress in a channel formation region of the p-channel conductivity type field effect transistor so as to cover these gate electrodes on the transistor;
(B) a step of selectively removing the first insulating film on the n-channel conductivity type field effect transistor by performing an etching process;
A second stress is generated in a channel forming region of the n-channel conductivity type field effect transistor so as to cover the gate electrode on the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor. (C) a step of selectively forming an insulating film;
(D) a step of selectively removing the second insulating film on the p-channel conductivity type field effect transistor.

(3)前記手段(1)又は(2)において、
前記半導体領域を覆う絶縁膜は、前記ゲート電極の側壁に形成されたサイドウォールスペーサと、前記サイドウォールスペーサを覆うようにして形成された堆積膜とを含む。
(3) In the means (1) or (2),
The insulating film covering the semiconductor region includes a sidewall spacer formed on a side wall of the gate electrode and a deposited film formed so as to cover the sidewall spacer.

(4)前記手段(1)又は(2)において、
前記半導体領域を覆う絶縁膜は、前記ゲート電極の側壁に形成されたサイドウォールスペーサと、前記サイドウォールスペーサを覆うようにして形成された堆積膜とを含み、
前記半導体領域の表面には、前記サイドウォールスペーサに整合して形成された金属・半導体反応層が設けられている。
(4) In the means (1) or (2),
The insulating film covering the semiconductor region includes a sidewall spacer formed on a side wall of the gate electrode, and a deposited film formed so as to cover the sidewall spacer,
A metal / semiconductor reaction layer formed in alignment with the sidewall spacer is provided on the surface of the semiconductor region.

(5)前記手段(1)又は(2)において、
前記半導体領域を覆う絶縁膜は、前記ゲート電極の側壁に形成されたサイドウォールスペーサと、前記サイドウォールスペーサと前記素子分離領域との間に形成された熱酸化膜とを含む。
(5) In the means (1) or (2),
The insulating film covering the semiconductor region includes a sidewall spacer formed on a sidewall of the gate electrode, and a thermal oxide film formed between the sidewall spacer and the element isolation region.

(6)前記手段(1)又は(2)において、
前記半導体領域を覆う絶縁膜は、前記ゲート電極の側壁に形成されたサイドウォールスペーサと、前記サイドウォールスペーサと前記素子分離領域との間に形成された熱酸化膜とを含み、
前記半導体領域の表面には、前記サイドウォールスペーサに整合して形成された金属・半導体反応層が設けられている。
(6) In the means (1) or (2),
The insulating film covering the semiconductor region includes a sidewall spacer formed on a sidewall of the gate electrode, and a thermal oxide film formed between the sidewall spacer and the element isolation region,
A metal / semiconductor reaction layer formed in alignment with the sidewall spacer is provided on the surface of the semiconductor region.

(7)前記手段(1)又は(2)において、
前記第1及び第2の絶縁膜は、LP−CVD(Low Pressure−Chemical Vapor Deposition:減圧気相化学成長)法、プラズマCVD法、若しくは枚葉熱CVD法等で形成された窒化シリコン膜である。
(7) In the means (1) or (2),
The first and second insulating films are silicon nitride films formed by an LP-CVD (Low Pressure-Chemical Vapor Deposition) method, a plasma CVD method, a single wafer thermal CVD method, or the like. .

(8)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
前記nチャネル導電型及びpチャネル導電型電界効果トランジスタのゲート電極と前記半導体基板の素子分離領域との間の半導体領域上に第1のサイドウォールスペーサを形成する(a)工程と、
前記半導体領域の表面に前記第1のサイドウォールスペーサに整合して金属・半導体反応層を形成する(b)工程と、
前記金属・半導体反応層上に前記第1のサイドウォールスペーサに整合して第2のサイドウォールスペーサを形成する(c)工程と、
前記nチャネル導電型及びpチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる第1の絶縁膜を形成する(d)工程と、
エッチング処理を施して、前記pチャネル導電型電界効果トランジスタ上の前記第1の絶縁膜を選択的に除去する(e)工程と、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる第2の絶縁膜を形成する(f)工程と、
前記nチャネル導電型電界効果トランジスタ上の前記第2の絶縁膜を選択的に除去する(g)工程とを有する。
(8) A method of manufacturing a semiconductor device having an n-channel conductive field effect transistor and a p-channel conductive field effect transistor formed on a semiconductor substrate,
(A) forming a first sidewall spacer on the semiconductor region between the gate electrode of the n-channel conductivity type and p-channel conductivity type field effect transistor and the element isolation region of the semiconductor substrate;
(B) forming a metal / semiconductor reaction layer on the surface of the semiconductor region in alignment with the first sidewall spacer;
(C) forming a second sidewall spacer in alignment with the first sidewall spacer on the metal / semiconductor reaction layer;
A first insulating film for generating a tensile stress in the channel formation region of the n-channel conductivity type field effect transistor is formed on the n-channel conductivity type and p-channel conductivity type field effect transistors so as to cover these gate electrodes. (D) the step of
(E) a step of selectively removing the first insulating film on the p-channel conductivity type field effect transistor by performing an etching process;
A second stress generating compressive stress in a channel formation region of the p-channel conductivity type field effect transistor so as to cover the gate electrode on the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor; Forming an insulating film (f);
(G) a step of selectively removing the second insulating film on the n-channel conductivity type field effect transistor.

(9)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
前記nチャネル導電型及びpチャネル導電型電界効果トランジスタのゲート電極と前記半導体基板の素子分離領域との間の半導体領域上に第1のサイドウォールスペーサを形成する(a)工程と、
前記半導体領域の表面に前記第1のサイドウォールスペーサに整合して金属・半導体反応層を形成する(b)工程と、
前記金属・半導体反応層上に前記第1のサイドウォールスペーサに整合して第2のサイドウォールスペーサを形成する(c)工程と、
前記nチャネル導電型及びpチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる第1の絶縁膜を形成する(d)工程と、
エッチング処理を施して、前記nチャネル導電型電界効果トランジスタ上の前記第1の絶縁膜を選択的に除去する(e)工程と、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる第2の絶縁膜を形成する(f)工程と、
前記pチャネル導電型電界効果トランジスタ上の前記第2の絶縁膜を選択的に除去する(g)工程とを有する。
(9) A method of manufacturing a semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
(A) forming a first sidewall spacer on the semiconductor region between the gate electrode of the n-channel conductivity type and p-channel conductivity type field effect transistor and the element isolation region of the semiconductor substrate;
(B) forming a metal / semiconductor reaction layer on the surface of the semiconductor region in alignment with the first sidewall spacer;
(C) forming a second sidewall spacer in alignment with the first sidewall spacer on the metal / semiconductor reaction layer;
A first insulating film for generating a compressive stress is formed in the channel formation region of the p-channel conductivity type field effect transistor so as to cover these gate electrodes on the n-channel conductivity type and the p-channel conductivity type field effect transistor. (D) the step of
(E) a step of selectively removing the first insulating film on the n-channel conductivity type field effect transistor by performing an etching process;
A second stress is generated in a channel forming region of the n-channel conductivity type field effect transistor so as to cover the gate electrode on the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor. Forming an insulating film (f);
(G) a step of selectively removing the second insulating film on the p-channel conductivity type field effect transistor.

(10)前記手段(8)又は(9)において、
前記第1及び第2の絶縁膜は、LP−CVD法、プラズマCVD法、若しくは枚葉熱CVD法等で形成された窒化シリコン膜である。
(10) In the means (8) or (9),
The first and second insulating films are silicon nitride films formed by an LP-CVD method, a plasma CVD method, a single wafer thermal CVD method, or the like.

(11)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
引っ張り応力を持つ第1の絶縁膜を前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして形成する(a)工程と、
前記第1の絶縁膜の引っ張り応力よりも絶対値が大きい圧縮応力を持つ第2の絶縁膜を前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして形成する(b)工程と、
エッチング処理を施して、前記nチャネル導電型電界効果トランジスタ上の前記第2の絶縁膜を選択的に除去する(c)工程とを有する。
前記第2の絶縁膜の圧縮応力は、前記第1の絶縁膜の引っ張り応力の2倍以上である。
前記第1及び第2の絶縁膜は、LP−CVD法、プラズマCVD法、若しくは枚葉熱CVD法等で形成された窒化シリコン膜である。
(11) A method of manufacturing a semiconductor device having an n-channel conductive field effect transistor and a p-channel conductive field effect transistor formed on a semiconductor substrate,
A step (a) of forming a first insulating film having a tensile stress on the n-channel conductive field effect transistor and the p-channel conductive field effect transistor so as to cover these gate electrodes;
A second insulating film having a compressive stress whose absolute value is larger than the tensile stress of the first insulating film is covered with the gate electrodes on the n-channel conductive field effect transistor and the p-channel conductive field effect transistor. (B) the step of forming as described above,
And (c) a step of selectively removing the second insulating film on the n-channel conductivity type field effect transistor by performing an etching process.
The compressive stress of the second insulating film is at least twice the tensile stress of the first insulating film.
The first and second insulating films are silicon nitride films formed by an LP-CVD method, a plasma CVD method, a single wafer thermal CVD method, or the like.

(12)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
圧縮応力を持つ第1の絶縁膜を前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして形成する(a)工程と、
前記第1の絶縁膜の圧縮応力よりも絶対値が大きい引っ張り応力を持つ第2の絶縁膜を前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして形成する(b)工程と、
エッチング処理を施して、前記pチャネル導電型電界効果トランジスタ上の前記第2の絶縁膜を選択的に除去する(c)工程とを有する。
前記第2の絶縁膜の引っ張り応力は、前記第1の絶縁膜の圧縮応力の2倍以上である。
前記第1及び第2の絶縁膜は、LP−CVD法、プラズマCVD法、若しくは枚葉熱CVD法等で形成された窒化シリコン膜である。
(12) A method of manufacturing a semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
(A) forming a first insulating film having a compressive stress on the n-channel conductive field effect transistor and the p-channel conductive field effect transistor so as to cover these gate electrodes;
A second insulating film having a tensile stress whose absolute value is larger than the compressive stress of the first insulating film is covered with the gate electrodes on the n-channel conductive field effect transistor and the p-channel conductive field effect transistor. (B) the step of forming as described above,
And (c) a step of selectively removing the second insulating film on the p-channel conductivity type field effect transistor by performing an etching process.
The tensile stress of the second insulating film is twice or more the compressive stress of the first insulating film.
The first and second insulating films are silicon nitride films formed by an LP-CVD method, a plasma CVD method, a single wafer thermal CVD method, or the like.

(13)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
引っ張り応力を持つ第1の絶縁膜が、前記nチャネル導電型及びpチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして形成され、
前記第1の絶縁膜の引っ張り応力よりも絶対値が大きい圧縮応力を持つ第2の絶縁膜が、前記pチャネル導電型電界効果トランジスタ上にこのゲート電極を覆うようにして選択的に形成されている。
前記第2の絶縁膜の圧縮応力は、前記第1の絶縁膜の引っ張り応力の2倍以上である。
前記第1及び第2の絶縁膜は、LP−CVD法、プラズマCVD法、若しくは枚葉熱CVD法等で形成された窒化シリコン膜である。
(13) A semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
A first insulating film having a tensile stress is formed on the n-channel and p-channel field effect transistors so as to cover these gate electrodes;
A second insulating film having a compressive stress whose absolute value is larger than the tensile stress of the first insulating film is selectively formed on the p-channel conductivity type field effect transistor so as to cover the gate electrode. Yes.
The compressive stress of the second insulating film is at least twice the tensile stress of the first insulating film.
The first and second insulating films are silicon nitride films formed by an LP-CVD method, a plasma CVD method, a single wafer thermal CVD method, or the like.

(14)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
圧縮応力を持つ第1の絶縁膜が、前記nチャネル導電型及びpチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして形成され、
前記第1の絶縁膜の圧縮応力よりも絶対値が大きい引っ張り応力を持つ第2の絶縁膜が、前記nチャネル導電型電界効果トランジスタ上にこのゲート電極を覆うようにして選択的に形成されている。
前記第2の絶縁膜の引っ張り応力は、前記第1の絶縁膜の圧縮応力の2倍以上である。
前記第1及び第2の絶縁膜は、LP−CVD法、プラズマCVD法、若しくは枚葉熱CVD法等で形成された窒化シリコン膜である。
(14) A semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
A first insulating film having a compressive stress is formed on the n-channel and p-channel field effect transistors so as to cover these gate electrodes;
A second insulating film having a tensile stress whose absolute value is larger than the compressive stress of the first insulating film is selectively formed on the n-channel conductivity type field effect transistor so as to cover the gate electrode. Yes.
The tensile stress of the second insulating film is twice or more the compressive stress of the first insulating film.
The first and second insulating films are silicon nitride films formed by an LP-CVD method, a plasma CVD method, a single wafer thermal CVD method, or the like.

(15)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
引っ張り応力を持つ絶縁膜を前記nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うように形成する工程と、
前記pチャネル導電型電界効果トランジスタ上の前記絶縁膜に元素を導入して、前記絶縁膜を前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜に変換する工程とを有する。
前記元素は、前記絶縁膜に含まれる元素と同一の元素である。
前記元素の導入は、前記半導体基板に対して垂直に前記元素をイオン注入する方法、或いは前記半導体基板に対して斜めに前記元素をイオン注入する方法で行う。
前記絶縁膜は、LP−CVD法、プラズマCVD法、若しくは枚葉熱CVD法等で形成された窒化シリコン膜である。
(15) A method of manufacturing a semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
Forming an insulating film having a tensile stress on the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor so as to cover these gate electrodes;
Introducing an element into the insulating film on the p-channel conductivity type field effect transistor to convert the insulating film into a film that generates a compressive stress in a channel formation region of the p-channel conductivity type field effect transistor. .
The element is the same element as the element contained in the insulating film.
The introduction of the element is performed by a method of ion-implanting the element perpendicular to the semiconductor substrate or a method of ion-implanting the element obliquely to the semiconductor substrate.
The insulating film is a silicon nitride film formed by an LP-CVD method, a plasma CVD method, a single wafer thermal CVD method, or the like.

(16)半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
前記nチャネル導電型及びpチャネル導電型電界効果トランジスタ上にこれらのゲート電極を覆うようにして膜が形成され、
前記膜は、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる膜応力を持つ第1の部分と、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜応力を持つ第2の部分とを有する。
前記膜の第2の部分は、前記第1の部分よりも膜中の元素濃度が高い。
前記膜は、LP−CVD法、プラズマCVD法、若しくは枚葉熱CVD法等で形成された窒化シリコン膜である。
(16) A semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
A film is formed on the n-channel and p-channel field effect transistors so as to cover these gate electrodes,
The film generates a compressive stress in the first portion having a film stress that generates tensile stress in the channel formation region of the n-channel conductivity type field effect transistor and in the channel formation region of the p-channel conductivity type field effect transistor. A second portion having a film stress.
The second portion of the film has a higher element concentration in the film than the first portion.
The film is a silicon nitride film formed by an LP-CVD method, a plasma CVD method, a single wafer thermal CVD method, or the like.

前述した手段によれば、nチャネル導電型電界効果トランジスタのチャネル形成領域に引っ張り応力、pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力が別々に与えられる結果、図2のように、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタの各チャネル形成領域に働く応力の大きさに応じて、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタで共にドレイン電流が増加する。   According to the above-described means, tensile stress is separately applied to the channel formation region of the n-channel conductivity type field effect transistor, and compressive stress is separately applied to the channel formation region of the p-channel conductivity type field effect transistor. The drain current increases in both the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor according to the magnitude of stress acting on each channel forming region of the channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor. To do.

また、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのチャネル形成領域に働く応力を個別に制御できるため、nチャネル導電型電界効果トランジスタとpチャネル導電型電界効果トランジスタとのドレイン電流比を自由に制御できる。   In addition, since the stress acting on the channel formation regions of the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor can be individually controlled, the drain current between the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor The ratio can be controlled freely.

ここでいくつかの用語について定義する。
電界効果トランジスタのチャネル形成領域に働く引っ張り応力とは、チャネル形成領域がシリコン(Si)の場合、Siの格子定数が平衡状態より大きくなる応力を言う。
電界効果トランジスタのチャネル形成領域に働く圧縮応力とは、チャネル形成領域がシリコン(Si)の場合、Siの格子定数が平衡状態より小さくなる応力を言う。
膜がもつ引っ張り応力とは、電界効果トランジスタのチャネル形成領域に引っ張り応力を発生させる応力を言う。
膜がもつ圧縮応力とは、電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる応力を言う。
Several terms are defined here.
The tensile stress acting on the channel formation region of the field effect transistor is a stress at which the lattice constant of Si becomes larger than the equilibrium state when the channel formation region is silicon (Si).
The compressive stress acting on the channel formation region of the field effect transistor is a stress in which the lattice constant of Si is smaller than the equilibrium state when the channel formation region is silicon (Si).
The tensile stress of the film refers to a stress that generates a tensile stress in the channel formation region of the field effect transistor.
The compressive stress of the film refers to a stress that generates a compressive stress in the channel formation region of the field effect transistor.

従って、本発明の主旨は、チャネル形成領域におけるシリコン原子の原子間距離が、nチャネル導電型電界効果トランジスタとpチャネル導電型電界効果トランジスタとで異なっている、言い換えると歪みの大きさが異なっていること、更にはシリコン原子間距離が、pチャネル導電型電界効果トランジスタのチャネル形成領域よりも、nチャネル導電型電界効果トランジスタのチャネル形成領域で大きいことを意味している。   Therefore, the gist of the present invention is that the interatomic distance between silicon atoms in the channel formation region is different between the n-channel conduction type field effect transistor and the p-channel conduction type field effect transistor, in other words, the magnitude of strain is different. This means that the distance between silicon atoms is larger in the channel formation region of the n-channel conductivity type field effect transistor than in the channel formation region of the p-channel conductivity type field effect transistor.

本発明の前記並びにその他の目的と新規な特徴は、本明細書の記述及び添付図面によって明らかになるであろう。
なお、本発明者は、本発明を成す過程で新たな問題点を見出した。この問題点については、本発明を適用した実施の形態と共に説明する。
The above and other objects and novel features of the present invention will become apparent from the description of this specification and the accompanying drawings.
The inventor has found a new problem in the process of forming the present invention. This problem will be described together with an embodiment to which the present invention is applied.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば、下記のとおりである。
本発明によれば、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのドレイン電流の増加(電流駆動能力の向上)を図ることが可能となる。
また、本発明によれば、nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタのドレイン電流比を自由に設定することが可能となる。
The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.
According to the present invention, it is possible to increase the drain current (improve the current driving capability) of the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor.
Further, according to the present invention, the drain current ratio of the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor can be freely set.

以下、図面を参照して本発明の実施の形態を詳細に説明する。なお、発明の実施の形態を説明するための全図において、同一機能を有するものは同一符号を付け、その繰り返しの説明は省略する。また、図面を見易くするため、断面を示すハッチングは一部省略している。
(実施形態1)
本実施形態1では、電源電圧が1〜1.5V、ゲート長が0.1〜0.14μm程度の相補型MISFETを有する半導体装置に本発明を適用した例について説明する。
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiment of the invention, and the repetitive description thereof is omitted. In addition, in order to make the drawing easy to see, some hatching showing a cross section is omitted.
(Embodiment 1)
In the first embodiment, an example in which the present invention is applied to a semiconductor device having a complementary MISFET having a power supply voltage of 1 to 1.5 V and a gate length of about 0.1 to 0.14 μm will be described.

図1は、本発明の実施形態1である半導体装置の概略構成を示す模式的断面図であり、
図2は、ドレイン電流変動率の膜応力依存性を示す特性図であり、
図3及び図4は、電流方向と膜応力方向との関係を示す模式的平面図及び模式的断面図であり、
図5乃至図19は、図1の半導体装置の製造工程中における模式的断面図であり、
図20乃至図23は、本発明を成す過程で本発明者によって見出された問題点を説明するための模式的断面図である。
FIG. 1 is a schematic cross-sectional view showing a schematic configuration of a semiconductor device according to Embodiment 1 of the present invention.
FIG. 2 is a characteristic diagram showing the film stress dependence of the drain current fluctuation rate,
3 and 4 are a schematic plan view and a schematic cross-sectional view showing the relationship between the current direction and the film stress direction,
5 to 19 are schematic cross-sectional views during the manufacturing process of the semiconductor device of FIG.
20 to 23 are schematic cross-sectional views for explaining problems found by the inventor in the process of forming the present invention.

図1、図5乃至図19において、向かって左側がnチャネル導電型MISFET(n-ch MISFET)であり、右側がpチャネル導電型MISFET(p-ch MISFET)である。   1 and 5 to 19, the left side is an n-channel conductivity type MISFET (n-ch MISFET), and the right side is a p-channel conductivity type MISFET (p-ch MISFET).

図1に示すように、本実施形態の半導体装置は、半導体基板として例えば単結晶シリコンからなるp型シリコン基板(以下、単にp型基板と呼ぶ)1を主体に構成されている。p型基板1の回路形成面(一主面)はnMIS形成領域(第1の素子形成領域)1n及びpMIS形成領域(第2の素子形成領域)1pを有し、このnMIS形成領域1n及びpMIS形成領域1pは素子分離領域である例えば浅溝アイソレーション(SGI:Shallow Groove Isolation)領域4によって互いに区画されている。nMIS形成領域1nにはp型ウエル領域2及びnチャネル導電型MISFET(以下、単にn型MISFETと呼ぶ)が形成され、pMIS形成領域1pにはn型ウエル領域3及びpチャネル導電型MISFET(以下、単にp型MISFETと呼ぶ)が形成されている。浅溝アイソレーション領域4は、p型基板1の回路形成面に浅溝を形成し、その後、浅溝の内部に絶縁膜(例えば酸化シリコン膜)を選択的に埋め込むことによって形成される。本実施形態のn型及びp型MISFETは、電流がp型基板1の平面方向に流れる横型構造になっている。   As shown in FIG. 1, the semiconductor device of the present embodiment is mainly configured by a p-type silicon substrate (hereinafter simply referred to as a p-type substrate) 1 made of, for example, single crystal silicon as a semiconductor substrate. The circuit formation surface (one main surface) of the p-type substrate 1 has an nMIS formation region (first element formation region) 1n and a pMIS formation region (second element formation region) 1p. The nMIS formation region 1n and pMIS The formation region 1p is partitioned from each other by, for example, a shallow groove isolation (SGI) region 4 which is an element isolation region. In the nMIS formation region 1n, a p-type well region 2 and an n-channel conductivity type MISFET (hereinafter simply referred to as an n-type MISFET) are formed. In the pMIS formation region 1p, an n-type well region 3 and a p-channel conductivity type MISFET (hereinafter referred to as n-type MISFET). Simply called p-type MISFET). The shallow groove isolation region 4 is formed by forming a shallow groove on the circuit formation surface of the p-type substrate 1 and then selectively burying an insulating film (for example, a silicon oxide film) inside the shallow groove. The n-type and p-type MISFETs of this embodiment have a lateral structure in which current flows in the plane direction of the p-type substrate 1.

n型MISFETは、主に、チャネル形成領域、ゲート絶縁膜5、ゲート電極6、サイドウォールスペーサ9、ソース領域及びドレイン領域を有する構成となっている。ソース領域及びドレイン領域は、n型半導体領域(エクステンション領域)7及びn型半導体領域10を有する構成となっている。n型半導体領域7はゲート電極6に対して自己整合で形成され、n型半導体領域10はゲート電極6の側壁に設けられたサイドウォールスペーサ9に対して自己整合で形成されている。n型半導体領域10はn型半導体領域7よりも高い不純物濃度で形成されている。   The n-type MISFET mainly has a channel formation region, a gate insulating film 5, a gate electrode 6, sidewall spacers 9, a source region, and a drain region. The source region and the drain region have an n-type semiconductor region (extension region) 7 and an n-type semiconductor region 10. The n-type semiconductor region 7 is formed by self-alignment with respect to the gate electrode 6, and the n-type semiconductor region 10 is formed by self-alignment with respect to the sidewall spacer 9 provided on the side wall of the gate electrode 6. The n-type semiconductor region 10 is formed with a higher impurity concentration than the n-type semiconductor region 7.

p型MISFETは、主に、チャネル形成領域、ゲート絶縁膜5、ゲート電極6、サイドウォールスペーサ9、ソース領域及びドレイン領域を有する構成となっている。ソース領域及びドレイン領域は、p型半導体領域(エクステンション領域)8及びp型半導体領域11を有する構成となっている。p型半導体領域8はゲート電極6に対して自己整合で形成され、p型半導体領域11はゲート電極6の側壁に設けられたサイドウォールスペーサ9に対して自己整合で形成されている。p型半導体領域11はp型半導体領域8よりも高い不純物濃度で形成されている。   The p-type MISFET mainly has a channel formation region, a gate insulating film 5, a gate electrode 6, sidewall spacers 9, a source region and a drain region. The source region and drain region have a p-type semiconductor region (extension region) 8 and a p-type semiconductor region 11. The p-type semiconductor region 8 is formed in a self-aligned manner with respect to the gate electrode 6, and the p-type semiconductor region 11 is formed in a self-aligned manner with respect to the sidewall spacer 9 provided on the side wall of the gate electrode 6. The p-type semiconductor region 11 is formed with a higher impurity concentration than the p-type semiconductor region 8.

ゲート電極6、n型半導体領域10、p型半導体領域11の夫々の表面には、低抵抗化を図るためのシリサイド層(金属・半導体反応層)12が形成されている。ゲート電極6の表面に設けられたシリサイド層12、n型半導体領域10及びp型半導体領域11の表面に設けられたシリサイド層12は、ゲート電極6の側壁に設けられたサイドウォールスペーサ9に対して自己整合で形成されている。これらのシリサイド層12は、例えば、サリサイド(Salicide:Self Aligned Silicide)技術によって形成されている。即ち、本実施形態のn型及びp型MISFETは、サリサイド構造になっている。   A silicide layer (metal / semiconductor reaction layer) 12 for reducing the resistance is formed on the surface of each of the gate electrode 6, the n-type semiconductor region 10, and the p-type semiconductor region 11. The silicide layer 12 provided on the surface of the gate electrode 6, the silicide layer 12 provided on the surfaces of the n-type semiconductor region 10 and the p-type semiconductor region 11 are in contact with the sidewall spacer 9 provided on the side wall of the gate electrode 6. And self-aligned. These silicide layers 12 are formed by, for example, a salicide (Self Aligned Silicide) technique. That is, the n-type and p-type MISFETs of this embodiment have a salicide structure.

p型基板1の回路形成面上には、例えば酸化シリコン膜からなる層間絶縁膜16が形成されている。層間絶縁膜16は、p型基板1の回路形成面を覆うようにして形成されている。n型MISFETと層間絶縁膜16との間には、p型基板1の回路形成面に引っ張り応力を発生させる膜として第1の窒化膜である例えば窒化シリコン膜14aが形成されている。p型MISFETと層間絶縁膜16との間には、p型基板1の回路形成面に圧縮応力を発生させる膜として第2の窒化膜である例えば窒化シリコン膜14bが形成されている。本実施形態において、窒化シリコン膜14aはn型MISFET上にそのゲート電極6を覆うようにして選択的に形成され、窒化シリコン膜14bはp型MISFET上にそのゲート電極6を覆うようにして選択的に形成されている。   On the circuit formation surface of the p-type substrate 1, an interlayer insulating film 16 made of, for example, a silicon oxide film is formed. The interlayer insulating film 16 is formed so as to cover the circuit formation surface of the p-type substrate 1. Between the n-type MISFET and the interlayer insulating film 16, for example, a silicon nitride film 14a, which is a first nitride film, is formed as a film that generates tensile stress on the circuit formation surface of the p-type substrate 1. Between the p-type MISFET and the interlayer insulating film 16, a second nitride film, for example, a silicon nitride film 14b is formed as a film for generating a compressive stress on the circuit formation surface of the p-type substrate 1. In this embodiment, the silicon nitride film 14a is selectively formed on the n-type MISFET so as to cover the gate electrode 6, and the silicon nitride film 14b is selected so as to cover the gate electrode 6 on the p-type MISFET. Is formed.

n型MISFETと窒化シリコン膜14aとの間、並びにp型MISFETと窒化シリコン膜14bとの間には、例えば酸化シリコン膜からなる絶縁膜13が形成されている。絶縁膜13は、p型基板1の回路形成面上にn型及びp型MISFETを覆うようにして形成されている。   An insulating film 13 made of, for example, a silicon oxide film is formed between the n-type MISFET and the silicon nitride film 14a and between the p-type MISFET and the silicon nitride film 14b. The insulating film 13 is formed on the circuit formation surface of the p-type substrate 1 so as to cover the n-type and p-type MISFETs.

窒化シリコン膜14aと層間絶縁膜16との間には、例えば酸化シリコン膜からなる絶縁膜15が形成されている。この絶縁膜15は、窒化シリコン膜14a上に、この窒化シリコン膜14aを覆うようにして選択的に形成されている。   Between the silicon nitride film 14a and the interlayer insulating film 16, an insulating film 15 made of, for example, a silicon oxide film is formed. The insulating film 15 is selectively formed on the silicon nitride film 14a so as to cover the silicon nitride film 14a.

n半導体領域10及びp型半導体領域11上には、層間絶縁膜16の表面からシリサイド層12に到達するソース・ドレイン用コンタクト孔18が形成され、このソース・ドレイン用コンタクト孔18の内部には導電性プラグ19が埋め込まれている。n半導体領域10及びp型半導体領域11は、シリサイド層12及び導電性プラグ19を介在して、層間絶縁膜16上を延在する配線20と電気的に接続されている。   Source / drain contact holes 18 reaching the silicide layer 12 from the surface of the interlayer insulating film 16 are formed on the n semiconductor region 10 and the p-type semiconductor region 11, and the source / drain contact holes 18 are formed inside the source / drain contact holes 18. A conductive plug 19 is embedded. The n semiconductor region 10 and the p-type semiconductor region 11 are electrically connected to the wiring 20 extending on the interlayer insulating film 16 with the silicide layer 12 and the conductive plug 19 interposed therebetween.

ゲート電極6上には、図示していないが、層間絶縁膜16の表面からシリサイド層12に到達するゲート用コンタクト孔が形成され、このゲート用コンタクト孔の内部には導電性プラグ19が埋め込まれている。ゲート電極6は、シリサイド層12、及びゲート用コンタクト孔の内部の導電性プラグ19を介在して、層間絶縁膜16上を延在する配線20と電気的に接続されている。   Although not shown, a gate contact hole reaching the silicide layer 12 from the surface of the interlayer insulating film 16 is formed on the gate electrode 6, and a conductive plug 19 is embedded in the gate contact hole. ing. The gate electrode 6 is electrically connected to a wiring 20 extending on the interlayer insulating film 16 via the silicide layer 12 and a conductive plug 19 inside the gate contact hole.

ソース・ドレイン用コンタクト孔18及びゲート用コンタクト孔は、窒化シリコン膜14a及び14bをエッチングストッパ膜として用いるSAC(Self Aligned Contact hole)技術によって形成されている。即ち、窒化シリコン膜14a及び14bは、自己整合コンタクト用絶縁膜として使用されている。   The source / drain contact hole 18 and the gate contact hole are formed by SAC (Self Aligned Contact Hole) technology using the silicon nitride films 14a and 14b as etching stopper films. That is, the silicon nitride films 14a and 14b are used as insulating films for self-aligned contacts.

窒化シリコン膜14a及び14bは、例えばプラズマCVD(Chemical Vapor Deposition)法によって形成されている。この窒化シリコン膜14a及び14bは、その形成条件(反応ガス、圧力、温度、高周波電力等)を変えることで、p型基板1の回路形成面に発生させる応力を制御することが可能である。本実施形態において、窒化シリコン膜14aは、例えば膜形成時の高周波電力を300〜400Wと低電力化して、p型基板1の回路形成面に発生させる応力を引っ張り方向に制御したものである。窒化シリコン膜14bは、例えば膜形成時の高周波電力を600〜700Wと高電力化して、p型基板1の回路形成面に発生させる応力を圧縮方向に制御したものである。   The silicon nitride films 14a and 14b are formed by, for example, a plasma CVD (Chemical Vapor Deposition) method. The silicon nitride films 14a and 14b can control the stress generated on the circuit formation surface of the p-type substrate 1 by changing the formation conditions (reactive gas, pressure, temperature, high frequency power, etc.). In this embodiment, the silicon nitride film 14a is obtained by controlling the stress generated on the circuit formation surface of the p-type substrate 1 in the tensile direction by reducing the high-frequency power at the time of film formation to 300 to 400 W, for example. The silicon nitride film 14b is obtained by controlling the stress generated on the circuit formation surface of the p-type substrate 1 in the compression direction by increasing the high frequency power at the time of film formation to 600 to 700 W, for example.

このようにして形成された窒化シリコン膜14aには+700〜+800MPa程度の引っ張り応力が存在し、窒化シリコン膜14bには−900〜−1000MPa程度の圧縮応力が存在するため、n型MISFETのチャネル形成領域には引っ張り応力が発生し、p型MISFETのチャネル形成領域には圧縮応力が発生する。この結果、図2に示すように、窒化シリコン膜14a及び14bを被膜していない場合と比較して、n型MISFETのドレイン電流は10〜15%向上し、p型MISFETのドレイン電流は15〜20%向上した。なお、これらの応力は、前述のように、主として、チャネル形成領域を流れるドレイン電流(Id)の方向(ゲート長方向)と同じ向きにかかる。   Since the silicon nitride film 14a thus formed has a tensile stress of about +700 to +800 MPa, and the silicon nitride film 14b has a compressive stress of about −900 to −1000 MPa, the channel formation of the n-type MISFET is performed. Tensile stress is generated in the region, and compressive stress is generated in the channel formation region of the p-type MISFET. As a result, as shown in FIG. 2, the drain current of the n-type MISFET is improved by 10 to 15% as compared with the case where the silicon nitride films 14a and 14b are not coated, and the drain current of the p-type MISFET is 15 to 15%. Improved by 20%. As described above, these stresses are mainly applied in the same direction as the direction of the drain current (Id) flowing in the channel formation region (gate length direction).

ここで、MISFETのチャネル形成領域に発生する応力について、簡略した図及び本実施形態と一部異なる符号を用いて説明する。図3及び図4に示すMISFETは本実施形態と同様にサリサイド構造になっており、符号30はMISFETのチャネル形成領域、符号31はチャネル形成領域30を流れるドレイン電流の方向、符号32はゲート電極6に整合して形成された半導体領域、符号33はサイドウォールスペーサ9に整合して形成された半導体領域、符号34はチャネル形成領域30に応力を発生させるための膜、符号35a及び35bは段差部である。   Here, the stress generated in the channel formation region of the MISFET will be described using a simplified diagram and a partly different code from the present embodiment. The MISFET shown in FIGS. 3 and 4 has a salicide structure as in the present embodiment. Reference numeral 30 denotes a channel formation region of the MISFET, reference numeral 31 denotes the direction of the drain current flowing through the channel formation region 30, and reference numeral 32 denotes a gate electrode. 6, a semiconductor region formed in alignment with the sidewall spacer 9, a reference numeral 34 represents a film for generating stress in the channel forming region 30, and reference numerals 35a and 35b represent steps. Part.

図3及び図4に示すように、MISFETは、ゲート電極6の側壁にゲート電極6を囲むようにしてサイドウォールスペーサ9が設けられた構造になっている。ゲート電極6及びサイドウォールスペーサ9は基板から突出しているため、ゲート電極6及びサイドウォールスペーサ9による段差部(35a,35b)が形成されている。このような構造のMISFET上に、そのゲート電極6を覆うようにして、チャネル形成領域30に応力(引っ張り応力、若しくは圧縮応力)を発生させる膜34を形成した場合、ゲート長方向Xにおける段差部35aの最下部及びゲート幅方向Yにおける段差部35bの最下部に膜34による応力が集中するため、ゲート長方向Xにおける段差部35aの最下部を起点とするゲート長方向の膜応力がチャネル形成領域30に働くと共に、ゲート幅方向Yにおける段差部35bの最下部を起点とするゲート幅方向の膜応力がチャネル形成領域30に働く。即ち、膜34による応力が引っ張り応力の場合は、チャネル形成領域30にゲート長方向及びゲート幅方向の引っ張り応力が発生し、膜34による応力が圧縮応力の場合は、チャネル形成領域30にゲート長方向及びゲート幅方向の圧縮応力が発生する。   As shown in FIGS. 3 and 4, the MISFET has a structure in which a sidewall spacer 9 is provided on the side wall of the gate electrode 6 so as to surround the gate electrode 6. Since the gate electrode 6 and the sidewall spacer 9 protrude from the substrate, step portions (35a, 35b) are formed by the gate electrode 6 and the sidewall spacer 9. When a film 34 for generating stress (tensile stress or compressive stress) is formed in the channel formation region 30 so as to cover the gate electrode 6 on the MISFET having such a structure, a step portion in the gate length direction X is formed. Since stress due to the film 34 concentrates on the lowermost part of the step 35b in the gate width direction Y and the lower part of the stepped part 35b in the gate width direction Y, the film stress in the gate length direction starting from the lowermost part of the stepped part 35a in the gate length direction X In addition to acting on the region 30, film stress in the gate width direction starting from the bottom of the stepped portion 35 b in the gate width direction Y acts on the channel forming region 30. That is, when the stress due to the film 34 is tensile stress, tensile stress in the gate length direction and the gate width direction is generated in the channel forming region 30, and when the stress due to the film 34 is compressive stress, the gate length in the channel forming region 30 is generated. Compressive stress is generated in the direction and the gate width direction.

しかしながら、ゲート電極6のゲート長方向Xにおける長さは、そのゲート幅方向Yにおける長さと比較して圧倒的に小さいため、ゲート幅方向Yにおける段差部35bの最下部に集中する引っ張り応力、若しくは圧縮応力によってチャネル形成領域30に発生するゲート幅方向の引っ張り応力、若しくは圧縮応力は極めて小さい。従って、膜34によってチャネル形成領域30に発生する応力は、実質的に、ゲート長方向の引っ張り応力、若しくは圧縮応力、言い換えればドレイン電流方向31に沿う引っ張り応力、若しくは圧縮応力のみと見なすことができる。   However, since the length in the gate length direction X of the gate electrode 6 is overwhelmingly smaller than the length in the gate width direction Y, the tensile stress concentrated on the lowermost portion of the step portion 35b in the gate width direction Y, or The tensile stress or compressive stress in the gate width direction generated in the channel formation region 30 by the compressive stress is extremely small. Therefore, the stress generated in the channel formation region 30 by the film 34 can be regarded substantially as a tensile stress or a compressive stress in the gate length direction, in other words, a tensile stress or a compressive stress along the drain current direction 31. .

p型MISFETにおいては、チャネル形成領域30にゲート幅方向の圧縮応力をかけた場合、ドレイン電流は減少すると報告されている。膜34によるチャネル形成領域30の応力制御では、前述したように、チャネル形成領域30に発生するゲート幅方向の圧縮応力は極めて小さいため、p型MISFETのドレイン電流増加を効率良く行うことができる。従って、膜34によるチャネル形成領域30の応力制御は、p型電界効果トランジスタに対して特に有効である。   In the p-type MISFET, it is reported that the drain current decreases when compressive stress in the gate width direction is applied to the channel formation region 30. In the stress control of the channel formation region 30 by the film 34, as described above, since the compressive stress in the gate width direction generated in the channel formation region 30 is extremely small, the drain current of the p-type MISFET can be increased efficiently. Therefore, the stress control of the channel formation region 30 by the film 34 is particularly effective for the p-type field effect transistor.

なお、膜34の応力によってチャネル形成領域30に発生する応力は、膜応力の起点がチャネル形成領域30から離れる(遠ざかる)に従って減少するため、膜応力の起点は出来るだけチャネル形成領域30に近づけることが望ましい。前述の説明では、ゲート電極6及びサイドウォールスペーサ9による段差部(35a,35b)の最下部が膜応力の起点となるが、サイドウォールスペーサ9を持たないMISFETの場合は、ゲート電極6の側壁の最下部が膜応力の起点となる。   Note that the stress generated in the channel formation region 30 due to the stress of the film 34 decreases as the starting point of the film stress moves away from the channel forming region 30, so that the starting point of the film stress is as close as possible to the channel forming region 30. Is desirable. In the above description, the lowest part of the stepped portions (35a, 35b) due to the gate electrode 6 and the side wall spacer 9 is the starting point of the film stress, but in the case of a MISFET without the side wall spacer 9, the side wall of the gate electrode 6 is used. The lowest part of is the starting point of the film stress.

次に、本実施形態1の半導体装置の製造について、図5乃至図19を用いて説明する。
まず、比抵抗10Ωcmを有する単結晶シリコンからなるp型基板1を準備し、その後、図5に示すように、p型基板1の回路形成面にp型ウエル領域2及びn型ウエル領域3を選択的に形成する。
Next, the manufacture of the semiconductor device according to the first embodiment will be described with reference to FIGS.
First, a p-type substrate 1 made of single crystal silicon having a specific resistance of 10 Ωcm is prepared. Thereafter, as shown in FIG. 5, a p-type well region 2 and an n-type well region 3 are formed on the circuit formation surface of the p-type substrate 1. Selectively form.

次に、図5に示すように、p型基板1の回路形成面に、nMIS形成領域(第1の素子形成領域)1n及びpMIS形成領域(第2の素子形成領域)1pを区画する素子分離領域として、浅溝アイソレーション領域4を形成する。この浅溝アイソレーション領域4は、p型基板1の回路形成面に浅溝(例えば300[nm]程度の深さの溝)を形成し、その後、p型基板1の回路形成面上に例えば酸化シリコン膜からなる絶縁膜をCVD法で形成し、その後、絶縁膜が浅溝の内部のみ残るようにCMP(化学的機械研磨:Chemical Mechanical Polishing)法で平坦化することによって形成される。   Next, as shown in FIG. 5, the element isolation that partitions the nMIS formation region (first element formation region) 1 n and the pMIS formation region (second element formation region) 1 p on the circuit formation surface of the p-type substrate 1. As a region, a shallow groove isolation region 4 is formed. This shallow groove isolation region 4 forms a shallow groove (for example, a groove having a depth of about 300 [nm]) on the circuit formation surface of the p-type substrate 1, and then, for example, on the circuit formation surface of the p-type substrate 1. An insulating film made of a silicon oxide film is formed by a CVD method, and then planarized by a CMP (Chemical Mechanical Polishing) method so that the insulating film remains only inside the shallow groove.

次に、図6に示すように、熱処理を施してp型基板1の回路形成面のnMIS形成領域1n及びpMIS形成領域1pに例えば厚さが2〜3nm程度の酸化シリコン膜からなるゲート絶縁膜5を形成し、その後、p型基板1の回路形成面上の全面に例えば150〜200nm程度の厚さの多結晶シリコン膜をCVD法で形成し、その後、多結晶シリコン膜にパターンニングを施してゲート電極6を形成する。多結晶シリコン膜には、抵抗値を低減する不純物がその堆積中又は堆積後に導入される。   Next, as shown in FIG. 6, a gate insulating film made of a silicon oxide film having a thickness of, for example, about 2 to 3 nm is formed on the nMIS formation region 1 n and the pMIS formation region 1 p on the circuit formation surface of the p-type substrate 1 by performing heat treatment. Then, a polycrystalline silicon film having a thickness of, for example, about 150 to 200 nm is formed on the entire surface of the circuit formation surface of the p-type substrate 1 by the CVD method, and then the polycrystalline silicon film is patterned. Thus, the gate electrode 6 is formed. Impurities that reduce the resistance value are introduced into the polycrystalline silicon film during or after the deposition.

次に、図6に示すように、ゲート電極6が形成されていないp型ウエル領域2の部分に不純物として例えば砒素(As)をイオン打込み法で選択的に導入して一対のn型半導体領域(エクステンション領域)7を形成し、その後、ゲート電極6が形成されていないn型ウエル領域3の部分に不純物として例えば二フッ化ボロン(BF)をイオン打込み法で選択的に導入して一対のp型半導体領域(エクステンション領域)8を形成する。n型半導体領域7の形成は、pMIS形成領域1pをフォトレジストマスクで覆った状態で行なう。また、p型半導体領域8の形成は、nMIS形成領域1nをフォトレジストマスクで覆った状態で行なう。砒素の導入は、加速エネルギー1〜5KeV、ドーズ量1〜2×1015/cmの条件で行なう。また、二フッ化ボロンの導入は、加速エネルギー1〜5KeV、ドーズ量1〜2×1015/cmの条件で行なう。n型半導体領域7及びp型半導体領域8は、ゲート電極6に整合して形成される。
なお、不純物を導入して半導体領域(7,8)を形成した後、この半導体領域(7,8)を活性化する熱処理が施される。
Next, as shown in FIG. 6, for example, arsenic (As) is selectively introduced as an impurity into the portion of the p-type well region 2 where the gate electrode 6 is not formed by ion implantation, and a pair of n-type semiconductor regions. (Extension region) 7 is formed, and then, for example, boron difluoride (BF 2 ) is selectively introduced as an impurity into the n-type well region 3 where the gate electrode 6 is not formed by ion implantation. The p-type semiconductor region (extension region) 8 is formed. The n-type semiconductor region 7 is formed in a state where the pMIS formation region 1p is covered with a photoresist mask. The p-type semiconductor region 8 is formed in a state where the nMIS formation region 1n is covered with a photoresist mask. Arsenic is introduced under the conditions of an acceleration energy of 1 to 5 KeV and a dose of 1 to 2 × 10 15 / cm 2 . Boron difluoride is introduced under the conditions of an acceleration energy of 1 to 5 KeV and a dose of 1 to 2 × 10 15 / cm 2 . The n-type semiconductor region 7 and the p-type semiconductor region 8 are formed in alignment with the gate electrode 6.
In addition, after introducing the impurity to form the semiconductor region (7, 8), heat treatment for activating the semiconductor region (7, 8) is performed.

次に、図6に示すように、ゲート電極6の側壁に例えばゲート長方向の膜厚が50〜70nm程度のサイドウォールスペーサ9を形成する。サイドウォールスペーサ9は、p型基板1の回路形成面上の全面に例えば酸化シリコン膜又は窒化シリコン膜からなる絶縁膜をCVD法で形成し、その後、絶縁膜にRIE(Reactive Ion Etching)等の異方性エッチングを施すことによって形成される。サイドウォールスペーサ9はゲート電極6に整合して形成される。   Next, as shown in FIG. 6, sidewall spacers 9 having a film thickness in the gate length direction of about 50 to 70 nm are formed on the sidewalls of the gate electrode 6. The sidewall spacer 9 is formed by forming an insulating film made of, for example, a silicon oxide film or a silicon nitride film on the entire surface of the circuit formation surface of the p-type substrate 1 by the CVD method. It is formed by performing anisotropic etching. The side wall spacer 9 is formed in alignment with the gate electrode 6.

次に、図6に示すように、ゲート電極6及びサイドウォールスペーサ9が形成されていないp型ウエル領域2の部分に不純物として例えば砒素(As)をイオン打込み法で選択的に導入して一対のn型半導体領域10を形成し、その後、ゲート電極6及びサイドウォールスペーサ9が形成されていないn型ウエル領域3の部分に不純物として例えば二フッ化ボロン(BF)をイオン打込み法で選択的に導入して一対のp型半導体領域11を形成する。n型半導体領域10の形成は、pMIS形成領域1pをフォトレジストマスクで覆った状態で行なう。また、p型半導体領域11の形成は、nMIS形成領域1nをフォトレジストマスクで覆った状態で行なう。砒素の導入は、加速エネルギー35〜45KeV、ドーズ量2〜4×1015/cmの条件で行なう。また、二フッ化ボロンの導入は、加速エネルギー40〜50KeV、ドーズ量2〜4×1015/cmの条件で行なう。n型半導体領域10及びp型半導体領域11は、サイドウォールスペーサ9に整合して形成される。
なお、不純物を導入して半導体領域(10,11)を形成した後、この半導体領域(10,11)を活性化するための熱処理が施される。
Next, as shown in FIG. 6, for example, arsenic (As) as an impurity is selectively introduced into the portion of the p-type well region 2 where the gate electrode 6 and the sidewall spacer 9 are not formed by an ion implantation method. N-type semiconductor region 10 is formed, and then boron difluoride (BF 2 ), for example, is selected by ion implantation as an impurity in the n-type well region 3 where the gate electrode 6 and the sidewall spacer 9 are not formed. Thus, a pair of p-type semiconductor regions 11 are formed. The n-type semiconductor region 10 is formed in a state where the pMIS formation region 1p is covered with a photoresist mask. The p-type semiconductor region 11 is formed in a state where the nMIS formation region 1n is covered with a photoresist mask. Arsenic is introduced under the conditions of an acceleration energy of 35 to 45 KeV and a dose of 2 to 4 × 10 15 / cm 2 . Boron difluoride is introduced under the conditions of an acceleration energy of 40 to 50 KeV and a dose of 2 to 4 × 10 15 / cm 2 . The n-type semiconductor region 10 and the p-type semiconductor region 11 are formed in alignment with the sidewall spacer 9.
In addition, after introducing the impurity to form the semiconductor region (10, 11), heat treatment is performed to activate the semiconductor region (10, 11).

この工程において、ゲート電極6に整合して形成されたn型半導体領域7及びサイドウォールスペーサ9に整合して形成されたn型半導体領域10を有するソース領域及びドレイン領域が形成される。また、ゲート電極6に整合して形成されたp型半導体領域8及びサイドウォールスペーサ9に整合して形成されたp型半導体領域11を有するソース領域及びドレイン領域が形成される。また、横型のn型及びp型MISFETが形成される。   In this step, a source region and a drain region having an n-type semiconductor region 7 formed in alignment with the gate electrode 6 and an n-type semiconductor region 10 formed in alignment with the sidewall spacer 9 are formed. In addition, a source region and a drain region having a p-type semiconductor region 8 formed in alignment with the gate electrode 6 and a p-type semiconductor region 11 formed in alignment with the sidewall spacer 9 are formed. Also, lateral n-type and p-type MISFETs are formed.

次に、自然酸化膜等を除去してゲート電極6及び半導体領域(10,11)の表面を露出させた後、図7に示すように、これらの表面上を含むp型基板1の回路形成面上の全面に高融点金属膜として例えばコバルト(Co)膜12aをスパッタ法で形成し、その後、図8に示すように、熱処理を施し、ゲート電極6のシリコン(Si)とコバルト膜12aのCoとを反応させてゲート電極6の表面に金属・半導体反応層であるシリサイド(CoSix)層12を形成すると共に、半導体領域(10,11)のSiとコバルト膜12aのCoとを反応させて半導体領域(10,11)の表面にシリサイド(CoSix)層12を形成し、その後、図9に示すように、シリサイド層12が形成された領域以外の未反応のコバルト膜12aを選択的に除去し、その後、熱処理を施してシリサイド層12を活性化する。   Next, after removing the natural oxide film and the like to expose the surfaces of the gate electrode 6 and the semiconductor region (10, 11), as shown in FIG. 7, the circuit formation of the p-type substrate 1 including these surfaces is formed. For example, a cobalt (Co) film 12a as a refractory metal film is formed on the entire surface by sputtering, and thereafter, as shown in FIG. 8, heat treatment is performed to form silicon (Si) of the gate electrode 6 and the cobalt film 12a. A silicide (CoSix) layer 12 that is a metal / semiconductor reaction layer is formed on the surface of the gate electrode 6 by reacting with Co, and Si in the semiconductor region (10, 11) is reacted with Co in the cobalt film 12a. A silicide (CoSix) layer 12 is formed on the surface of the semiconductor region (10, 11), and then an unreacted cobalt film 12a other than the region where the silicide layer 12 is formed is selectively formed as shown in FIG. Removed, then activates the silicide layer 12 by heat treatment.

この工程において、ゲート電極6の表面に設けられたシリサイド層12及び半導体領域(10,11)の表面に設けられたシリサイド層12は、サイドウォールスペーサ9に整合して形成される。また、サリサイド構造のn型及びp型MISFETが形成される。   In this step, the silicide layer 12 provided on the surface of the gate electrode 6 and the silicide layer 12 provided on the surface of the semiconductor region (10, 11) are formed in alignment with the sidewall spacer 9. In addition, salicide-structured n-type and p-type MISFETs are formed.

次に、図10に示すように、n型及びp型MISFET上を含むp型基板1の回路形成面上の全面に、例えば5〜10nm程度の厚さの酸化シリコン膜からなる絶縁膜13をCVD法で形成する。この工程において、ゲート電極6のシリサイド層12、半導体領域(10,11)のシリサイド層12、並びにサイドウォールスペーサ9等は、絶縁膜13で覆われる。   Next, as shown in FIG. 10, an insulating film 13 made of a silicon oxide film having a thickness of about 5 to 10 nm, for example, is formed on the entire circuit formation surface of the p-type substrate 1 including the n-type and p-type MISFETs. It is formed by the CVD method. In this step, the silicide layer 12 of the gate electrode 6, the silicide layer 12 of the semiconductor region (10, 11), the sidewall spacer 9, and the like are covered with the insulating film 13.

次に、図11に示すように、n型及びp型MISFET上を含むp型基板1の回路形成面上の全面に、絶縁膜として例えば100〜120nm程度の厚さの窒化シリコン膜14aをプラズマCVD法で形成する。窒化シリコン膜14aの形成は、例えば高周波電力350〜400W、或いはチャンバー内圧力300〜350Torrの条件で行なう。   Next, as shown in FIG. 11, a silicon nitride film 14a having a thickness of, for example, about 100 to 120 nm is formed as plasma on the entire surface of the circuit formation surface of the p-type substrate 1 including the n-type and p-type MISFETs. It is formed by the CVD method. The silicon nitride film 14a is formed under conditions of, for example, a high frequency power of 350 to 400 W or a chamber internal pressure of 300 to 350 Torr.

この工程において、n型及びp型MISFETは、窒化シリコン膜14aで覆われる。また、ゲート電極6のシリサイド層12、半導体領域(10,11)、並びサイドウォールスペーサ9等は、絶縁膜13を介在して窒化シリコン膜14aで覆われる。   In this step, the n-type and p-type MISFET are covered with the silicon nitride film 14a. The silicide layer 12, the semiconductor regions (10, 11), the side wall spacers 9 and the like of the gate electrode 6 are covered with the silicon nitride film 14a with the insulating film 13 interposed therebetween.

次に、図12に示すように、n型及びp型MISFET上を含むp型基板1の回路形成面上の全面に、例えば50nm程度の厚さの酸化シリコン膜からなる絶縁膜15をCVD法で形成する。この工程において、窒化シリコン膜14aは、絶縁膜15で覆われる。   Next, as shown in FIG. 12, an insulating film 15 made of a silicon oxide film having a thickness of, for example, about 50 nm is formed on the entire surface of the circuit formation surface of the p-type substrate 1 including the n-type and p-type MISFET by the CVD method. Form with. In this step, the silicon nitride film 14 a is covered with the insulating film 15.

次に、図13に示すように、絶縁膜15上に、nMIS形成領域1n(n型MISFET)上を選択的に覆うフォトレジストマスクRM1を形成する。   Next, as shown in FIG. 13, a photoresist mask RM <b> 1 that selectively covers the nMIS formation region 1 n (n-type MISFET) is formed on the insulating film 15.

次に、フォトレジストマスクRM1をエッチングマスクにしてエッチング処理を施して、図14に示すように、pMIS形成領域1p上(p型MISFET上)の絶縁膜15、並びに窒化シリコン膜14aを順次除去する。絶縁膜15の加工はウエットエッチングで行い、窒化シリコン膜14aの加工は等方性ドライエッチングで行う。   Next, etching is performed using the photoresist mask RM1 as an etching mask, and the insulating film 15 and the silicon nitride film 14a on the pMIS formation region 1p (on the p-type MISFET) are sequentially removed as shown in FIG. . The insulating film 15 is processed by wet etching, and the silicon nitride film 14a is processed by isotropic dry etching.

この工程において、n型MISFET上にそのゲート電極6を覆うようにして窒化シリコン膜14aが選択的に形成される。このようにして窒化シリコン膜14aを選択的に形成することにより、窒化シリコン膜14aによってn型MISFETのチャネル形成領域に引っ張り応力を選択的に発生させることができる。   In this step, a silicon nitride film 14a is selectively formed on the n-type MISFET so as to cover the gate electrode 6. By selectively forming the silicon nitride film 14a in this manner, tensile stress can be selectively generated in the channel formation region of the n-type MISFET by the silicon nitride film 14a.

また、この工程において、p型MISFETでは、ゲート電極6の表面のシリサイド層12、p型半導体領域11の表面のシリサイド層12、並びにサイドウォールスペーサ9が絶縁膜13によって覆われているため、これらのシリサイド層12並びにサイドウォールスペーサ9が窒化シリコン膜14aの加工時のオーバーエッチングによって削られてしまう不具合を抑制することができる。即ち、絶縁膜13は、窒化シリコン膜14aの加工時におけるエッチングストッパの役割を果たす。   In this step, in the p-type MISFET, the silicide layer 12 on the surface of the gate electrode 6, the silicide layer 12 on the surface of the p-type semiconductor region 11, and the sidewall spacer 9 are covered with the insulating film 13. The problem that the silicide layer 12 and the side wall spacers 9 are scraped by over-etching during the processing of the silicon nitride film 14a can be suppressed. That is, the insulating film 13 serves as an etching stopper when the silicon nitride film 14a is processed.

なお、この工程において、絶縁膜13が存在しなかった場合、窒化シリコン膜14aの加工時のオーバーエッチングによって問題が生じる。この問題については後で詳細に説明する。   In this step, if the insulating film 13 does not exist, a problem arises due to over-etching during the processing of the silicon nitride film 14a. This problem will be described in detail later.

次に、フォトレジストマスクRM1を除去した後、図15に示すように、絶縁膜15上を含むp型基板1の回路形成面上の全面に、絶縁膜として例えば100nm程度の厚さの窒化シリコン膜14bをプラズマCVD法で形成する。窒化シリコン膜14bの形成は、例えば高周波電力600〜700W、或いはチャンバー内圧力5〜10Torrの条件で行なう。   Next, after removing the photoresist mask RM1, as shown in FIG. 15, silicon nitride having a thickness of, for example, about 100 nm is formed as an insulating film on the entire surface of the p-type substrate 1 including the insulating film 15 on the circuit formation surface. The film 14b is formed by a plasma CVD method. The silicon nitride film 14b is formed under conditions of, for example, a high frequency power of 600 to 700 W or a chamber pressure of 5 to 10 Torr.

この工程において、n型及びp型MISFETは、窒化シリコン膜14bで覆われる。また、n型MISFET上の窒化シリコン膜14aは絶縁膜15を介在して窒化シリコン膜14bで覆われる。   In this step, the n-type and p-type MISFET are covered with the silicon nitride film 14b. The silicon nitride film 14a on the n-type MISFET is covered with the silicon nitride film 14b with the insulating film 15 interposed.

次に、図16に示すように、窒化シリコン膜14b上に、pMIS形成領域1p(p型MISFET)上を選択的に覆うフォトレジストマスクRM2を形成する。   Next, as shown in FIG. 16, a photoresist mask RM2 that selectively covers the pMIS formation region 1p (p-type MISFET) is formed on the silicon nitride film 14b.

次に、フォトレジストマスクRM2をエッチングマスクにしてエッチング処理を施して、図17に示すように、nMIS形成領域1n上(n型MISFET上)の窒化シリコン膜14bを除去する。窒化シリコン膜14bの加工は等方性ドライエッチングで行う。   Next, etching is performed using the photoresist mask RM2 as an etching mask to remove the silicon nitride film 14b on the nMIS formation region 1n (on the n-type MISFET) as shown in FIG. The silicon nitride film 14b is processed by isotropic dry etching.

この工程において、p型MISFET上にそのゲート電極6を覆うようにして窒化シリコン膜14bが選択的に形成される。このようにして窒化シリコン膜14bを選択的に形成することにより、窒化シリコン膜14bによってp型MISFETのチャネル形成領域に圧縮応力を選択的に発生させることができる。   In this step, a silicon nitride film 14b is selectively formed on the p-type MISFET so as to cover the gate electrode 6. By selectively forming the silicon nitride film 14b in this way, compressive stress can be selectively generated in the channel formation region of the p-type MISFET by the silicon nitride film 14b.

また、この工程において、n型MISFET上の窒化シリコン膜14aは絶縁膜15によって覆われているため、この窒化シリコン膜14aが窒化シリコン膜14bの加工時のオーバーエッチングによって削られてしまう不具合を抑制することができる。即ち、絶縁膜15は、窒化シリコン膜14bの加工時におけるエッチングストッパの役割を果たす。   Further, in this step, since the silicon nitride film 14a on the n-type MISFET is covered with the insulating film 15, a problem that the silicon nitride film 14a is scraped by over-etching when the silicon nitride film 14b is processed is suppressed. can do. That is, the insulating film 15 serves as an etching stopper when the silicon nitride film 14b is processed.

次に、フォトレジストマスクRM2を除去した後、図18に示すように、n型及びp型MISFET上を含むp型基板1の回路形成面上の全面に例えば酸化シリコン膜からなる層間絶縁膜16をプラズマCVD法で形成し、その後、層間絶縁膜16の表面をCMP法で平坦化する。   Next, after removing the photoresist mask RM2, as shown in FIG. 18, an interlayer insulating film 16 made of, for example, a silicon oxide film is formed on the entire circuit formation surface of the p-type substrate 1 including the n-type and p-type MISFETs. Is formed by plasma CVD, and then the surface of the interlayer insulating film 16 is planarized by CMP.

次に、図18に示すように、層間絶縁膜16中に、Ar,Ge,Si,As,Sb,In,BF等の不純物17をイオン打ち込み法で導入して、層間絶縁膜16中の結晶性を破壊する。この工程において、層間絶縁膜16の応力が緩和されるため、層間絶縁膜16の応力がMISFETのチャネル形成領域に働く影響を抑制することができる。なお、層間絶縁膜16の断面を観察すると明らかに破壊された跡が残る。 Next, as shown in FIG. 18, impurities 17 such as Ar, Ge, Si, As, Sb, In, and BF 2 are introduced into the interlayer insulating film 16 by an ion implantation method. Destroy crystallinity. In this step, since the stress of the interlayer insulating film 16 is relaxed, the influence of the stress of the interlayer insulating film 16 on the channel formation region of the MISFET can be suppressed. Observing the cross section of the interlayer insulating film 16 clearly leaves a broken mark.

次に、図19に示すように、半導体領域(11,12)上に、層間絶縁膜16の表面からシリサイド層12に到達するソース・ドレイン用コンタクト孔18を形成する。ソース・ドレイン用コンタクト孔18の形成は、窒化シリコン膜(14a,14b)をエッチングストッパとするSAC技術で行う。具体的には、まず、半導体領域(10,11)と対向する位置にコンタクト孔用の開口パターンを持つフォトレジストマスクを層間絶縁膜16上に形成し、その後、前記フォトレジストマスクをエッチングマスクにして、層間絶縁膜16、絶縁膜15,窒化シリコン膜(14a,14b)及び絶縁膜13に異方性ドライエッチングを順次施す。層間絶縁膜16及び絶縁膜15のエッチングは、窒化シリコン膜(14a,14b)に対して選択比がとれる条件で行う。窒化シリコン膜(14a,14b)のエッチングは、絶縁膜13に対して選択比がとれる条件で行う。絶縁膜13のエッチングは、シリサイド層12、及びp型基板1に対して選択比がとれる条件で行う。なお、絶縁膜13のエッチングは、窒化シリコン膜(14a,14b)の加工時のオーバーエッチングで行っても良い。   Next, as shown in FIG. 19, source / drain contact holes 18 reaching the silicide layer 12 from the surface of the interlayer insulating film 16 are formed on the semiconductor regions (11, 12). The source / drain contact holes 18 are formed by the SAC technique using the silicon nitride films (14a, 14b) as etching stoppers. Specifically, first, a photoresist mask having an opening pattern for contact holes is formed on the interlayer insulating film 16 at a position facing the semiconductor regions (10, 11), and then the photoresist mask is used as an etching mask. Then, anisotropic dry etching is sequentially performed on the interlayer insulating film 16, the insulating film 15, the silicon nitride films (14 a and 14 b), and the insulating film 13. The etching of the interlayer insulating film 16 and the insulating film 15 is performed under a condition that allows a selectivity to the silicon nitride films (14a, 14b). The etching of the silicon nitride films (14a, 14b) is performed under a condition that allows a selectivity to the insulating film 13. Etching of the insulating film 13 is performed under conditions that allow a selectivity to the silicide layer 12 and the p-type substrate 1. The insulating film 13 may be etched by over-etching during the processing of the silicon nitride films (14a, 14b).

次に、図示していないが、ソース・ドレイン用コンタクト孔18の形成と同様の方法で、ゲート電極6上に層間絶縁膜16の表面からシリサイド層12に到達するゲート用コンタクト孔を形成する。   Next, although not shown, a gate contact hole reaching the silicide layer 12 from the surface of the interlayer insulating film 16 is formed on the gate electrode 6 by a method similar to the formation of the source / drain contact hole 18.

次に、ソース・ドレイン用コンタクト孔18の内部、及びゲート用コンタクト孔の内部に金属等の導電物を埋め込んで導電性プラグ19を形成し、その後、層間絶縁膜16上に配線20を形成することにより、図1に示す構造となる。   Next, a conductive plug 19 is formed by embedding a conductive material such as a metal in the source / drain contact hole 18 and the gate contact hole, and then a wiring 20 is formed on the interlayer insulating film 16. Thus, the structure shown in FIG. 1 is obtained.

次に、本発明を成す過程で本発明者が見出した問題点と共に本発明について説明する。
p型MISFET上の窒化シリコン膜14aを異方性ドライエッチングで除去した場合、異方性ドライエッチングとしてはサイドウォールスペーサ9の側壁に沿う窒化シリコン膜14aの部分の膜厚が実行的に厚く見えるため、図20に示すように、サイドウォールスペーサ9の側壁に窒化シリコン膜14aの一部が残存する。このままの状態で、pMISFET上に窒化シリコン膜14bを形成した場合、図21に示すように、ゲート電極6、サイドウォールスペーサ9及び一部の窒化シリコン膜14aによる段差部35aの最下部に窒化シリコン膜14bの応力が集中するため、窒化シリコン膜14bの応力の起点がサイドウォールスペーサ9の側壁に残存する窒化シリコン膜14aによってp型MISFETのチャネル形成領域から離れてしまい、窒化シリコン膜14bの膜応力によってチャネル形成領域に圧縮応力を発生させる効果が減少してしまう。また、逆の応力作用を持つ窒化シリコン膜14aがサイドウォールスペーサ9の側壁に残存するため、窒化シリコン膜14bによってチャネル形成領域に圧縮応力を発生させる効果が更に減少してしまう。従って、p型MISFET上の窒化シリコン膜14aの除去では、段差部にエッチング残りが発生しない等方性ドライエッチングで行うことが有効である。
しかしながら、p型MISFET上の窒化シリコン膜14aを等方性ドライエッチングで除去した場合、新たな問題が発生する。
Next, the present invention will be described together with problems found by the inventor in the process of forming the present invention.
When the silicon nitride film 14a on the p-type MISFET is removed by anisotropic dry etching, the thickness of the portion of the silicon nitride film 14a along the side wall of the sidewall spacer 9 appears to be effectively thick as anisotropic dry etching. Therefore, as shown in FIG. 20, part of the silicon nitride film 14 a remains on the side wall of the side wall spacer 9. In this state, when the silicon nitride film 14b is formed on the pMISFET, as shown in FIG. 21, the silicon nitride is formed at the bottom of the step portion 35a formed by the gate electrode 6, the sidewall spacer 9, and a part of the silicon nitride film 14a. Since the stress of the film 14b is concentrated, the starting point of the stress of the silicon nitride film 14b is separated from the channel formation region of the p-type MISFET by the silicon nitride film 14a remaining on the side wall of the sidewall spacer 9, and the film of the silicon nitride film 14b. The effect of generating a compressive stress in the channel formation region is reduced by the stress. In addition, since the silicon nitride film 14a having the opposite stress action remains on the side wall of the sidewall spacer 9, the effect of generating compressive stress in the channel formation region by the silicon nitride film 14b is further reduced. Therefore, it is effective to remove the silicon nitride film 14a on the p-type MISFET by isotropic dry etching in which no etching residue is generated in the stepped portion.
However, when the silicon nitride film 14a on the p-type MISFET is removed by isotropic dry etching, a new problem occurs.

窒化シリコン膜の等方性ドライエッチングとしては、一般的に、CF又はCF等のフッ化ガスを用いた等方性プラズマエッチングが使用されている。この等方性プラズマエッチングでは、酸化シリコン膜やシリサイド層に対しては選択比をとることができるが、シリコンに対しては選択比をとることができない。 As isotropic dry etching of a silicon nitride film, isotropic plasma etching using a fluorinated gas such as CF 4 or CF 6 is generally used. In this isotropic plasma etching, a selectivity can be obtained for a silicon oxide film or a silicide layer, but a selectivity cannot be obtained for silicon.

酸化シリコン膜からなるサイドウォールスペーサ9は、窒化シリコン膜14aの等方性プラズマエッチングに対して選択性をもつが、窒化シリコン膜14aの加工時のオーバーエッチングによって若干エッチングされるため、サイドウォールスペーサ9の全体の膜厚がゲート電極6に向かって後退する。一方、p型半導体領域11の表面のシリサイド層12はサイドウォールスペーサ9に整合して形成されている。従って、窒化シリコン膜14aの加工時のオーバーエッチングによるサイドウォールスペーサ9の後退によって、図22に示すように、サイドウォールスペーサ9とシリサイド層12との間にシリコンの露出部a1が形成されてしまう。窒化シリコン膜の等方性プラズマエッチングは、シリコンに対して選択比をとることができないため、窒化シリコン膜14aの加工時のオーバーエッチングによって露出部1aからp型基板1が削られてしまい、ゲート電極6が剥がれる等の不具合が発生してしまう。   The sidewall spacer 9 made of a silicon oxide film has selectivity for the isotropic plasma etching of the silicon nitride film 14a, but is slightly etched by over-etching during the processing of the silicon nitride film 14a. The entire film thickness of 9 recedes toward the gate electrode 6. On the other hand, the silicide layer 12 on the surface of the p-type semiconductor region 11 is formed in alignment with the sidewall spacer 9. Accordingly, the silicon exposed portion a1 is formed between the sidewall spacer 9 and the silicide layer 12 as shown in FIG. 22 due to the recession of the sidewall spacer 9 due to over-etching during the processing of the silicon nitride film 14a. . Since the isotropic plasma etching of the silicon nitride film cannot take a selection ratio with respect to silicon, the p-type substrate 1 is scraped from the exposed portion 1a by overetching during the processing of the silicon nitride film 14a, and the gate Problems such as peeling off of the electrode 6 occur.

また、シリサイド層12は、窒化シリコン膜14aの等方性プラズマエッチングに対して選択性をもつが、窒化シリコン膜14aの加工時のオーバーエッチングによって若干エッチングされるため、シリサイド層12の膜厚が薄くなる。シリサイド層12は、MISFETの微細化に伴うゲート抵抗の増加やソース・ドレイン抵抗の増加を抑制するために、ゲート電極6の表面やp型半導体領域11の表面に設けられている。従って、窒化シリコン膜14aの加工時のオーバーエッチングによってシリサイド層12の膜厚が薄くなると、MISFETの微細化に伴うゲート抵抗の増加やソース・ドレイン抵抗の増加を抑制する効果が減少してしまう。   In addition, the silicide layer 12 has selectivity with respect to the isotropic plasma etching of the silicon nitride film 14a. However, since the silicide layer 12 is slightly etched by overetching during the processing of the silicon nitride film 14a, the thickness of the silicide layer 12 is increased. getting thin. The silicide layer 12 is provided on the surface of the gate electrode 6 and the surface of the p-type semiconductor region 11 in order to suppress an increase in gate resistance and an increase in source / drain resistance due to miniaturization of the MISFET. Therefore, if the thickness of the silicide layer 12 is reduced by over-etching during the processing of the silicon nitride film 14a, the effect of suppressing the increase in gate resistance and the increase in source / drain resistance due to miniaturization of the MISFET is reduced.

また、サリサイド構造のp型MISFETの場合は、シリサイド層12がエッチングストッパの役目を果たすため、ゲート電極6においてはシリサイド層12下の多結晶シリコン膜、ソース領域及びドレイン領域においてはシリサイド層12下のp型半導体領域11が窒化シリコン膜14aの加工時のオーバーエッチングによって削られてしまうことはないが、ゲート電極6の表面やp型半導体領域11の表面にシリサイド層12を持たない構造の場合は、図23に示すように、ゲート電極6の多結晶シリコン膜、ソース領域及びドレイン領域のp型半導体領域11が削られてしまい、これらの厚さが減少してしまうため、ゲート抵抗及びソース・ドレイン抵抗が増加してしまう。ゲート抵抗の増加はスイッチング速度の低下を招き、ソース・ドレイン抵抗の増加は電流駆動能力の低下を招く。   In the case of a p-type MISFET having a salicide structure, the silicide layer 12 serves as an etching stopper, so that the gate electrode 6 is a polycrystalline silicon film below the silicide layer 12, and the source and drain regions are below the silicide layer 12. In the case where the p-type semiconductor region 11 is not etched by over-etching during the processing of the silicon nitride film 14a, the surface of the gate electrode 6 or the surface of the p-type semiconductor region 11 does not have the silicide layer 12. 23, since the polycrystalline silicon film of the gate electrode 6 and the p-type semiconductor region 11 of the source region and the drain region are scraped and their thickness is reduced, the gate resistance and the source are reduced.・ Drain resistance increases. An increase in gate resistance causes a decrease in switching speed, and an increase in source / drain resistance causes a decrease in current drive capability.

従って、p型MISFET上の窒化シリコン膜14aの除去は、段差部にエッチング残りが発生しない等方性ドライエッチングで行うことが有効であるが、窒化シリコン膜14aの加工を等方性ドライエッチングで行うためには、前述の問題を解決する必要がある。   Therefore, it is effective to remove the silicon nitride film 14a on the p-type MISFET by isotropic dry etching in which no etching residue is generated in the stepped portion, but the silicon nitride film 14a is processed by isotropic dry etching. To do so, it is necessary to solve the aforementioned problems.

本発明者の検討によれば、サイドウォールスペーサ9の後退に関する問題は、n型及びp型MISFET上に、これらのゲート電極6を覆うようにして窒化シリコン膜14aを形成する前に、少なくともp型半導体領域11におけるシリサイド層12のサイドウォールスペーサ側の端部上をエッチングストッパとして機能する絶縁膜で覆っておくことにより解決することができる。   According to the study by the present inventor, the problem regarding the receding of the sidewall spacer 9 is that at least p before the silicon nitride film 14a is formed on the n-type and p-type MISFET so as to cover these gate electrodes 6. This can be solved by covering the end of the silicide layer 12 on the side wall spacer side in the type semiconductor region 11 with an insulating film functioning as an etching stopper.

また、シリサイド層12の削れに関する問題は、n型及びp型MISFET上にこれらのゲート電極6を覆うようにして窒化シリコン膜14aを形成する前に、シリサイド層12の全体をエッチングストッパとして機能する絶縁膜で覆っておくことにより解決することができる。   Further, the problem regarding the shaving of the silicide layer 12 is that the entire silicide layer 12 functions as an etching stopper before the silicon nitride film 14a is formed on the n-type and p-type MISFET so as to cover these gate electrodes 6. It can be solved by covering with an insulating film.

また、シリサイド層12をもたない構造に関する問題は、n型及びp型MISFET上にこれらのゲート電極6を覆うようにして窒化シリコン膜14aを形成する前に、ゲート電極6の表面やp型半導体領域11の表面をエッチングストッパとして機能する絶縁膜で覆っておくことにより解決することができる。
絶縁膜としては、窒化シリコン膜14aの等方性プラズマエッチングに対して選択性をもつもの、例えば酸化シリコン膜が望ましい。
Further, the problem with the structure without the silicide layer 12 is that the surface of the gate electrode 6 and the p-type are formed before the silicon nitride film 14a is formed on the n-type and p-type MISFET so as to cover the gate electrode 6. This can be solved by covering the surface of the semiconductor region 11 with an insulating film functioning as an etching stopper.
As the insulating film, a film having selectivity for isotropic plasma etching of the silicon nitride film 14a, for example, a silicon oxide film is desirable.

前述の実施形態1では、図10及び図11に示すように、窒化シリコン膜14aを形成する前に、酸化シリコン膜からなる絶縁膜13をCVD法で形成している。CVD法、即ち堆積法で絶縁膜13を形成する場合、p型MISFET上において、ゲート電極6の表面のシリサイド層12、p型半導体領域11の表面のシリサイド層12、p型半導体領域11の表面におけるシリサイド層12のサイドウォール9側の端部、並びにサイドウォールスペーサ9を絶縁膜13で覆うことができる。   In the first embodiment described above, as shown in FIGS. 10 and 11, the insulating film 13 made of a silicon oxide film is formed by the CVD method before the silicon nitride film 14a is formed. When the insulating film 13 is formed by the CVD method, that is, the deposition method, on the p-type MISFET, the silicide layer 12 on the surface of the gate electrode 6, the silicide layer 12 on the surface of the p-type semiconductor region 11, and the surface of the p-type semiconductor region 11 The end of the silicide layer 12 on the side wall 9 side and the side wall spacer 9 can be covered with the insulating film 13.

従って、p型MISFET上の窒化シリコン膜14bの除去は、図14に示すように、ゲート電極6の表面のシリサイド層12、p型半導体領域11の表面のシリサイド層12、p型半導体領域11の表面におけるシリサイド層12のサイドウォール9側の端部、並びにサイドウォールスペーサ9を絶縁膜13で覆った状態で行われるため、サイドウォールスペーサ9の後退に関する問題、シリサイド層12の削れに関する問題を一気に解決することができる。   Accordingly, the removal of the silicon nitride film 14b on the p-type MISFET is performed by removing the silicide layer 12 on the surface of the gate electrode 6, the silicide layer 12 on the surface of the p-type semiconductor region 11, and the p-type semiconductor region 11 as shown in FIG. Since the process is performed with the end of the silicide layer 12 on the side wall 9 side and the side wall spacer 9 covered with the insulating film 13 on the surface, the problem related to the receding of the side wall spacer 9 and the problem related to the scraping of the silicide layer 12 are performed at once. Can be solved.

このように、本実施形態1によれば、n型MISFETのチャネル形成領域に引っ張り応力、p型MISFETのチャネル形成領域に圧縮応力が別々に与えられる結果、n型MISFET及びp型MISFETの各チャネル形成領域に働く応力の大きさに応じて、n型MISFET及びp型MISFETで共にドレイン電流が増加する。   As described above, according to the first embodiment, tensile stress is separately applied to the channel formation region of the n-type MISFET, and compressive stress is separately applied to the channel formation region of the p-type MISFET. As a result, each channel of the n-type MISFET and p-type MISFET The drain current increases in both the n-type MISFET and the p-type MISFET according to the magnitude of the stress acting on the formation region.

また、n型MISFET及びp型MISFETのチャネル形成領域に働く応力を個別に制御できるため、n型MISFETとp型MISFETとのドレイン電流比を自由に制御できる。   Further, since the stress acting on the channel formation regions of the n-type MISFET and the p-type MISFET can be individually controlled, the drain current ratio between the n-type MISFET and the p-type MISFET can be freely controlled.

また、n型MISFET及びp型MISFETのドレイン電流を同時に増加することができるため、n型及びp型MISFETを有する半導体装置の高速化を図ることができる。   In addition, since the drain currents of the n-type MISFET and the p-type MISFET can be increased at the same time, the speed of the semiconductor device having the n-type and p-type MISFET can be increased.

また、p型MISFET上の窒化シリコン膜14aを等方性ドライエッチングで除去する時に生じる、サイドウォールスペーサ9の後退に関する問題やシリサイド層12の削れに関する問題を解決することができるため、製造歩留まり及び信頼性が高い半導体装置を提供することができる。   In addition, since the problem related to the receding of the sidewall spacer 9 and the problem related to the scraping of the silicide layer 12 that occur when the silicon nitride film 14a on the p-type MISFET is removed by isotropic dry etching can be solved, the production yield and A highly reliable semiconductor device can be provided.

なお、窒化シリコン膜の形成方法を変えて膜応力を変える方法としては、前記実施形態の高周波電力を変える方法の他に、下記の方法があげられる。
(1)原料ガスを変える方法として、窒化シリコン膜14aの形成にはSiHとNHとNを使用し、窒化シリコン膜14bの形成にはNHを除いてSiHとNを使用する、
(2)形成温度を変える方法として、窒化シリコン膜14bの形成時よりも、窒化シリコン膜14aの形成時の温度を高くする、
(3)圧力を変える方法として、窒化シリコン膜14bの形成時よりも、窒化シリコン膜14aの形成時の圧力を高くする、
などである。むろん、前記いずれの組み合わせを複合させてもよい。要はいかに窒化シリコン膜14aを引っ張り応力側に、窒化シリコン膜14bを圧縮応力側にするかが重要である。
As a method of changing the film stress by changing the method of forming the silicon nitride film, the following method can be cited in addition to the method of changing the high frequency power of the above embodiment.
(1) As a method of changing the source gas, SiH 4 , NH 3 and N 2 are used for forming the silicon nitride film 14 a, and SiH 4 and N 2 are used for forming the silicon nitride film 14 b except for NH 3. To
(2) As a method of changing the formation temperature, the temperature at the time of forming the silicon nitride film 14a is made higher than that at the time of forming the silicon nitride film 14b.
(3) As a method of changing the pressure, the pressure at the time of forming the silicon nitride film 14a is made higher than that at the time of forming the silicon nitride film 14b.
Etc. Of course, any combination of the above may be combined. In short, it is important how the silicon nitride film 14a is on the tensile stress side and the silicon nitride film 14b is on the compressive stress side.

また、枚葉熱CVD法を用いた窒化膜の形成方法としては、膜形成時の圧力を下げるほど、また温度を高くするほど膜応力を引っ張り側にでき、窒化シリコン膜14aに好適である。   Further, as a method for forming a nitride film using the single wafer thermal CVD method, the lower the pressure during film formation and the higher the temperature, the more the film stress can be on the tension side, which is suitable for the silicon nitride film 14a.

図24は、本発明の実施形態1の変形例である半導体装置の製造工程中における模式的断面図である。図24において、向かって左側がn型MISFETであり、右側がp型MISFETである。   FIG. 24 is a schematic cross-sectional view during the manufacturing process of the semiconductor device, which is a modification of Embodiment 1 of the present invention. In FIG. 24, the left side is an n-type MISFET and the right side is a p-type MISFET.

前述の実施形態1では、窒化シリコン膜14bよりも先に窒化シリコン膜14aを形成する例について説明したが、図24に示すように、窒化シリコン膜14aよりも先に窒化シリコン膜14bを形成しても良い。このような場合においても、n型MISFETのチャネル形成領域に引っ張り応力、p型MISFETのチャネル形成領域に圧縮応力を別々に与えることができるため、n型及びp型MISFETのドレイン電流を同時に増加することができる。   In the first embodiment, the example in which the silicon nitride film 14a is formed prior to the silicon nitride film 14b has been described. However, as shown in FIG. 24, the silicon nitride film 14b is formed prior to the silicon nitride film 14a. May be. Even in such a case, since the tensile stress can be separately applied to the channel formation region of the n-type MISFET and the compressive stress can be separately applied to the channel formation region of the p-type MISFET, the drain currents of the n-type and p-type MISFETs are increased simultaneously. be able to.

また、n型MISFET上の窒化シリコン膜14bを等方性プラズマエッチングで除去する際、ゲート電極6の表面のシリサイド層12、n型半導体領域10の表面のシリサイド層12、n型半導体領域10の表面におけるシリサイド層12のサイドウォール9側の端部、並びにサイドウォールスペーサ9を絶縁膜13で覆った状態で行うことにより、サイドウォールスペーサ9の後退に関する問題、シリサイド層12の削れに関する問題を生じることなく、n型MISFET上の窒化シリコン膜14bを等方性プラズマエッチングで除去することができる。   Further, when the silicon nitride film 14b on the n-type MISFET is removed by isotropic plasma etching, the silicide layer 12 on the surface of the gate electrode 6, the silicide layer 12 on the surface of the n-type semiconductor region 10, and the n-type semiconductor region 10 By performing the process in a state where the end of the silicide layer 12 on the side of the sidewall 9 and the sidewall spacer 9 are covered with the insulating film 13 on the surface, a problem relating to the receding of the sidewall spacer 9 and a problem relating to the abrasion of the silicide layer 12 occur. Without this, the silicon nitride film 14b on the n-type MISFET can be removed by isotropic plasma etching.

なお、実施形態1及びその変形例では、酸化シリコン膜からなる絶縁膜13を窒化シリコン膜14aの加工時のエッチングストッパとして用いた例について説明したが、これに限定されるものではなく、窒化シリコン膜14aの等方性ドライエッチングに対して選択比がとれるものであれば他の絶縁膜を用いてもよい。   In the first embodiment and its modification, the example in which the insulating film 13 made of a silicon oxide film is used as an etching stopper when the silicon nitride film 14a is processed has been described. However, the present invention is not limited to this. Other insulating films may be used as long as the selectivity can be obtained with respect to the isotropic dry etching of the film 14a.

(実施形態2)
図25は、本発明の実施形態2である半導体装置の概略構成を示す模式的断面図であり、
図26及び図27は、本発明の実施形態2である半導体装置の製造工程中における模式的断面図である。図25乃至図27において、向かって左側がn型MISFETであり、右側がp型MISFETである。
図25に示すように、本実施形態2の半導体装置は、前述の実施形態1においてエッチングストッパとして使用された絶縁膜13を除去した構成となっている。
(Embodiment 2)
FIG. 25 is a schematic cross-sectional view showing a schematic configuration of a semiconductor device according to Embodiment 2 of the present invention.
26 and 27 are schematic cross-sectional views during the manufacturing process of the semiconductor device according to the second embodiment of the present invention. 25 to 27, the left side is an n-type MISFET and the right side is a p-type MISFET.
As shown in FIG. 25, the semiconductor device according to the second embodiment has a configuration in which the insulating film 13 used as an etching stopper in the first embodiment is removed.

前述の実施形態1のように絶縁膜13を残した場合(図18参照)、ゲート電極6、サイドウォールスペーサ9及び絶縁膜13による段差部35aの最下部に窒化シリコン膜(14a,14b)の応力が集中するため、窒化シリコン膜(14a,14b)の応力の起点がサイドウォールスペーサ9の側壁に残存する絶縁膜13によってMISFETのチャネル形成領域から離れてしまい、窒化シリコン膜(14a,14b)の膜応力によってチャネル形成領域に応力を発生させる効果が減少してしまう。従って、絶縁膜13は出来るだけ除去することが望ましい。   When the insulating film 13 is left as in the first embodiment (see FIG. 18), a silicon nitride film (14a, 14b) is formed at the bottom of the step portion 35a formed by the gate electrode 6, the sidewall spacer 9, and the insulating film 13. Since the stress is concentrated, the starting point of the stress of the silicon nitride film (14a, 14b) is separated from the channel formation region of the MISFET by the insulating film 13 remaining on the side wall of the sidewall spacer 9, and the silicon nitride film (14a, 14b). The effect of generating stress in the channel formation region is reduced by the film stress. Therefore, it is desirable to remove the insulating film 13 as much as possible.

但し、実施形態1のように、窒化シリコン膜14bよりも先に窒化シリコン膜14aを形成する場合には、p型MISFET上の窒化シリコン膜14aを除去する工程において絶縁膜13が必要であり、実施形態1の変形例のように、窒化シリコン膜14aよりも先に窒化シリコン膜14bを形成する場合には、n型MISFET上の窒化シリコン膜14bを除去する工程において絶縁膜13が必要であるため、これらの工程を考慮して絶縁膜13を除去する。   However, when the silicon nitride film 14a is formed prior to the silicon nitride film 14b as in the first embodiment, the insulating film 13 is required in the step of removing the silicon nitride film 14a on the p-type MISFET. When the silicon nitride film 14b is formed prior to the silicon nitride film 14a as in the modification of the first embodiment, the insulating film 13 is necessary in the step of removing the silicon nitride film 14b on the n-type MISFET. Therefore, the insulating film 13 is removed in consideration of these processes.

窒化シリコン膜14bよりも先に窒化シリコン膜14aを形成する場合、n型MISFET上の絶縁膜13の除去は、図26に示すように、窒化シリコン膜14aを形成する工程の前に行い、p型MISFET上の絶縁膜13の除去は、図27に示すように、p型MISFET上の窒化シリコン膜14aを除去した後に行う。   When the silicon nitride film 14a is formed prior to the silicon nitride film 14b, the insulating film 13 on the n-type MISFET is removed before the step of forming the silicon nitride film 14a as shown in FIG. The insulating film 13 on the type MISFET is removed after the silicon nitride film 14a on the p-type MISFET is removed as shown in FIG.

窒化シリコン膜14aよりも先に窒化シリコン膜14bを形成する場合、p型MISFET上の絶縁膜13の除去は、窒化シリコン膜14bを形成する工程の前に行い、n型MISFET上の絶縁膜13の除去は、n型MISFET上の窒化シリコン膜14bを除去した後に行う。n型MISFET上の絶縁膜13の除去は、p型MISFET上を例えばフォトレジストマスク等で覆った状態で行い、p型MISFET上の絶縁膜13の除去は、n型MISFET上を例えばフォトレジストマスク等で覆った状態で行う。   When the silicon nitride film 14b is formed before the silicon nitride film 14a, the insulating film 13 on the p-type MISFET is removed before the step of forming the silicon nitride film 14b, and the insulating film 13 on the n-type MISFET is formed. Is removed after removing the silicon nitride film 14b on the n-type MISFET. The insulating film 13 on the n-type MISFET is removed while the p-type MISFET is covered with, for example, a photoresist mask, and the insulating film 13 on the p-type MISFET is removed on the n-type MISFET, for example, with a photoresist mask. Perform with the cover covered.

n型MISFET上、若しくはp型MISFET上の絶縁膜13の除去は、段差部にエッチング残りが発生しない等方性ドライエッチングで行うことが望ましい。酸化シリコン膜からなる絶縁膜13の等方性ドライエッチングとしては、一般的に、CFにHガスを混合したガス、或いはCFガスを用いた等方性プラズマエッチングが使用されている。この等方性プラズマエッチングでは、シリコンやシリサイド層に対して十分に選択比をとることができるため、p基板1、シリサイド層12、並びにサイドウォールスペーサ9等が大きく削られてしまう様なことはない。 The removal of the insulating film 13 on the n-type MISFET or the p-type MISFET is desirably performed by isotropic dry etching in which no etching residue is generated in the stepped portion. As isotropic dry etching of the insulating film 13 made of a silicon oxide film, generally, isotropic plasma etching using CF 4 gas mixed with H 2 gas or CF 3 gas is used. In this isotropic plasma etching, a sufficient selection ratio can be obtained with respect to the silicon or silicide layer, so that the p substrate 1, the silicide layer 12, the side wall spacers 9, etc. are greatly shaved. Absent.

なお、本実施形態2では、n型MISFET上及びp型MISFET上の両方の絶縁膜13を除去する例について説明したが、何れか一方の絶縁膜13を残すようにしても良い。   In the second embodiment, the example in which both the insulating films 13 on the n-type MISFET and the p-type MISFET are removed has been described, but either one of the insulating films 13 may be left.

(実施形態3)
図28は、本発明の実施形態3である半導体装置の製造工程中における模式的断面図である。図28において、向かって左側がn型MISFETであり、右側がp型MISFETである。
(Embodiment 3)
FIG. 28 is a schematic cross-sectional view during the manufacturing process of the semiconductor device according to Embodiment 3 of the present invention. In FIG. 28, the left side is an n-type MISFET and the right side is a p-type MISFET.

前述の実施形態1では、堆積法で形成された酸化シリコン膜からなる絶縁膜13を窒化シリコン膜14aの加工時のエッチングストッパとして用いた例について説明したが、本実施形態3では、熱酸化法で形成された酸化シリコン膜からなる絶縁膜21を窒化シリコン膜14aの加工時のエッチングストッパとして用いている。熱酸化法による絶縁膜21の形成は、サリサイド構造のn型及びp型MISFETを形成する工程の後であって、窒化シリコン膜14a及び14bを形成する工程の前に行う。   In the above-described first embodiment, the example in which the insulating film 13 made of a silicon oxide film formed by the deposition method is used as an etching stopper at the time of processing the silicon nitride film 14a has been described, but in the third embodiment, a thermal oxidation method is used. The insulating film 21 made of the silicon oxide film formed in step 1 is used as an etching stopper when the silicon nitride film 14a is processed. The insulating film 21 is formed by thermal oxidation after the step of forming the salicide structure n-type and p-type MISFET and before the step of forming the silicon nitride films 14a and 14b.

熱酸化法では、図28に示すように、ゲート電極6の表面のシリサイド層12上、及び半導体領域(10,11)の表面のシリサイド層12上にこれらのシリサイド層12を覆うようにして絶縁膜21を選択的に形成することができる。従って、前述の実施形態1のように、窒化シリコン膜14bよりも先に窒化シリコン膜14aを形成する場合や、前述の実施形態1の変形例のように、窒化シリコン膜14aよりも先に窒化シリコン膜14bを形成する場合においても、窒化シリコン膜(14a,14b)を等方性ドライエッチングで加工する時に生じる不具合を絶縁膜21で抑制することができる。   In the thermal oxidation method, as shown in FIG. 28, insulation is performed so as to cover the silicide layer 12 on the silicide layer 12 on the surface of the gate electrode 6 and on the silicide layer 12 on the surface of the semiconductor region (10, 11). The film 21 can be selectively formed. Accordingly, when the silicon nitride film 14a is formed prior to the silicon nitride film 14b as in the first embodiment described above, or when the silicon nitride film 14a is nitrided prior to the silicon nitride film 14a as in the modification of the first embodiment described above. Even when the silicon film 14b is formed, the insulating film 21 can suppress problems that occur when the silicon nitride films (14a, 14b) are processed by isotropic dry etching.

(実施形態4)
図29は、本発明の実施形態4である半導体装置の製造工程中における模式的断面図である。図29において、向かって左側がn型MISFETであり、右側がp型MISFETである。
(Embodiment 4)
FIG. 29 is a schematic cross-sectional view during the manufacturing process of the semiconductor device according to Embodiment 4 of the present invention. In FIG. 29, the left side is an n-type MISFET and the right side is a p-type MISFET.

前述の実施形態1では、堆積法で形成された酸化シリコン膜からなる絶縁膜13を窒化シリコン膜14aの加工時のエッチングストッパとして用いた例について説明したが、本実施形態4では、サイドウォールスペーサ9の側壁に形成された酸化シリコン膜からなるサイドウォールスペーサ22を窒化シリコン膜14aの加工時のエッチングストッパとして用いている。サイドウォールスペーサ22の形成は、サリサイド構造のn型及びp型MISFETを形成する工程の後であって、窒化シリコン膜14a及び14bを形成する工程の前に行う。サイドウォールスペーサ22は、サイドウォールスペーサ9と同様の方法で形成される。   In the first embodiment, the example in which the insulating film 13 made of a silicon oxide film formed by the deposition method is used as an etching stopper when the silicon nitride film 14a is processed has been described. In the fourth embodiment, the sidewall spacer is used. A side wall spacer 22 made of a silicon oxide film formed on the side wall 9 is used as an etching stopper when the silicon nitride film 14a is processed. The sidewall spacers 22 are formed after the step of forming the salicide n-type and p-type MISFETs and before the step of forming the silicon nitride films 14a and 14b. The sidewall spacer 22 is formed by the same method as the sidewall spacer 9.

このように、サイドウォールスペーサ9の側壁に酸化シリコン膜からなるサイドウォールスペーサ22を形成することにより、半導体領域(10,11)の表面におけるシリサイド層12のサイドウォールスペーサ9側の端部、並びにサイドウォールスペーサ9をサイドウォールスペーサ22で覆うことができるため、前述の実施形態1のように、窒化シリコン膜14bよりも先に窒化シリコン膜14aを形成する場合や、前述の実施形態1の変形例のように、窒化シリコン膜14aよりも先に窒化シリコン膜14bを形成する場合においても、窒化シリコン膜(14a,14b)を等方性ドライエッチングで加工する時に生じる不具合、特にサイドウォールスペーサ9の後退に関する不具合をサイドウォールスペーサ22で抑制することができる。   Thus, by forming the sidewall spacer 22 made of a silicon oxide film on the sidewall of the sidewall spacer 9, the end of the silicide layer 12 on the sidewall spacer 9 side on the surface of the semiconductor region (10, 11), and Since the side wall spacer 9 can be covered with the side wall spacer 22, when the silicon nitride film 14a is formed prior to the silicon nitride film 14b as in the above-described first embodiment, or a modification of the above-described first embodiment. Even in the case where the silicon nitride film 14b is formed before the silicon nitride film 14a as in the example, there is a problem that occurs when the silicon nitride films (14a, 14b) are processed by isotropic dry etching, particularly the sidewall spacer 9. To suppress the problem of retreat of the wall by the side wall spacer 22 Kill.

なお、本実施形態4では、酸化シリコン膜からなるサイドウォールスペーサ22を窒化シリコン膜(14a,14b)の加工時のエッチングストッパとして用いた例について説明したが、これに限定されるものではなく、窒化シリコン膜(14a,14b)の加工時の等方性ドライエッチングに対して選択比がとれるものであれば他の絶縁膜を用いてもよい。   In the fourth embodiment, the example in which the sidewall spacer 22 made of a silicon oxide film is used as an etching stopper when the silicon nitride film (14a, 14b) is processed has been described. However, the present invention is not limited to this. Other insulating films may be used as long as the selection ratio can be obtained with respect to isotropic dry etching when the silicon nitride films (14a, 14b) are processed.

(実施形態5)
図30は、本発明の実施形態5である半導体装置の概略構成を示す模式的断面図である。図30において、向かって左側がn型MISFETであり、右側がp型MISFETである。
(Embodiment 5)
FIG. 30 is a schematic cross-sectional view showing a schematic configuration of a semiconductor device according to Embodiment 5 of the present invention. In FIG. 30, the left side is an n-type MISFET and the right side is a p-type MISFET.

前述の実施形態1では、サリサイド構造の相補型MISFETを有する半導体装置に本発明を適用した例について説明したが、本実施形態5では、シリサイド層を持たない相補型MISFETを有する半導体装置に本発明を適用した例について説明する。   In the first embodiment described above, an example in which the present invention is applied to a semiconductor device having a complementary MISFET having a salicide structure has been described. However, in the fifth embodiment, the present invention is applied to a semiconductor device having a complementary MISFET having no silicide layer. An example to which is applied will be described.

図30に示すように、本実施形態5の半導体装置は、基本的に前述の実施形態1と同様の構成になっており、n型及びp型MISFETの構造が異なっている。即ち、本実施形態5のn型及びp型MISFETは、ゲート電極6の表面、並びに半導体領域(10,11)の表面にシリサイド層を持たない構造になっている。
本実施形態5の半導体装置は、シリサイド層を形成する工程を除いて前述の実施形態1で説明した方法で形成されている。
As shown in FIG. 30, the semiconductor device of Embodiment 5 has basically the same configuration as that of Embodiment 1 described above, and the structures of n-type and p-type MISFETs are different. That is, the n-type and p-type MISFET of Embodiment 5 has a structure in which no silicide layer is provided on the surface of the gate electrode 6 and the surface of the semiconductor region (10, 11).
The semiconductor device according to the fifth embodiment is formed by the method described in the first embodiment except for the step of forming a silicide layer.

p型MISFET上の窒化シリコン膜14aを等方性ドライエッチングで除去する際、実施形態1のようにp型MISFETがサリサイド構造の場合は、シリサイド層12がエッチングストッパの役目を果たすため、ゲート電極6においてはシリサイド層12下の多結晶シリコン膜、ソース領域及びドレイン領域においてはシリサイド層12下のp型半導体領域11が窒化シリコン膜14aの加工時のオーバーエッチングによって削られてしまうことはないが、本実施形態5のようにp型MISFETがゲート電極6の表面やp型半導体領域11の表面にシリサイド層12を持たない構造の場合は、図23に示すように、ゲート電極6の多結晶シリコン膜、ソース領域及びドレイン領域のp型半導体領域11が削られてしまう。   When the silicon nitride film 14a on the p-type MISFET is removed by isotropic dry etching, the silicide layer 12 serves as an etching stopper when the p-type MISFET has a salicide structure as in the first embodiment. In FIG. 6, the polycrystalline silicon film under the silicide layer 12 and the p-type semiconductor region 11 under the silicide layer 12 in the source region and the drain region are not etched by overetching during the processing of the silicon nitride film 14a. In the case where the p-type MISFET has no silicide layer 12 on the surface of the gate electrode 6 or the surface of the p-type semiconductor region 11 as in the fifth embodiment, the polycrystalline structure of the gate electrode 6 is formed as shown in FIG. The p-type semiconductor region 11 in the silicon film, the source region, and the drain region is cut away.

このような問題は、窒化シリコン膜14aを形成する工程の前に、ゲート電極6上、並びにp型半導体領域11上をエッチングストッパとして機能する絶縁膜13で覆っておくことにより解決することができる。   Such a problem can be solved by covering the gate electrode 6 and the p-type semiconductor region 11 with an insulating film 13 functioning as an etching stopper before the step of forming the silicon nitride film 14a. .

本実施形態5ではエッチングストッパとして絶縁膜13を用いている。この絶縁膜13は堆積法で形成されている。堆積法は、ゲート電極6上及びp型半導体領域11上を一括して絶縁膜13で覆うことができるため、ゲート電極6及びp型半導体領域11の削れを同時に抑制できる。   In the fifth embodiment, the insulating film 13 is used as an etching stopper. This insulating film 13 is formed by a deposition method. In the deposition method, the gate electrode 6 and the p-type semiconductor region 11 can be collectively covered with the insulating film 13, so that the gate electrode 6 and the p-type semiconductor region 11 can be prevented from being scraped simultaneously.

なお、本実施形態5では、窒化シリコン膜14bよりも先に窒化シリコン膜14aを形成する例について説明したが、窒化シリコン膜14aよりも先に窒化シリコン膜14bを形成する場合においても、同様の効果が得られる。   In the fifth embodiment, the example in which the silicon nitride film 14a is formed prior to the silicon nitride film 14b has been described. However, the same applies to the case where the silicon nitride film 14b is formed prior to the silicon nitride film 14a. An effect is obtained.

また、本実施形態5では、エッチングストッパとして絶縁膜13を用いた例について説明したが、熱酸化法で形成した絶縁膜21をエッチングストッパとして用いる場合においても、同様の効果が得られる。
また、本実施形態5では、エッチングストッパとして機能する絶縁膜13を残す例について説明したが、絶縁膜13は実施形態2のように除去しても良い。
In the fifth embodiment, the example in which the insulating film 13 is used as the etching stopper has been described. However, the same effect can be obtained when the insulating film 21 formed by the thermal oxidation method is used as the etching stopper.
In the fifth embodiment, the example in which the insulating film 13 that functions as an etching stopper is left is described. However, the insulating film 13 may be removed as in the second embodiment.

また、本実施形態5のシリサイド層を持たないMISFETは、例えば、前述した実施形態1〜4のシリサイド層を持つMISFETと同一基板上に形成され、ソース領域又はドレイン領域と基板との間の(接合)リーク電流を低減したいMISFET及び回路を構成する。即ち、接合リーク電流を低減する必要のあるMISFETを本実施形態5のシリサイド層を持たないMISFETで構成し、高速動作を必要とするMISFETを実施形態1〜4のシリサイド層を持つMISFETで形成する。これにより、低消費電力化及び高速動作が図れる。   Further, the MISFET having no silicide layer according to the fifth embodiment is formed on the same substrate as, for example, the MISFET having the silicide layer according to the first to fourth embodiments described above (between the source region or the drain region and the substrate). Junction) MISFET and circuit for which leakage current is to be reduced are configured. That is, the MISFET that needs to reduce the junction leakage current is configured by the MISFET having no silicide layer of the fifth embodiment, and the MISFET that requires high-speed operation is formed by the MISFET having the silicide layer of the first to fourth embodiments. . Thereby, low power consumption and high-speed operation can be achieved.

また、絶縁膜13は、シリサイド層を持たないMISFET及びシリサイド層を持つMISFET上に同一工程で堆積することができるので、製造工程を増やさずに低消費電力及び高速動作が可能な半導体装置を形成することができる。   Further, since the insulating film 13 can be deposited on the MISFET having no silicide layer and the MISFET having the silicide layer in the same process, a semiconductor device capable of low power consumption and high speed operation without increasing the number of manufacturing steps is formed. can do.

また、シリサイド層を持つMISFETとシリサイド層を持たないMISFETとを同一基板に形成する場合、シリサイド層を持つMISFETにおいては、図25に示すように、エッチングストッパとして機能する絶縁膜13を設けない構造とし、シリサイド層を持たないMISFETにおいては、図30に示すように、エッチングストッパとして機能する絶縁膜13を設けた構造としても良い。   Further, when the MISFET having a silicide layer and the MISFET having no silicide layer are formed on the same substrate, the MISFET having the silicide layer does not include the insulating film 13 functioning as an etching stopper as shown in FIG. In the MISFET having no silicide layer, an insulating film 13 functioning as an etching stopper may be provided as shown in FIG.

この場合、シリサイド層を持つMISFET上の絶縁膜13の除去は、シリサイド層を持つMISFETのチャネル形成領域に応力を発生させる第1の膜を先に形成するか、それともシリサイド層を持たないMISFETのチャネル形成領域に応力を発生させる第2の膜を先に形成するかで異なる。例えば、シリサイド層を持つMISFETがn型、シリサイド層を持たないMISFETがp型の場合、第1の膜(窒化シリコン膜14a)を先に形成する場合は、図26(図中右側のp型MISFETをシリサイド層を持たないp型MISFETに置き換えて参照)に示すように、窒化シリコン膜14aを形成する工程の前に、シリサイド層を持つMISFET上の絶縁膜13を選択的に除去し、第2の膜(窒化シリコン膜14b)を先に形成する場合は、シリサイド層を持つMISFET上の窒化シリコン膜14bを選択的に除去する工程の後であって、窒化シリコン膜14aを形成する工程の前に、シリサイド層を持つMISFET上の絶縁膜13を選択的に除去する。また、シリサイド層を持つMISFETがp型、シリサイド層を持たないMISFETがn型の場合も、同様にして、シリサイド層を持つMISFET上の絶縁膜13を選択的に除去する。   In this case, the insulating film 13 on the MISFET having the silicide layer is removed by first forming the first film for generating stress in the channel formation region of the MISFET having the silicide layer, or the MISFET having no silicide layer. It differs depending on whether the second film for generating stress in the channel formation region is formed first. For example, when the MISFET having a silicide layer is n-type and the MISFET having no silicide layer is p-type, when the first film (silicon nitride film 14a) is formed first, the p-type shown in FIG. As shown in (Replace MISFET with p-type MISFET having no silicide layer), the insulating film 13 on the MISFET having the silicide layer is selectively removed before the step of forming the silicon nitride film 14a. In the case of forming the second film (silicon nitride film 14b) first, after the step of selectively removing the silicon nitride film 14b on the MISFET having the silicide layer, the step of forming the silicon nitride film 14a. Before, the insulating film 13 on the MISFET having the silicide layer is selectively removed. Similarly, when the MISFET having the silicide layer is p-type and the MISFET having no silicide layer is n-type, the insulating film 13 on the MISFET having the silicide layer is selectively removed.

(実施形態6)
図31は、本発明の実施形態6である半導体装置の概略構成を示す模式的断面図であり、図32乃至図35は、本発明の実施形態6である半導体装置の製造工程中における模式的断面図である。図31乃至図35において、向かって左側がn型MISFETであり、右側がp型MISFETである。
(Embodiment 6)
FIG. 31 is a schematic cross-sectional view showing a schematic configuration of a semiconductor device according to the sixth embodiment of the present invention, and FIGS. 32 to 35 are schematic views during a manufacturing process of the semiconductor device according to the sixth embodiment of the present invention. It is sectional drawing. 31 to 35, the left side is an n-type MISFET and the right side is a p-type MISFET.

本実施形態6は、n型MISFETのチャネル形成領域に引っ張り応力を発生させる膜上に、p型MISFETのチャネル形成領域に圧縮応力を発生させる膜を重ねて、n型及びp型MISFETのドレイン電流の増加を狙ったものである。   In the sixth embodiment, a film that generates tensile stress is superimposed on a film that generates tensile stress in the channel formation region of the n-type MISFET, and a drain current of the n-type and p-type MISFET is overlapped with the film that generates compressive stress in the channel formation region of the p-type MISFET. It aims to increase.

図31に示すように、n型及びp型MISFETは、窒化シリコン膜14aで覆われている。また、p型MISFETは、窒化シリコン膜14bで覆われている。即ち、n型MISFET上には窒化シリコン膜14aのみが存在し、p型MISFET上には窒化シリコン膜14a及び14bが存在している。   As shown in FIG. 31, the n-type and p-type MISFETs are covered with a silicon nitride film 14a. The p-type MISFET is covered with a silicon nitride film 14b. That is, only the silicon nitride film 14a exists on the n-type MISFET, and the silicon nitride films 14a and 14b exist on the p-type MISFET.

n型MISFET上には窒化シリコン膜14aのみが存在しているため、n型MISFETのチャネル形成領域には窒化シリコン膜14aの引っ張り応力のみが加わるが、p型MISFET上には窒化シリコン膜14a及び14bが存在しているため、p型MISFETのチャネル形成領域には窒化シリコン膜14aの引っ張り応力及び窒化シリコン膜14bの圧縮応力が加わる。従って、少なくとも窒化シリコン膜14aの引っ張り応力よりも絶対値が大きい圧縮応力をもつ窒化シリコン膜14bを用いるこで、p型MISFETのチャネル形成領域に圧縮応力を発生させることができる。   Since only the silicon nitride film 14a exists on the n-type MISFET, only the tensile stress of the silicon nitride film 14a is applied to the channel formation region of the n-type MISFET, but the silicon nitride film 14a and the p-type MISFET Since 14b exists, the tensile stress of the silicon nitride film 14a and the compressive stress of the silicon nitride film 14b are applied to the channel formation region of the p-type MISFET. Therefore, the compressive stress can be generated in the channel formation region of the p-type MISFET by using the silicon nitride film 14b having a compressive stress whose absolute value is at least larger than the tensile stress of the silicon nitride film 14a.

なお、本実施形態6では、圧縮応力を持つ窒化シリコン膜14bが引っ張り応力を持つ窒化シリコン膜14aよりも上層に形成されているため、p型MISFETのチャネル形成領域に対する膜応力の起点は、窒化シリコン膜14aよりも窒化シリコン膜14bの方が遠くなっている。従って、このような場合には、窒化シリコン膜14aの引っ張り応力よりも絶対値が2倍以上の圧縮応力をもつ窒化シリコン膜14bを用いることが望ましい。   In the sixth embodiment, since the silicon nitride film 14b having compressive stress is formed in an upper layer than the silicon nitride film 14a having tensile stress, the origin of the film stress for the channel formation region of the p-type MISFET is nitridation. The silicon nitride film 14b is farther than the silicon film 14a. Therefore, in such a case, it is desirable to use the silicon nitride film 14b having a compressive stress whose absolute value is twice or more than the tensile stress of the silicon nitride film 14a.

次に、本実施形態6の半導体装置の製造について、図32乃至図35を用いて説明する。
図32に示すように、前述の実施形態1と同様のプロセスで、サリサイド構造のn型及びp型MISFETを形成する。
Next, the manufacture of the semiconductor device according to the sixth embodiment will be described with reference to FIGS.
As shown in FIG. 32, salicide-structured n-type and p-type MISFETs are formed by the same process as in the first embodiment.

次に、図33に示すように、n型及びp型MISFET上を含むp型基板1の回路形成面上の全面に、例えば100〜120nm程度の厚さの窒化シリコン膜14aをプラズマCVD法で形成する。窒化シリコン膜14aの形成は、例えば高周波電力350〜400Wの条件で行なう。   Next, as shown in FIG. 33, a silicon nitride film 14a having a thickness of, for example, about 100 to 120 nm is formed on the entire surface of the circuit formation surface of the p-type substrate 1 including the n-type and p-type MISFETs by plasma CVD. Form. The silicon nitride film 14a is formed under conditions of, for example, high frequency power 350 to 400W.

次に、図34に示すように、n型及びp型MISFET上を含むp型基板1上の全面に、例えば50nm程度の厚さの酸化シリコン膜からなる絶縁膜15をCVD法で形成し、その後、n型及びp型MISFET上を含むp型基板1上の全面に、例えば100〜200nm程度の厚さの窒化シリコン膜14bをプラズマCVD法で形成する。窒化シリコン膜14bの形成は、例えば高周波電力600〜700Wの条件で行なう。   Next, as shown in FIG. 34, an insulating film 15 made of a silicon oxide film having a thickness of, for example, about 50 nm is formed on the entire surface of the p-type substrate 1 including the n-type and p-type MISFETs by the CVD method. Thereafter, a silicon nitride film 14b having a thickness of, for example, about 100 to 200 nm is formed on the entire surface of the p-type substrate 1 including the n-type and p-type MISFETs by a plasma CVD method. The formation of the silicon nitride film 14b is performed, for example, under conditions of high frequency power 600 to 700W.

この工程において、最終的にp型MISFETのチャネル形成領域に圧縮応力が発生するように、少なくとも窒化シリコン膜14aの引っ張り応力よりも絶対値が大きい圧縮応力を持つ窒化シリコン膜14bを形成する。本実施形態では、窒化シリコン膜14aの引っ張り応力よりも絶対値が2倍以上の圧縮応力をもつように窒化シリコン膜14bを形成した。   In this step, the silicon nitride film 14b having a compressive stress whose absolute value is at least larger than the tensile stress of the silicon nitride film 14a is formed so that the compressive stress is finally generated in the channel formation region of the p-type MISFET. In this embodiment, the silicon nitride film 14b is formed so as to have a compressive stress whose absolute value is twice or more than the tensile stress of the silicon nitride film 14a.

次に、窒化シリコン膜14b上に、p型MISFET上を選択的に覆うフォトレジストマスクRM3を形成し、その後、フォトレジストマスクRM3をエッチングマスクにしてエッチング処理を施して、図35に示すように、n型MISFET上の窒化シリコン膜14bを除去する。窒化シリコン膜14bの加工は等方性ドライエッチングで行う。
この後、フォトレジストマスクRM3を除去することにより、図31に示す状態となる。
Next, a photoresist mask RM3 that selectively covers the p-type MISFET is formed on the silicon nitride film 14b, and then an etching process is performed using the photoresist mask RM3 as an etching mask, as shown in FIG. The silicon nitride film 14b on the n-type MISFET is removed. The silicon nitride film 14b is processed by isotropic dry etching.
Thereafter, by removing the photoresist mask RM3, the state shown in FIG. 31 is obtained.

このように、n型及びp型MISFET上に窒化シリコン膜14aを形成し、その後、p型MISFET上に、窒化シリコン膜14aの引っ張り応力よりも絶対値が大きい圧縮応力を持つ窒化シリコン膜14bを選択的に形成することにより、p型MISFETのチャネル形成領域に圧縮応力を発生させることができるため、本実施形態においても、n型MISFET及びp型MISFETのドレイン電流を同時に増加することができる。   Thus, the silicon nitride film 14a is formed on the n-type and p-type MISFET, and then the silicon nitride film 14b having a compressive stress whose absolute value is larger than the tensile stress of the silicon nitride film 14a is formed on the p-type MISFET. By selectively forming it, compressive stress can be generated in the channel formation region of the p-type MISFET, so that also in this embodiment, the drain currents of the n-type MISFET and the p-type MISFET can be increased simultaneously.

また、本実施形態6では、p型MISFET上の窒化シリコン膜14aの除去を行っていないため、前述の実施形態1のようにエッチングストッパとして機能する絶縁膜13を形成する必要がない。従って、前述の実施形態1と比較して製造工程数を簡略化できる。   In the sixth embodiment, since the silicon nitride film 14a on the p-type MISFET is not removed, it is not necessary to form the insulating film 13 functioning as an etching stopper as in the first embodiment. Therefore, the number of manufacturing steps can be simplified as compared with the first embodiment.

なお、本実施形態6では、n型及びp型MISFET上を覆う窒化シリコン膜14aの後に、p型MISFET上のみを覆う窒化シリコン膜14bを形成した例について説明したが、p型MISFET上のみを覆う窒化シリコン膜14bは、n型及びp型MISFET上を覆う窒化シリコン膜14aの前に形成しても良い。但し、この場合は、前述の実施形態1のように、窒化シリコン膜14bの加工時にエッチングストッパとして機能する絶縁膜が必要となる。   In the sixth embodiment, the example in which the silicon nitride film 14b covering only the p-type MISFET is formed after the silicon nitride film 14a covering the n-type and p-type MISFET is described. However, only the p-type MISFET is formed. The covering silicon nitride film 14b may be formed before the silicon nitride film 14a covering the n-type and p-type MISFETs. However, in this case, as in Embodiment 1 described above, an insulating film that functions as an etching stopper is required when the silicon nitride film 14b is processed.

図36は、本発明の実施形態6の変形例である半導体装置の概略構成を示す模式的断面図である。図36において、向かって左側がn型MISFETであり、右側がp型MISFETである。   FIG. 36 is a schematic cross-sectional view showing a schematic configuration of a semiconductor device which is a modification of the sixth embodiment of the present invention. In FIG. 36, the left side is an n-type MISFET and the right side is a p-type MISFET.

前述の実施形態6では、n型及びp型MISFET上に引っ張り応力を持つ窒化シリコン膜14aを形成し、更に、p型MISFET上に、窒化シリコン膜14aの引っ張り応力よりも絶対値が大きい圧縮応力を持つ窒化シリコン膜14bを選択的に形成して、n型及びp型MISFETのドレイン電流を同時に増加させた例について説明したが、図36に示すように、n型及びp型MISFET上に圧縮応力を持つ窒化シリコン膜14bを形成し、更に、n型MISFET上に、窒化シリコン膜14bの圧縮応力よりも絶対値が大きい引っ張り応力を持つ窒化シリコン膜14aを選択的に形成しても良い。このような場合においても、n型MISFET及びp型MISFETのドレイン電流を同時に増加することができる。   In the above-described sixth embodiment, the silicon nitride film 14a having a tensile stress is formed on the n-type and p-type MISFET, and the compressive stress having an absolute value larger than the tensile stress of the silicon nitride film 14a is further formed on the p-type MISFET. In the example described above, the drain current of the n-type and p-type MISFETs is increased at the same time by selectively forming the silicon nitride film 14b having the thickness, but as shown in FIG. 36, compression is performed on the n-type and p-type MISFETs. A silicon nitride film 14b having stress may be formed, and a silicon nitride film 14a having a tensile stress whose absolute value is larger than the compressive stress of the silicon nitride film 14b may be selectively formed on the n-type MISFET. Even in such a case, the drain currents of the n-type MISFET and the p-type MISFET can be increased simultaneously.

なお、図36では、n型及びp型MISFET上を覆う窒化シリコン膜14bの後に、n型MISFET上のみを覆う窒化シリコン膜14aを形成した例について図示しているが、n型MISFET上のみを覆う窒化シリコン膜14aは、n型及びp型MISFET上を覆う窒化シリコン膜14bの前に形成しても良い。但し、この場合は、前述の実施形態1のように、窒化シリコン膜14bの加工時にエッチングストッパとして機能する絶縁膜が必要となる。   FIG. 36 shows an example in which a silicon nitride film 14a covering only the n-type MISFET is formed after the silicon nitride film 14b covering the n-type and p-type MISFET, but only the n-type MISFET is illustrated. The covering silicon nitride film 14a may be formed before the silicon nitride film 14b covering the n-type and p-type MISFETs. However, in this case, as in Embodiment 1 described above, an insulating film that functions as an etching stopper is required when the silicon nitride film 14b is processed.

(実施形態7)
図37は、本発明の実施形態7である半導体装置の概略構成を示す模式的断面図であり、図38及び図39は、本発明の実施形態7である半導体装置の製造工程中における模式的断面図である。図37乃至図39において、向かって左側がn型MISFETであり、右側がp型MISFETである。
本実施形態7は、1つの窒化シリコン膜で、n型及びp型MISFETのドレイン電流の増加を狙ったものである。
(Embodiment 7)
FIG. 37 is a schematic cross-sectional view showing a schematic configuration of the semiconductor device according to the seventh embodiment of the present invention. FIGS. 38 and 39 are schematic views during the manufacturing process of the semiconductor device according to the seventh embodiment of the present invention. It is sectional drawing. 37 to 39, the left side is an n-type MISFET and the right side is a p-type MISFET.
The seventh embodiment is intended to increase the drain current of the n-type and p-type MISFET with one silicon nitride film.

図37に示すように、n型及びp型MISFETは、1つの窒化シリコン膜24で覆われている。窒化シリコン膜24は、n型MISFETのチャネル形成領域に引っ張り応力を発生させる第1の部分24aと、p型MISFETのチャネル形成領域に圧縮応力を発生させる第2の部分24bとを有し、第1の部分24aはn型MISFET上にそのゲート電極6を覆うようにして形成され、第2の部分24bはp型MISFET上にそのゲート電極6を覆うようにして形成されている。第2の部分24bは、Si及びNの元素濃度が第1の部分24aよりも高くなっている。以下、本実施形態7の半導体装置の製造について、図38及び図37を用いて説明する。   As shown in FIG. 37, the n-type and p-type MISFETs are covered with one silicon nitride film 24. The silicon nitride film 24 includes a first portion 24a that generates a tensile stress in the channel formation region of the n-type MISFET, and a second portion 24b that generates a compressive stress in the channel formation region of the p-type MISFET. The first portion 24a is formed on the n-type MISFET so as to cover the gate electrode 6, and the second portion 24b is formed on the p-type MISFET so as to cover the gate electrode 6. In the second portion 24b, the element concentrations of Si and N are higher than those in the first portion 24a. Hereinafter, the manufacture of the semiconductor device according to the seventh embodiment will be described with reference to FIGS.

前述の実施形態1と同様のプロセスで、サリサイド構造のn型及びp型MISFETを形成した後、図38に示すように、n型及びp型MISFET上を含むp型基板1の回路形成面上の全面に、n型MISFETのチャネル形成領域に引っ張り応力を発生させる窒化シリコン膜24をプラズマCVD法で形成する。窒化シリコン膜24の形成は、例えば高周波電力350〜400Wの条件で行なう。   After the salicide structure n-type and p-type MISFETs are formed by the same process as in the first embodiment, as shown in FIG. 38, on the circuit formation surface of the p-type substrate 1 including the n-type and p-type MISFETs. A silicon nitride film 24 that generates tensile stress in the channel formation region of the n-type MISFET is formed on the entire surface of the substrate by plasma CVD. The silicon nitride film 24 is formed under conditions of, for example, high frequency power 350 to 400 W.

次に、n型MISFET上を覆い、かつp型MISFET上に開口を有するフォトレジストマスクRM4を窒化シリコン膜24上に形成し、その後、図39に示すように、フォトレジストマスクRM4をマスクにして、フォトレジストマスクRM4から露出する窒化シリコン膜24中(p型MISFET上の窒化シリコン膜24中)に、Si及びNの元素をイオン打ち込み法で導入する。イオン打ち込みは、膜の深さ方向全般にわたってこれらの元素が導入されるように、深さ方向における元素濃度のピーク値(Rp)が膜厚の1/2程度となる加速エネルギー、ドース量が1×1015/cm以上の条件で行う。
この工程において、第1の部分24aと、この第1の部分24aよりも元素濃度が高い第2の部分24bとを有する窒化シリコン膜24が形成される。
Next, a photoresist mask RM4 that covers the n-type MISFET and has an opening on the p-type MISFET is formed on the silicon nitride film 24, and then, as shown in FIG. 39, the photoresist mask RM4 is used as a mask. Then, Si and N elements are introduced into the silicon nitride film 24 exposed from the photoresist mask RM4 (in the silicon nitride film 24 on the p-type MISFET) by ion implantation. In the ion implantation, the acceleration energy at which the peak value (Rp) of the element concentration in the depth direction is about ½ of the film thickness and the dose amount are 1 so that these elements are introduced throughout the depth direction of the film. It is carried out under conditions of × 10 15 / cm 2 or more.
In this step, a silicon nitride film 24 having a first portion 24a and a second portion 24b having an element concentration higher than that of the first portion 24a is formed.

次に、フォトレジストマスクRM4を除去した後、熱処理を施して窒化シリコン膜24の第2の部分24bを活性化する。
この工程において、窒化シリコン膜24の第2の部分24bが体積膨張し、第2の部分24bがp型MISFETのチャネル形成領域に圧縮応力を発生させる膜に変換する。従って、図37に示すように、窒化シリコン膜24は、n型MISFETのチャネル形成領域に引っ張り応力を発生させる第1の部分24aと、p型MISFETのチャネル形成領域に圧縮応力を発生させる第2の部分24bとを有する構成となる。
Next, after removing the photoresist mask RM4, heat treatment is performed to activate the second portion 24b of the silicon nitride film 24.
In this step, the second portion 24b of the silicon nitride film 24 undergoes volume expansion, and the second portion 24b is converted into a film that generates compressive stress in the channel formation region of the p-type MISFET. Therefore, as shown in FIG. 37, the silicon nitride film 24 includes a first portion 24a that generates tensile stress in the channel formation region of the n-type MISFET and a second portion that generates compressive stress in the channel formation region of the p-type MISFET. And a portion 24b.

このようにして窒化シリコン膜24を形成することにより、本実施形態7においても、n型MISFET及びp型MISFETのドレイン電流を同時に増加することができる。   By forming the silicon nitride film 24 in this way, also in the seventh embodiment, the drain currents of the n-type MISFET and the p-type MISFET can be increased simultaneously.

また、本実施形態7では、p型MISFET上の窒化シリコン膜24の除去を行っていないため、前述の実施形態1のようにエッチングストッパとして機能する絶縁膜13を形成する必要がない。従って、前述の実施形態1と比較して製造工程数を簡略化できる。   In the seventh embodiment, since the silicon nitride film 24 on the p-type MISFET is not removed, it is not necessary to form the insulating film 13 functioning as an etching stopper as in the first embodiment. Therefore, the number of manufacturing steps can be simplified as compared with the first embodiment.

また、本実施形態7では、n型及びp型MISFETのドレイン電流が増加するように1つの窒化シリコン膜24で制御できるため、前述の実施形態1と比較して、窒化シリコン膜の被膜工程が1回で済む。従って、窒化シリコン膜の被膜工程とその加工工程を省略でき、製造工程を簡略化できる。   In the seventh embodiment, since the drain current of the n-type and p-type MISFETs can be controlled by one silicon nitride film 24, the silicon nitride film coating process is different from that in the first embodiment. One time is enough. Accordingly, the silicon nitride film coating process and the processing process thereof can be omitted, and the manufacturing process can be simplified.

図40は、本発明の実施形態7の変形例である半導体装置の製造工程中における模式的断面図である。
前述の実施形態7では、Si及びNの元素を導入する方法として、p型基板1に対して垂直に元素をイオン注入する方法を適用した場合を示したが、図40に示すように、p型基板1に対して斜めに元素をイオン注入する方法を適用しても良い。この場合、サイドウォールスペーサ9の側壁を覆っている窒化シリコン膜24のゲート側壁部分(段差部分)にも元素を導入することができる。この結果、より一層の圧縮応力発生効果を得ることができる。
FIG. 40 is a schematic cross-sectional view during a manufacturing process of a semiconductor device which is a modification of Embodiment 7 of the present invention.
In the above-described seventh embodiment, as a method of introducing Si and N elements, a method of applying ion implantation of elements perpendicularly to the p-type substrate 1 is shown. However, as shown in FIG. A method of ion-implanting elements obliquely with respect to the mold substrate 1 may be applied. In this case, the element can also be introduced into the gate sidewall portion (step portion) of the silicon nitride film 24 covering the sidewall spacer 9. As a result, a further compressive stress generation effect can be obtained.

(実施形態8)
図41は、本発明の実施形態8である半導体装置の概略構成を示す模式的断面図である。
本実施形態8は、縦型ダブルゲート構造の相補型MISFETを有する半導体装置に本発明を適用した例である。
(Embodiment 8)
FIG. 41 is a schematic cross-sectional view showing a schematic configuration of a semiconductor device according to Embodiment 8 of the present invention.
The eighth embodiment is an example in which the present invention is applied to a semiconductor device having a complementary MISFET having a vertical double gate structure.

図41に示すように、本実施形態8の半導体装置は、SOI(Silicon On Insulator)構造の半導体基板(以下、単に基板と呼ぶ)40を主体に構成されている。基板40は、例えば、半導体層40aと、この半導体層40a上に設けられた絶縁層40bと、この絶縁層40b上に設けられた半導体層40cとを有する構成になっている。半導体層40a及び40cは例えば単結晶シリコンからなり、絶縁層40bは例えば酸化シリコンからなる。   As shown in FIG. 41, the semiconductor device of the eighth embodiment is mainly composed of a semiconductor substrate (hereinafter simply referred to as a substrate) 40 having an SOI (Silicon On Insulator) structure. For example, the substrate 40 includes a semiconductor layer 40a, an insulating layer 40b provided on the semiconductor layer 40a, and a semiconductor layer 40c provided on the insulating layer 40b. The semiconductor layers 40a and 40c are made of, for example, single crystal silicon, and the insulating layer 40b is made of, for example, silicon oxide.

半導体層40cは、複数の素子形成部に分割され、各素子形成部にn型MISFET、若しくはp型MISFETが形成されている。n型MISFETが形成される半導体層40cにはp型ウエル領域2が設けられ、p型MISFETが形成される半導体層40cにはn型ウエル領域3が設けられている。各半導体層40cは、絶縁層40b上に設けられた絶縁膜41で周囲を囲まれ、互いに絶縁分離されている。   The semiconductor layer 40c is divided into a plurality of element formation portions, and an n-type MISFET or a p-type MISFET is formed in each element formation portion. A p-type well region 2 is provided in the semiconductor layer 40c where the n-type MISFET is formed, and an n-type well region 3 is provided in the semiconductor layer 40c where the p-type MISFET is formed. Each semiconductor layer 40c is surrounded by an insulating film 41 provided on the insulating layer 40b, and is insulated from each other.

本実施形態8のn型及びp型MISFETは、チャネル形成領域として使用される半導体層40cを基板40の平面方向(表面方向)から2つのゲート電極6で挟み込んだダブルゲート構造になっている。また、n型及びp型MISFETは、ドレイン電流が基板40の厚さ方向に流れる縦型構造になっている。   The n-type and p-type MISFET of Embodiment 8 has a double gate structure in which a semiconductor layer 40c used as a channel formation region is sandwiched between two gate electrodes 6 from the plane direction (surface direction) of the substrate 40. The n-type and p-type MISFETs have a vertical structure in which drain current flows in the thickness direction of the substrate 40.

n型MISFETのチャネル形成領域に引っ張り応力を発生させる窒化シリコン膜14aは、n型MISFET上にその2つのゲート電極6を覆うようにして形成され、p型MISFETのチャネル形成領域に圧縮応力を発生させる窒化シリコン膜14bは、p型MISFET上にその2つのゲート電極6を覆うようにして形成されている。   The silicon nitride film 14a that generates tensile stress in the channel formation region of the n-type MISFET is formed on the n-type MISFET so as to cover the two gate electrodes 6, and generates compressive stress in the channel formation region of the p-type MISFET. The silicon nitride film 14b to be formed is formed on the p-type MISFET so as to cover the two gate electrodes 6.

本実施形態8において、n型及びp型MISFETは、チャネル形成領域として使用される半導体層40cを基板40の平面方向から2つのゲート電極6で挟み込んだダブルゲート構造になっているため、窒化シリコン膜による応力の影響が倍増し、ドレイン電流増加割合もシングルゲート構造の従来型より増加する。   In the eighth embodiment, the n-type and p-type MISFET have a double gate structure in which the semiconductor layer 40c used as the channel formation region is sandwiched between the two gate electrodes 6 from the plane direction of the substrate 40. The influence of the stress due to the film is doubled, and the drain current increase rate is also increased compared with the conventional type of the single gate structure.

(実施形態9)
図42は、本発明の実施形態9である半導体装置の概略構成を示す模式的平面図であり、図43は、図42のA−A線に沿う模式的断面図である。
本実施形態9は、横型ダブルゲート構造の相補型MISFETを有する半導体装置に本発明を適用した例である。
(Embodiment 9)
42 is a schematic plan view showing a schematic configuration of the semiconductor device according to the ninth embodiment of the present invention, and FIG. 43 is a schematic cross-sectional view taken along the line AA of FIG.
The ninth embodiment is an example in which the present invention is applied to a semiconductor device having a complementary MISFET having a lateral double gate structure.

図42及び図43に示すように、本実施形態9のn型及びp型MISFETは、チャネル形成領域として使用される半導体層40cを基板40の平面方向から2つのゲート電極6で挟み込んだダブルゲート構造になっている。また、n型及びp型MISFETは、ドレイン電流が半導体基板40の平面方向に流れる横型構造になっている。   As shown in FIGS. 42 and 43, the n-type and p-type MISFET of Embodiment 9 is a double gate in which a semiconductor layer 40c used as a channel formation region is sandwiched between two gate electrodes 6 from the plane direction of a substrate 40. It has a structure. The n-type and p-type MISFETs have a lateral structure in which drain current flows in the plane direction of the semiconductor substrate 40.

n型MISFETのチャネル形成領域に引っ張り応力を発生させる窒化シリコン膜14aは、n型MISFET上にその2つのゲート電極6を覆うようにして形成され、p型MISFETのチャネル形成領域に圧縮応力を発生させる窒化シリコン膜14bは、p型MISFET上にその2つのゲート電極6を覆うようにして形成されている。   The silicon nitride film 14a that generates tensile stress in the channel formation region of the n-type MISFET is formed on the n-type MISFET so as to cover the two gate electrodes 6, and generates compressive stress in the channel formation region of the p-type MISFET. The silicon nitride film 14b to be formed is formed on the p-type MISFET so as to cover the two gate electrodes 6.

本実施形態9において、n型及びp型MISFETは、チャネル形成領域として使用される半導体層40cを基板40の平面方向から2つのゲート電極6で挟み込んだダブルゲート構造になっているため、窒化シリコン膜による応力の影響が倍増し、ドレイン電流増加割合もシングルゲート構造の従来型より増加する。   In the ninth embodiment, the n-type and p-type MISFETs have a double gate structure in which the semiconductor layer 40c used as the channel formation region is sandwiched between the two gate electrodes 6 from the plane direction of the substrate 40. The influence of the stress due to the film is doubled, and the drain current increase rate is also increased compared with the conventional type of the single gate structure.

(実施形態10)
図44は、本発明の実施形態10である半導体装置の概略構成を示す模式的断面図である。
本実施形態10は、横型ダブルゲート構造の相補型MISFETを有する半導体装置に本発明を適用した例である。
(Embodiment 10)
FIG. 44 is a schematic sectional view showing a schematic configuration of the semiconductor device according to the tenth embodiment of the present invention.
The tenth embodiment is an example in which the present invention is applied to a semiconductor device having a complementary MISFET having a horizontal double gate structure.

図44に示すように、本実施形態10の半導体装置は、例えばp型基板1主体に構成されている。p型基板1の主面上には半導体層42が設けられている。半導体層42は、複数の素子形成部に分割され、各素子形成部にn型MISFET、若しくはp型MISFETが形成されている。n型MISFETが形成される半導体層42にはp型ウエル領域2が設けられ、p型MISFETが形成される半導体層42にはn型ウエル領域3が設けられている。各半導体層42は、p型基板1上に設けられた絶縁膜41で周囲を囲まれ、互いに絶縁分離されている。   As shown in FIG. 44, the semiconductor device according to the tenth embodiment is mainly composed of a p-type substrate 1, for example. A semiconductor layer 42 is provided on the main surface of the p-type substrate 1. The semiconductor layer 42 is divided into a plurality of element formation portions, and an n-type MISFET or a p-type MISFET is formed in each element formation portion. A p-type well region 2 is provided in the semiconductor layer 42 where the n-type MISFET is formed, and an n-type well region 3 is provided in the semiconductor layer 42 where the p-type MISFET is formed. Each semiconductor layer 42 is surrounded by an insulating film 41 provided on the p-type substrate 1 and is insulated from each other.

本実施形態10のn型及びp型MISFETは、チャネル形成領域として使用される半導体層42をp型基板1の厚さ方向に2つのゲート電極6で挟み込んだダブルゲート構造になっている。また、n型及びp型MISFETは、ドレイン電流が基板40の平面方向に流れる横型構造になっている。   The n-type and p-type MISFET of the tenth embodiment has a double gate structure in which a semiconductor layer 42 used as a channel formation region is sandwiched between two gate electrodes 6 in the thickness direction of the p-type substrate 1. Further, the n-type and p-type MISFETs have a lateral structure in which drain current flows in the plane direction of the substrate 40.

n型MISFETは、そのチャネル形成領域に引っ張り応力を発生させる2つの窒化シリコン膜14aでp型基板1の厚さ方向から挟み込まれている。一方の窒化シリコン膜14aは、p型基板1とn型MISFETとの間に設けられ、他方の窒化シリコン膜14aは、n型MISFET上を覆うようにして設けられている。   The n-type MISFET is sandwiched in the thickness direction of the p-type substrate 1 by two silicon nitride films 14a that generate tensile stress in the channel formation region. One silicon nitride film 14a is provided between the p-type substrate 1 and the n-type MISFET, and the other silicon nitride film 14a is provided so as to cover the n-type MISFET.

p型MISFETは、そのチャネル形成領域に圧縮応力を発生させる2つの窒化シリコン膜14bでp型基板1の厚さ方向から挟み込まれている。一方の窒化シリコン膜14bは、p型基板1とp型MISFETとの間に設けられ、他方の窒化シリコン膜14bは、p型MISFET上を覆うようにして設けられている。   The p-type MISFET is sandwiched from the thickness direction of the p-type substrate 1 by two silicon nitride films 14b that generate compressive stress in the channel formation region. One silicon nitride film 14b is provided between the p-type substrate 1 and the p-type MISFET, and the other silicon nitride film 14b is provided so as to cover the p-type MISFET.

本実施形態10において、n型及びp型MISFETは、チャネル形成領域として使用される半導体層40cを基板40の深さ方向から2つのゲート電極6で挟み込んだダブルゲート構造になっており、しかも2つの窒化シリコン膜で覆われているため、窒化シリコン膜による応力の影響が倍増し、ドレイン電流増加割合もシングルゲート構造の従来型より増加する。   In the tenth embodiment, the n-type and p-type MISFET have a double gate structure in which a semiconductor layer 40c used as a channel formation region is sandwiched between two gate electrodes 6 from the depth direction of the substrate 40. Since it is covered with two silicon nitride films, the influence of the stress due to the silicon nitride film is doubled, and the drain current increase rate is also increased compared to the conventional type of the single gate structure.

以上、本発明者によってなされた発明を、前記実施の形態に基づき具体的に説明したが、本発明は、前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは勿論である。   Although the invention made by the present inventor has been specifically described based on the above-described embodiment, the present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the scope of the invention. Of course.

例えば、SRAM(Static Random Access Memory)、DRAM(Dynamic Random Access Memory)、フラッシュメモリ等のメモリシステムを含む製品において、少なくともそのメモリシステムの周辺回路やロジック回路に本発明の構造を適用すると、より高性能のメモリ製品を得ることができる。   For example, in a product including a memory system such as a static random access memory (SRAM), a dynamic random access memory (DRAM), or a flash memory, if the structure of the present invention is applied to at least a peripheral circuit or a logic circuit of the memory system, the structure becomes higher. A performance memory product can be obtained.

本発明の実施形態1である半導体装置の概略構成を示す模式的断面図である。It is typical sectional drawing which shows schematic structure of the semiconductor device which is Embodiment 1 of this invention. ドレイン電流変動率の膜応力依存性を示す特性図である。It is a characteristic view which shows the film stress dependence of the drain current fluctuation rate. 電流方向と膜応力方向の関係を示す模式的断面図である。It is typical sectional drawing which shows the relationship between an electric current direction and a film | membrane stress direction. 電流方向と膜応力方向の関係を示す模式的平面図である。It is a typical top view which shows the relationship between an electric current direction and a film | membrane stress direction. 本発明の実施形態1である半導体装置の製造工程中における模式的断面図である。It is typical sectional drawing in the manufacturing process of the semiconductor device which is Embodiment 1 of this invention. 図5に続く半導体装置の製造工程中における模式的断面図である。FIG. 6 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 5; 図6に続く半導体装置の製造工程中における模式的断面図である。FIG. 7 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 6; 図7に続く半導体装置の製造工程中における模式的断面図である。FIG. 8 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 7; 図8に続く半導体装置の製造工程中における模式的断面図である。FIG. 9 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 8; 図9に続く半導体装置の製造工程中における模式的断面図である。FIG. 10 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 9; 図10に続く半導体装置の製造工程中における模式的断面図である。FIG. 11 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 10; 図11に続く半導体装置の製造工程中における模式的断面図である。FIG. 12 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 11; 図12に続く半導体装置の製造工程中における模式的断面図である。FIG. 13 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 12; 図13に続く半導体装置の製造工程中における模式的断面図である。FIG. 14 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 13; 図14に続く半導体装置の製造工程中における模式的断面図である。FIG. 15 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 14; 図15に続く半導体装置の製造工程中における模式的断面図である。FIG. 16 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 15; 図16に続く半導体装置の製造工程中における模式的断面図である。FIG. 17 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 16; 図17に続く半導体装置の製造工程中における模式的断面図である。FIG. 18 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 17; 図18に続く半導体装置の製造工程中における模式的断面図である。FIG. 19 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 18; 本発明を成す過程で本発明者によって見出された問題点を説明するための模式的断面図である。It is typical sectional drawing for demonstrating the problem discovered by this inventor in the process which comprises this invention. 本発明を成す過程の中で本発明者によって見出された問題点を説明するための模式的断面図である。It is typical sectional drawing for demonstrating the problem discovered by this inventor in the process which comprises this invention. 本発明を成す過程の中で本発明者によって見出された問題点を説明するための模式的断面図である。It is typical sectional drawing for demonstrating the problem discovered by this inventor in the process which comprises this invention. 本発明を成す過程の中で本発明者によって見出された問題点を説明するための模式的断面図である。It is typical sectional drawing for demonstrating the problem discovered by this inventor in the process which comprises this invention. 本発明の実施形態1の変形例を示す模式的断面図である。It is typical sectional drawing which shows the modification of Embodiment 1 of this invention. 本発明の実施形態2である半導体装置の概略構成を示す模式的断面図である。It is typical sectional drawing which shows schematic structure of the semiconductor device which is Embodiment 2 of this invention. 本発明の実施形態2である半導体装置の製造工程中における模式的断面図である。It is typical sectional drawing in the manufacturing process of the semiconductor device which is Embodiment 2 of this invention. 本発明の実施形態2である半導体装置の製造工程中における模式的断面図である。It is typical sectional drawing in the manufacturing process of the semiconductor device which is Embodiment 2 of this invention. 本発明の実施形態3である半導体装置の製造工程中における模式的断面図である。It is typical sectional drawing in the manufacturing process of the semiconductor device which is Embodiment 3 of this invention. 本発明の実施形態4である半導体装置の製造工程中における模式的断面図である。It is typical sectional drawing in the manufacturing process of the semiconductor device which is Embodiment 4 of this invention. 本発明の実施形態5である半導体装置の概略構成を示す模式的断面図である。It is typical sectional drawing which shows schematic structure of the semiconductor device which is Embodiment 5 of this invention. 本発明の実施形態6である半導体装置の概略構成を示す模式的断面図である。It is typical sectional drawing which shows schematic structure of the semiconductor device which is Embodiment 6 of this invention. 本発明の実施形態6である半導体装置の製造工程中における模式的断面図である。It is typical sectional drawing in the manufacturing process of the semiconductor device which is Embodiment 6 of this invention. 図32に続く半導体装置の製造工程中における模式的断面図である。FIG. 33 is a schematic cross sectional view showing the semiconductor device during a manufacturing step following that of FIG. 32; 図33に続く半導体装置の製造工程中における模式的断面図である。FIG. 34 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 33; 図34に続く半導体装置の製造工程中における模式的断面図である。FIG. 35 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 34; 本発明の実施形態6の変形例を示す模式的断面図である。It is typical sectional drawing which shows the modification of Embodiment 6 of this invention. 本発明の実施形態7である半導体装置の概略構成を示す模式的断面図である。It is typical sectional drawing which shows schematic structure of the semiconductor device which is Embodiment 7 of this invention. 本発明の実施形態7である半導体装置の製造工程中における模式的断面図である。It is typical sectional drawing in the manufacturing process of the semiconductor device which is Embodiment 7 of this invention. 図38に続く半導体装置の製造工程中における模式断面図である。FIG. 39 is a schematic cross-sectional view of the semiconductor device during a manufacturing step following that of FIG. 38; 本発明の実施形態7の変形例を示す模式的断面図である。It is typical sectional drawing which shows the modification of Embodiment 7 of this invention. 本発明の実施形態8である半導体装置の概略構成を示す模式的断面図である。It is typical sectional drawing which shows schematic structure of the semiconductor device which is Embodiment 8 of this invention. 本発明の実施形態9である半導体装置の概略構成を示す模式的平面図である。It is a typical top view which shows schematic structure of the semiconductor device which is Embodiment 9 of this invention. 図42のA−A線に沿う模式的断面図である。FIG. 43 is a schematic cross-sectional view taken along line AA in FIG. 42. 本発明の実施形態10である半導体装置の概略構成を示す模式的断面図である。It is typical sectional drawing which shows schematic structure of the semiconductor device which is Embodiment 10 of this invention.

符号の説明Explanation of symbols

1…p型半導体基板、2…p型ウエル領域、3…n型ウエル領域、4…浅溝アイソレーション領域、5…ゲート絶縁膜、6…ゲート電極、7,10…n型半導体領域、8,11…p型半導体領域、9…サイドウォールスペーサ、12…シリサイド層、12a…高融点金属膜、13…絶縁膜、14a,14b…窒化シリコン膜、15…絶縁膜、16…層間絶縁膜、17…不純物、18…ソース・ドレイン用コンタクト孔、19…導電性プラグ、20…配線、
21…絶縁膜、22…サイドウォールスペーサ、24…窒化シリコン膜、24a…第1の部分、24b…第2の部分、
30…チャネル形成領域、31…ドレイン電流方向、32,33…半導体領域、34…膜、35a,35b…段差部、X…ゲート長方向、Y…ゲート幅方向、
40…半導体基板、40a…半導体層、40b…絶縁層、40c…半導体層、41…絶縁膜。
DESCRIPTION OF SYMBOLS 1 ... p-type semiconductor substrate, 2 ... p-type well region, 3 ... n-type well region, 4 ... Shallow groove isolation region, 5 ... Gate insulating film, 6 ... Gate electrode, 7, 10 ... N-type semiconductor region, 8 , 11 ... p-type semiconductor region, 9 ... sidewall spacer, 12 ... silicide layer, 12a ... refractory metal film, 13 ... insulating film, 14a, 14b ... silicon nitride film, 15 ... insulating film, 16 ... interlayer insulating film, 17 ... impurities, 18 ... source / drain contact holes, 19 ... conductive plugs, 20 ... wirings,
21 ... insulating film, 22 ... sidewall spacer, 24 ... silicon nitride film, 24a ... first part, 24b ... second part,
30 ... channel formation region, 31 ... drain current direction, 32, 33 ... semiconductor region, 34 ... film, 35a, 35b ... step portion, X ... gate length direction, Y ... gate width direction,
40 ... Semiconductor substrate, 40a ... Semiconductor layer, 40b ... Insulating layer, 40c ... Semiconductor layer, 41 ... Insulating film.

Claims (10)

半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置の製造方法であって、
前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタのチャネル形成領域に引張応力を発生させる第1窒化シリコン膜を、前記nチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを覆うように形成する工程と、
前記pチャネル導電型電界効果トランジスタ上の前記第1窒化シリコン膜にSiおよびNを導入することで、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜に変換する工程とを有することを特徴とする半導体装置の製造方法。
A method of manufacturing a semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
A first silicon nitride film that generates a tensile stress in a channel formation region of the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor is formed on the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor. Forming so as to cover,
Converting Si and N into the first silicon nitride film on the p-channel conductivity type field effect transistor to convert it into a film that generates compressive stress in the channel formation region of the p-channel conductivity type field effect transistor; A method for manufacturing a semiconductor device, comprising:
請求項1に記載の半導体装置の製造方法において、
前記第1窒化シリコン膜は、自己整合コンタクト用絶縁膜であることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
The method of manufacturing a semiconductor device, wherein the first silicon nitride film is a self-aligned contact insulating film.
ゲート絶縁膜、ゲート電極、サイドウォールスペーサ、ソース領域およびドレイン領域を有し、その動作時に、前記ゲート絶縁膜を介した前記ゲート電極下の半導体基板にチャネル領域を形成するnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを含む半導体装置の製造方法であって、
(a)前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタの前記チャネル領域に引張応力を発生させる第1窒化シリコン膜を、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成する工程、
(b)前記(a)工程後に、前記pチャネル導電型電界効果トランジスタ上に形成された前記第1窒化シリコン膜にSiおよびNをイオン注入することで、前記pチャネル導電型電界効果トランジスタの前記チャネル形成領域に圧縮応力を発生させる膜に変換する工程、
(c)前記(b)工程後に、前記第1窒化シリコン膜上に層間絶縁膜を形成する工程、
(d)前記(c)工程後に、前記層間絶縁膜をエッチングすることで、前記第1窒化シリコン膜をエッチングストッパとして、前記nチャネル型電界効果トランジスタ及び前記pチャネル型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続するための複数の接続孔を形成する工程、
を有することを特徴とする半導体装置の製造方法。
An n-channel conductivity type field effect having a gate insulating film, a gate electrode, a sidewall spacer, a source region and a drain region, and forming a channel region in the semiconductor substrate under the gate electrode through the gate insulating film during the operation A method of manufacturing a semiconductor device including a transistor and a p-channel conductivity type field effect transistor,
(A) a first silicon nitride film that generates a tensile stress in the channel region of the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor; Forming a field effect transistor so as to cover it,
(B) After the step (a), Si and N are ion-implanted into the first silicon nitride film formed on the p-channel conductivity type field effect transistor, whereby the p-channel conductivity type field effect transistor Converting to a film that generates compressive stress in the channel formation region;
(C) a step of forming an interlayer insulating film on the first silicon nitride film after the step (b);
(D) After the step (c), by etching the interlayer insulating film, the source regions of the n-channel field effect transistor and the p-channel field effect transistor using the first silicon nitride film as an etching stopper And forming a plurality of connection holes for connecting to the drain region,
A method for manufacturing a semiconductor device, comprising:
請求項1〜3のいずれか1項に記載の半導体装置の製造方法において、
前記変換工程は、前記SiおよびNを導入の後、熱処理を施す工程を有することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device of any one of Claims 1-3,
The method of manufacturing a semiconductor device, wherein the conversion step includes a step of performing a heat treatment after introducing Si and N.
請求項4に記載の半導体装置の製造方法において、
前記熱処理工程によって、前記第1窒化シリコン膜の体積が膨張することを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 4,
The method of manufacturing a semiconductor device, wherein the volume of the first silicon nitride film is expanded by the heat treatment step.
請求項1〜5のいずれか1項に記載の半導体装置の製造方法において、
前記変換工程は、前記SiおよびNを斜めイオン注入することによって行われることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to any one of claims 1 to 5,
The method of manufacturing a semiconductor device, wherein the converting step is performed by implanting Si and N obliquely.
半導体基板に形成されたnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを有する半導体装置であって、
前記nチャネル導電型及びpチャネル導電型電界効果トランジスタ上を覆うようにして第1窒化シリコン膜が形成され、
前記窒化シリコン膜は、前記nチャネル導電型電界効果トランジスタのチャネル形成領域に引張応力を発生させる膜応力を持つ第1部分と、前記pチャネル導電型電界効果トランジスタのチャネル形成領域に圧縮応力を発生させる膜応力を持つ第2部分とを有し、
前記第2部分は、前記第1部分よりも膜中のSi濃度およびN濃度が高いことを特徴とする半導体装置。
A semiconductor device having an n-channel conductivity type field effect transistor and a p-channel conductivity type field effect transistor formed on a semiconductor substrate,
A first silicon nitride film is formed to cover the n-channel and p-channel conductivity type field effect transistors;
The silicon nitride film generates a compressive stress in the first portion having a film stress that generates a tensile stress in the channel formation region of the n-channel conductivity type field effect transistor and in the channel formation region of the p-channel conductivity type field effect transistor. A second portion having a membrane stress to allow
The semiconductor device, wherein the second portion has a higher Si concentration and N concentration in the film than the first portion.
請求項7に記載の半導体装置において、
前記第1窒化シリコン膜は、自己整合コンタクト用絶縁膜であることを特徴とする半導体装置。
The semiconductor device according to claim 7,
The semiconductor device according to claim 1, wherein the first silicon nitride film is a self-aligned contact insulating film.
ゲート絶縁膜、ゲート電極、サイドウォールスペーサ、ソース領域およびドレイン領域を有し、その動作時に、前記ゲート絶縁膜を介した前記ゲート電極下の半導体基板にチャネル領域を形成するnチャネル導電型電界効果トランジスタ及びpチャネル導電型電界効果トランジスタを含む半導体装置であって、
第1窒化シリコン膜が、前記nチャネル導電型電界効果トランジスタ及び前記pチャネル導電型電界効果トランジスタを覆うように形成されており、
前記第1窒化シリコン膜上には層間絶縁膜が形成されており、
前記層間絶縁膜および前記第1窒化シリコン膜には、前記nチャネル型電界効果トランジスタ及び前記pチャネル型電界効果トランジスタの前記ソース領域および前記ドレイン領域に接続するための複数の接続孔が形成されており、
前記pチャネル型電界効果トランジスタを覆う前記第1窒化シリコン膜のSi濃度およびN濃度は、前記nチャネル型電界効果トランジスタを覆う前記第1窒化シリコン膜のSi濃度およびN濃度より高いことを特徴とする半導体装置。
An n-channel conductivity type field effect having a gate insulating film, a gate electrode, a sidewall spacer, a source region and a drain region, and forming a channel region in the semiconductor substrate under the gate electrode through the gate insulating film during the operation A semiconductor device including a transistor and a p-channel conductivity type field effect transistor,
A first silicon nitride film is formed so as to cover the n-channel conductivity type field effect transistor and the p-channel conductivity type field effect transistor;
An interlayer insulating film is formed on the first silicon nitride film,
A plurality of connection holes for connecting to the source region and the drain region of the n-channel field effect transistor and the p-channel field effect transistor are formed in the interlayer insulating film and the first silicon nitride film. And
The Si concentration and N concentration of the first silicon nitride film covering the p-channel field effect transistor are higher than the Si concentration and N concentration of the first silicon nitride film covering the n-channel field effect transistor. Semiconductor device.
請求項7〜9のいずれか1項に記載の半導体装置において、
前記ソース領域および前記ドレイン領域と、前記第1窒化シリコン膜との間には、シリサイド膜が形成されていることを特徴とする半導体装置。
The semiconductor device according to any one of claims 7 to 9,
A semiconductor device, wherein a silicide film is formed between the source region and the drain region and the first silicon nitride film.
JP2008170849A 2008-06-30 2008-06-30 Semiconductor device and manufacturing method thereof Expired - Fee Related JP4859884B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008170849A JP4859884B2 (en) 2008-06-30 2008-06-30 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008170849A JP4859884B2 (en) 2008-06-30 2008-06-30 Semiconductor device and manufacturing method thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002076182A Division JP4173672B2 (en) 2002-03-19 2002-03-19 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JP2008306195A JP2008306195A (en) 2008-12-18
JP4859884B2 true JP4859884B2 (en) 2012-01-25

Family

ID=40234574

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008170849A Expired - Fee Related JP4859884B2 (en) 2008-06-30 2008-06-30 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP4859884B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5582043B2 (en) * 2011-01-19 2014-09-03 三菱電機株式会社 Insulated gate semiconductor device
US9209298B2 (en) 2013-03-08 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-oxide-semiconductor field-effect transistor with extended gate dielectric layer
JP6235325B2 (en) * 2013-12-10 2017-11-22 株式会社東芝 Field effect transistor and manufacturing method thereof, semiconductor device and manufacturing method thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04241453A (en) * 1991-01-16 1992-08-28 Fujitsu Ltd Semiconductor device and manufacture thereof
JP3050193B2 (en) * 1997-11-12 2000-06-12 日本電気株式会社 Semiconductor device and manufacturing method thereof
JP2000216377A (en) * 1999-01-20 2000-08-04 Nec Corp Method for manufacturing semiconductor device
JP2003060076A (en) * 2001-08-21 2003-02-28 Nec Corp Semiconductor device and manufacturing method therefor

Also Published As

Publication number Publication date
JP2008306195A (en) 2008-12-18

Similar Documents

Publication Publication Date Title
JP4173672B2 (en) Semiconductor device and manufacturing method thereof
JP4932795B2 (en) Semiconductor device and manufacturing method thereof
JP4982958B2 (en) Semiconductor device and manufacturing method thereof
JP5204645B2 (en) Technology for forming contact insulation layers with enhanced stress transmission efficiency
US7396718B2 (en) Technique for creating different mechanical strain in different channel regions by forming an etch stop layer stack having differently modified intrinsic stress
JP5003515B2 (en) Semiconductor device
US7399663B2 (en) Embedded strain layer in thin SOI transistors and a method of forming the same
US7723174B2 (en) CMOS device comprising MOS transistors with recessed drain and source areas and a SI/GE material in the drain and source areas of the PMOS transistor
JP5544367B2 (en) Recessed drain and source areas combined with advanced silicide formation in transistors
JP2007214481A (en) Semiconductor device
JP2009088069A (en) Semiconductor device and manufacturing method thereof
JP2004214607A (en) Semiconductor device and method of manufacturing the same
US7608501B2 (en) Technique for creating different mechanical strain by forming a contact etch stop layer stack having differently modified intrinsic stress
JP2008192686A (en) Semiconductor device and manufacturing method thereof
JP5666451B2 (en) Structurally strained substrate for forming strained transistors with active layer thickness reduction
JP4859884B2 (en) Semiconductor device and manufacturing method thereof
JP2009055027A (en) Method of manufacturing mos transistor, and mos transistor manufactured by the same
JP2007165532A (en) Method of manufacturing semiconductor device
JP2007173356A (en) Semiconductor device and its manufacturing method
KR100724574B1 (en) Semiconductor device having etch stop layer and fabricating method thereof
JP4630235B2 (en) Semiconductor device and manufacturing method thereof
JP2008182022A (en) Semiconductor device and method for manufacturing the same
CN104465380B (en) The production method of semiconductor devices
JP2005276989A (en) Semiconductor device manufacturing method
JP2008235796A (en) Semiconductor device and its manufacturing method

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100511

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111101

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111101

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141111

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees