JP4845146B2 - Carbon heater - Google Patents

Carbon heater Download PDF

Info

Publication number
JP4845146B2
JP4845146B2 JP2008112653A JP2008112653A JP4845146B2 JP 4845146 B2 JP4845146 B2 JP 4845146B2 JP 2008112653 A JP2008112653 A JP 2008112653A JP 2008112653 A JP2008112653 A JP 2008112653A JP 4845146 B2 JP4845146 B2 JP 4845146B2
Authority
JP
Japan
Prior art keywords
heater
carbon
quartz glass
wire
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2008112653A
Other languages
Japanese (ja)
Other versions
JP2008243820A (en
Inventor
栄一 外谷
雅彦 市島
富雄 金
智浩 永田
茂 山村
紀彦 齋藤
浩治 寺岡
毅 稲葉
浩幸 本間
中尾  賢
孝規 斎藤
長栄 長内
敏幸 牧谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Coorstek KK
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Covalent Materials Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Covalent Materials Corp filed Critical Tokyo Electron Ltd
Priority to JP2008112653A priority Critical patent/JP4845146B2/en
Publication of JP2008243820A publication Critical patent/JP2008243820A/en
Application granted granted Critical
Publication of JP4845146B2 publication Critical patent/JP4845146B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B2203/00Aspects relating to Ohmic resistive heating covered by group H05B3/00
    • H05B2203/002Heaters using a particular layout for the resistive material or resistive elements
    • H05B2203/003Heaters using a particular layout for the resistive material or resistive elements using serpentine layout

Landscapes

  • Surface Heating Bodies (AREA)
  • Resistance Heating (AREA)

Description

この発明は、カーボンヒータに関し、特に半導体製造装置に用いるのに好適なカーボンヒータに関するものである。   The present invention relates to a carbon heater, and more particularly to a carbon heater suitable for use in a semiconductor manufacturing apparatus.

半導体の製造工程では、例えばシリコンウエハの種々の加熱処理が行われる。   In the semiconductor manufacturing process, for example, various heat treatments of a silicon wafer are performed.

このように何かしらの加熱を伴う半導体の製造工程では、厳密な温度管理が求められる。また、加熱処理雰囲気をクリーンに保つことも重要である。 In such a semiconductor manufacturing process involving some heating, strict temperature control is required. It is also important to keep the heat treatment atmosphere clean.

このため、均熱性及び昇温・降温性に優れ、汚染物質を放出しない高性能の半導体製造装置用ヒータの開発、製品化が強く望まれている。   Therefore, development and commercialization of a high-performance heater for semiconductor manufacturing equipment that is excellent in temperature uniformity and temperature rise / fall properties and does not release pollutants are strongly desired.

特開平7−161725号公報は、ウエハ加熱装置において樹脂を用いて固化し、一体化した黒鉛−炭素繊維複合材(以下C/Cと記す)をヒーター部材に用いた電極構造を開示している。   Japanese Patent Laid-Open No. 7-161725 discloses an electrode structure in which a graphite-carbon fiber composite material (hereinafter referred to as C / C) that is solidified using a resin in a wafer heating apparatus and integrated is used as a heater member. .

また、従来より同装置において平板状渦巻き型のSiCヒータ部材もしくは溶接処理を行なった複雑形状のMo−Siヒータ部材などが用いられてきた。   Conventionally, a flat spiral SiC heater member or a complex-shaped Mo—Si heater member subjected to a welding process has been used in the same apparatus.

しかしながら、前記C/Cヒーター部材においては、その構造上薄肉でも2次元において各々の長さが充分に採れる形状であれば、極めて高い機械的強度を有するが、例えば1次元の長さつまり幅が5mm以下の縦長形状とした場合には、充分な機械的強度が得られず、また、半導体製造装置用のヒータとして用いた場合には長さ方向の両端を端子に固定すると、C/Cの熱膨張に伴い、特にこの端子固定部近傍において、熱的負荷が生じ破損し易いといった問題があった。   However, the C / C heater member has a very high mechanical strength as long as its length is sufficiently thin in two dimensions even if it is thin in structure. For example, the C / C heater member has a one-dimensional length or width. In the case of a vertically long shape of 5 mm or less, sufficient mechanical strength cannot be obtained, and when used as a heater for a semiconductor manufacturing apparatus, if both ends in the length direction are fixed to a terminal, C / C Along with the thermal expansion, there is a problem that a thermal load is generated and is easily damaged, particularly in the vicinity of the terminal fixing portion.

そこで、前記幅を広くとると、今度は抵抗値が小さくなり、所定の発熱を行うためには、電流値を大幅にアップしなければならず、また、熱容量が大きくなり急速加熱が困難であった。   Therefore, if the width is widened, the resistance value becomes small this time, and in order to perform predetermined heat generation, the current value has to be greatly increased, and the heat capacity becomes large and rapid heating is difficult. It was.

また、前記特開平7−161725号公報図3の如き略渦巻き形状のような複雑形状を得ようとすると、均熱構造とするためのスリット加工が難しく、また上述のように高抵抗とするためには、同部材の幅を狭くする必要があるが、このような加工が難しく、コストの増大をまねき、もしくはコストにみあう加工を行うと、均熱性がけっして充分なものとはなっていないのが現状であった。   Further, when trying to obtain a complicated shape such as a substantially spiral shape as shown in FIG. 3 of the above-mentioned JP-A-7-161725, it is difficult to perform slit processing to obtain a soaking structure, and to make it high resistance as described above. However, it is necessary to reduce the width of the same member, but such processing is difficult, and if the processing that increases the cost or matches the cost is performed, the thermal uniformity is not sufficient. Was the current situation.

また、前記SiCヒーター部材やMo−Siヒーター部材においては昇華に伴う劣化を抑制するために電気負荷密度を約20W/cm2 程度とすることしかできず、その結果、昇温速度の短縮化に限界があった。また、これらのヒーター部材においても、屈曲部を要するような複雑形状においては充分な耐熱強度が得られていなかった。 In addition, in the SiC heater member and the Mo-Si heater member, the electric load density can only be about 20 W / cm 2 in order to suppress deterioration due to sublimation, and as a result, the heating rate can be shortened. There was a limit. Further, even in these heater members, sufficient heat resistance strength has not been obtained in a complicated shape requiring a bent portion.

また、従来、半導体熱処理装置用ヒータとしては、金属ヒータが用いられることもあった。しかし、金属ヒータは金属汚染を生じ易く、また、品質が不安定になり易い問題があった。   Conventionally, a metal heater is sometimes used as a heater for a semiconductor heat treatment apparatus. However, the metal heater is liable to cause metal contamination, and the quality is likely to be unstable.

半導体の熱処理効率を向上するためには、急速昇降温の可能なヒータが必要となる。しかし、金属ヒータは熱容量が大きいため、昇降温特性の向上には限界があった。   In order to improve the heat treatment efficiency of the semiconductor, a heater capable of rapid temperature increase / decrease is required. However, since the metal heater has a large heat capacity, there is a limit to improving the temperature rising / falling characteristics.

また、一般に、金属ヒータは断熱材等の付帯設備や金属ヒータ自体の熱容量が大きいので、急速昇降温が難しいという不具合もあった。   In general, the metal heater has a problem that it is difficult to rapidly raise and lower the temperature because the incidental facilities such as a heat insulating material and the heat capacity of the metal heater itself are large.

そこで、熱容量が小さく、非酸化性雰囲気での耐高温性に優れるカーボン材がヒータとして利用されるようになってきた。   Therefore, a carbon material having a small heat capacity and excellent in high temperature resistance in a non-oxidizing atmosphere has been used as a heater.

しかしながら、通常の電極材等を用いるカーボン材は、柔軟性の面で問題があり、形状設計のネックとなっていた。   However, a carbon material using a normal electrode material has a problem in terms of flexibility, and has become a bottleneck in shape design.

また、一般に、カーボンワイヤを発熱体として用いるカーボンヒータでは、酸化防止のため、非酸化性雰囲気に保った容器内にカーボンワイヤを配置する。そして、カーボンワイヤは発熱時に非常に高温になるため、カーボンワイヤを複数本束ねたものを端子線として用いている。   In general, in a carbon heater using a carbon wire as a heating element, the carbon wire is disposed in a container kept in a non-oxidizing atmosphere in order to prevent oxidation. And since a carbon wire becomes very high temperature at the time of heat_generation | fever, what bundled a plurality of carbon wires is used as a terminal wire.

従来、カーボンワイヤは、カーボンペーストによる含浸+焼成によって固定していた。また、比較的細いカーボンワイヤの場合には、ねじ止めによって固定することもあった。   Conventionally, carbon wires have been fixed by impregnation with carbon paste and firing. In the case of a relatively thin carbon wire, it may be fixed by screwing.

しかしながら、カーボンペーストを用いる固定法では、カーボンペースト焼成体が剥離し、ダスト発生の原因となることがあった。   However, in the fixing method using the carbon paste, the carbon paste fired body is peeled off, which may cause dust generation.

一方、ねじ止め式の固定法では、カーボンワイヤ束のワイヤ本数が多い場合にねじ止め作業が繁雑であった。また、太めのカーボンワイヤの場合には、しっかりと固定できないこともあった。   On the other hand, with the screw-type fixing method, screwing work is complicated when the number of carbon wire bundles is large. Further, in the case of a thick carbon wire, it may not be able to be fixed firmly.

また、カーボンワイヤを発熱体として用いるヒータでは、カーボンヒータ束からなる端子線と金属製の端子線とを接続するための端子装置も必要となる。   Further, in a heater using a carbon wire as a heating element, a terminal device for connecting a terminal wire made of a carbon heater bundle and a metal terminal wire is also required.

しかしながら、両方の端子線を確実且つ容易に接続できる端子装置は未だ提供されていない。   However, a terminal device that can reliably and easily connect both terminal wires has not yet been provided.

また、一般に、カーボン発熱体の周辺雰囲気を非酸化性雰囲気に保つためには、カーボン発熱体の封着技術が重要となる。   In general, in order to keep the atmosphere around the carbon heating element in a non-oxidizing atmosphere, the sealing technique of the carbon heating element is important.

ところが、カーボン発熱体を2枚の石英ガラス板で挟み、外周を溶接する従来の封着方式では、部分的な加熱により接触面に歪みや変形が生じ、溶接固定部に応力が集中して石英ガラス板が破損する恐れが大であった。   However, in the conventional sealing method in which the carbon heating element is sandwiched between two quartz glass plates and the outer periphery is welded, the contact surface is distorted or deformed due to partial heating, and stress is concentrated on the weld fixing part. There was a great risk of breakage of the glass plate.

本発明は、均熱性及びフレキシビリティーに優れ、急昇降温が可能であり低コストで製造できるカーボンヒータを提供することを目的としている。   An object of the present invention is to provide a carbon heater that is excellent in heat uniformity and flexibility, can be rapidly raised and lowered, and can be manufactured at low cost.

本発明は、複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いてワイヤー状もしくはテープ形状の長細形状に編み込んだヒータ部材であって、かつ、含有不純物量が灰分で10ppm以下であるヒータ部材を具備するカーボンヒータを1つの好適な解決手段としている。   The present invention is a heater member in which a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers are knitted into a long shape such as a wire shape or a tape shape, and the impurity content is 10 ppm or less in ash content. A carbon heater provided with a heater member is one preferred solution.

本発明のカーボンヒータは、直径が5〜15μmであるカーボンファイバーを複数本束ねたカーボンファイバー束を複数本用いてワイヤー形状やテープ形状のような縦長形状に編み込み,その含有不純物量を灰分で10ppm以下としたヒータ部材を具備する。   The carbon heater of the present invention uses a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers having a diameter of 5 to 15 μm, and weaves them into a vertically long shape such as a wire shape or a tape shape. The following heater member is provided.

上記ヒータ部材は、1本もしくは複数本を石英ガラス製もしくはアルミナ製の密封形部材内に封入することができる。   One or a plurality of the heater members can be enclosed in a sealed member made of quartz glass or alumina.

上記ヒータ部材の1000℃での抵抗値は、好ましくは1〜20Ω/m・本である。   The resistance value of the heater member at 1000 ° C. is preferably 1 to 20 Ω / m · book.

上記密封形部材は、二重管形状、直管形状、環状管形状から選んだ形状であり、複数個組み合わせて所定形状のヒーティングゾーンを形成することができる。   The sealed member has a shape selected from a double tube shape, a straight tube shape, and an annular tube shape, and a heating zone having a predetermined shape can be formed by combining a plurality of the sealed members.

上記密封形部材内に形成される空間には、非酸化性ガスを流入させる構成とすることができる。   A non-oxidizing gas can be introduced into the space formed in the sealed member.

上記密封形部材内に形成される空間を20torr以下の真空にすることができる。   The space formed in the sealed member can be evacuated to 20 torr or less.

上記密封形部材は、板状の石英ガラス支持体によって実質的に一体化されており、この石英ガラス支持体中の上記ヒータ部材の周辺部には、中空の空間が形成されている構成にすることができる。   The sealed member is substantially integrated by a plate-like quartz glass support, and a hollow space is formed around the heater member in the quartz glass support. be able to.

上記石英ガラス支持体が複数枚の石英ガラス板の各々の接合面全体を融着により一体化したものであり、少なくとも一枚の石英ガラス板の接合面に配線用溝を形成し、そこに上記ヒータ部材を配置した構成にすることができる。   The quartz glass support is formed by fusing together the entire joining surfaces of a plurality of quartz glass plates, forming a wiring groove on the joining surface of at least one quartz glass plate, It can be set as the structure which has arrange | positioned the heater member.

上記石英ガラス支持体が2枚の石英ガラス板を融着したものであり、少なくとも1枚の石英ガラス板の接合面に所定深さの配線用溝が形成されており、上記深さ分を含まない各々の石英ガラス板の厚さが略同一である構成にすることができる。   The quartz glass support is formed by fusing two quartz glass plates, and a wiring groove having a predetermined depth is formed on the joining surface of at least one quartz glass plate, including the depth. The thickness of each quartz glass plate which is not present can be made substantially the same.

上記石英ガラス支持体が厚さの異なる2枚の石英ガラス板を融着したものであり、少なくとも1枚の石英ガラス板の接合面に所定深さの配線用溝が形成されており、上記深さ分を含まない一方の石英ガラス板の厚さを他方の厚さの1/2以下にすることができる。   The quartz glass support is formed by fusing two quartz glass plates having different thicknesses, and a wiring groove having a predetermined depth is formed on the joining surface of at least one quartz glass plate. The thickness of one quartz glass plate not including the thickness can be made ½ or less of the other thickness.

上記配線用溝は、その長さ方向に垂直な断面形状の少なくとも下方側に湾曲形状を有し、かつ、つや出し処理されていることが好ましい。   It is preferable that the wiring groove has a curved shape on at least the lower side of the cross-sectional shape perpendicular to the length direction and is polished.

上記配線用溝は、その長さ方向に垂直な断面形状が全体的に「凸」の字状に形成できる。   The wiring groove can be formed in a “convex” shape as a whole in a cross-sectional shape perpendicular to the length direction.

第1の石英ガラス板に配線用溝を形成し、第2の石英ガラス板に配線用溝と対になる幅狭の挿入用溝もしくは貫通スリットを形成し、第1・第2石英ガラス板を溝同士が対向するように接合し、第2石英ガラス板の表面を研磨又は研削して挿入用溝もしくは貫通スリットの底部を削除することによりこれを挿入用窓として露出させ、そこから第1の石英ガラス板の配線用溝内にヒータ部材を押し込み、研磨面又は研削面に第3の石英ガラス板を合わせ、しかる後に3枚の石英ガラス板を融着させ溝以外の部分全面を実質的に一体化させることにより、上記配線用溝を、その長さ方向に垂直な断面形状が全体的に「凸」の字状にすることができる。さらに、第1の石英ガラス板に凸形状の溝加工をほどこし、第3の石英を融着しても同様の構造を得ることが出来る。   A wiring groove is formed in the first quartz glass plate, and a narrow insertion groove or through slit that is paired with the wiring groove is formed in the second quartz glass plate. The first and second quartz glass plates are The grooves are joined so that they face each other, and the surface of the second quartz glass plate is polished or ground to remove the bottom of the insertion groove or the through slit, thereby exposing it as an insertion window. The heater member is pushed into the wiring groove of the quartz glass plate, the third quartz glass plate is aligned with the polished surface or the ground surface, and then the three quartz glass plates are fused to substantially cover the entire surface other than the groove. By integrating the wiring grooves, the cross-sectional shape perpendicular to the length direction of the wiring grooves can be formed into a “convex” shape as a whole. Furthermore, a similar structure can be obtained even if a convex groove is formed on the first quartz glass plate and the third quartz is fused.

上記配線用構内部を減圧又は非酸化性ガス雰囲気に保った状態で融着させたものであることが好ましい。   It is preferable that the wiring structure is fused in a state of being kept in a reduced pressure or non-oxidizing gas atmosphere.

石英ガラス支持体は、不透明石英ガラス層を備えていても良い。   The quartz glass support may comprise an opaque quartz glass layer.

複数枚の石英ガラス板のうちの1枚を、不透明石英ガラス板にすることができる。   One of the plurality of quartz glass plates can be an opaque quartz glass plate.

上記ヒータ部材と、少なくとも片面が鏡面のカーボン製反射板とが、板状の石英ガラス支持体に封入されている構成にすることができる。   The heater member and a carbon reflector having at least one mirror surface may be enclosed in a plate-like quartz glass support.

3枚の石英ガラス板を用い、いずれかの石英ガラス板の2つの接合面に設けた配線用溝と反射板設定座ぐりに、それぞれヒータ部材と少なくとも片面が鏡面のカーボン製反射板を配置し、各石英ガラス板の接合部を融着により一体化することができる。   Three quartz glass plates are used, and a heater reflector and at least one mirror reflector made of carbon are arranged in a wiring groove and a reflector setting spot provided on two joint surfaces of any quartz glass plate. The joined portions of the quartz glass plates can be integrated by fusion.

全体的に板状の石英ガラス支持体に、少なくとも片面が鏡面のカーボン製反射板が封入されて反射板状体を上記密封形部材に隣接配置することができる。   It is possible to dispose the reflector plate adjacent to the sealed member by enclosing the reflector plate made of carbon having a mirror surface at least on one side in the overall plate-like quartz glass support.

板状の石英ガラス支持体の少なくとも一つの外表面に、断面半円状もしくは台形状の凸部が形成されており、この外表面がつや出し処理された構成にすることができる。   A convex part having a semicircular or trapezoidal cross section is formed on at least one outer surface of the plate-like quartz glass support, and the outer surface can be polished.

上記密閉形部材が配線用溝を有する石英ガラス製の設定部材及び石英ガラス製の蓋部材からなり、上記配線溝に上記ヒータ部材を配置することができる。   The sealed member includes a setting member made of quartz glass having a wiring groove and a lid member made of quartz glass, and the heater member can be arranged in the wiring groove.

上記の設定部材及び蓋部材のいずれかもしくは両者の外周部に防炎堤を形成し、この防炎堤以外の対向面が0.2〜1.0mmの間隔において配置され、両部材を石英ガラスの肉盛り法によって、一体化することができる。   Either one of the setting member and the lid member or a flame barrier is formed on the outer peripheral portion of both of the setting members and the lid member, and opposing surfaces other than the flame barrier are arranged at intervals of 0.2 to 1.0 mm. Can be integrated by the method of overlaying.

上記ヒータ部材の両端にカーボンターミナルを配置し、カーボンターミナルに電極を接続し、この電極のカーボンターミナル側の部分に石英ガラスパイプを被せた構成にすることができる。   A carbon terminal can be arranged at both ends of the heater member, an electrode can be connected to the carbon terminal, and a portion of the electrode on the carbon terminal side can be covered with a quartz glass pipe.

ヒータ部材が封入された空間内に非酸化性ガスを導入し、電極に被せた石英ガラスパイプからガスを排出することができる。   A non-oxidizing gas can be introduced into the space in which the heater member is enclosed, and the gas can be discharged from the quartz glass pipe placed on the electrode.

上記カーボンターミナルの不純物濃度に灰分で10ppm以下にすることが好ましい。   The impurity concentration of the carbon terminal is preferably 10 ppm or less in terms of ash.

配置用溝にアルミナ粉を配置し、アルミナ粉の焼結体によってヒータ部材を支持することができる。   Alumina powder can be arranged in the arrangement groove, and the heater member can be supported by the sintered body of alumina powder.

上記アルミナ粉の鉄不純物濃度が5ppm以下であることが好ましい。   The iron powder preferably has an iron impurity concentration of 5 ppm or less.

上記ヒータ部材もしくはヒータ部材の両端に接続された端子部が、ヒータ部材の形成するヒータ面に対して実質的に垂直に引き出される構成にすることができる。   The heater member or the terminal portions connected to both ends of the heater member can be drawn substantially perpendicular to the heater surface formed by the heater member.

ヒータ部材の両端をヒータ面の反対側に突出させ、突出したヒータ部材を石英ガラス支持板に当接する石英ガラス筒内に配列された複数のもしくは少なくとも一方の端部が複数に分割されたワイヤー状カーボンにより固定し、上記石英ガラス筒に石英ガラス管を被せて上記密閉形部材を密封することができる。   A wire shape in which both ends of a heater member are protruded to the opposite side of the heater surface, and a plurality of or at least one end is arranged in a plurality in a quartz glass cylinder in which the protruding heater member is in contact with a quartz glass support plate The sealed member can be sealed by fixing with carbon and covering the quartz glass tube with a quartz glass tube.

上記ワイヤー状カーボンの他端側を、中空部が形成されその内側に中子部材を有する第2のワイヤー状カーボン接続部材に、この中子部材での押圧によって接続し、割型中子を利用する金属線接続部材により金属製の内接線を接続し、両接続部材を任意の接続部材によって接続することができる。   The other end of the wire-like carbon is connected to a second wire-like carbon connecting member having a hollow member formed therein and a core member inside thereof by pressing with the core member, and a split core is used. The metal inscribed line is connected by the metal wire connecting member, and both the connecting members can be connected by any connecting member.

上記割型中子の外側にテーパ面を形成し、端子部本体にテーパ面と係合するテーパ部を形成し、割型中子に形成した支持部で金属製の内接線を挟み込み、これを押圧しつつ任意の接続部材に接続することができる。   A tapered surface is formed on the outer side of the split mold core, a tapered portion that engages with the tapered surface is formed on the terminal body, and a metal inscribed line is sandwiched between the support sections formed on the split mold core. It can be connected to any connecting member while pressing.

上記密閉形部材内でヒータ部材を線対称に配置し、その対称軸上にガス導入・排出口を形成し、容器の溶接時にガス導入・排出口から非酸化性ガスを導入し、容器の封着時にガス導入・排出口から排気を行う構成にすることができる。   The heater members are arranged in line symmetry in the sealed member, a gas inlet / outlet is formed on the axis of symmetry, and a non-oxidizing gas is introduced from the gas inlet / outlet when the container is welded. It can be configured to exhaust from the gas inlet / outlet at the time of wearing.

カーボンワイヤからなるヒータ部材とワイヤー状カーボンを石英ガラス筒内に配列された複数のもしくは少なくとも端部が複数に分割されたワイヤー状カーボンにより固定し、前記ワイヤー状カーボンと電源側の金属製の内接線を第2の端子装置で接続する構成にし、第2の端子装置が、複数のもしくは端部が複数に分割されたワイヤー状カーボンを接続するための第2のワイヤー状カーボン接続部材を備え、割型中子を利用して金属製の内接線を接続する構成の金属線接続部材を備え、第2のワイヤー状カーボン接続部材と金属線接続部材を接続するための端子部本体を備え、両接続部材を端子部本体の一端側と他端側に接続する構成にすることができる。   A heater member made of carbon wire and wire-like carbon are fixed by a plurality of wire-like carbons arranged in a quartz glass tube or wire-like carbon having at least ends divided into a plurality, and the inside of the wire-like carbon and the metal on the power source side is fixed. The tangent is connected to the second terminal device, and the second terminal device includes a second wire-like carbon connecting member for connecting wire-like carbon having a plurality or divided into a plurality of ends, A metal wire connecting member configured to connect a metal inscribed line using a split core, and a terminal body for connecting the second wire-like carbon connecting member and the metal wire connecting member; It can be set as the structure which connects a connection member to the one end side and other end side of a terminal part main body.

上記ヒータ部材が複数のもしくは少なくとも端部が複数に分割されたワイヤー状カーボンが内部に配列された石英ガラス筒及び第2端子装置が石英ガラス管内に封入された構成にすることができる。   The heater member may have a structure in which a quartz glass tube and a second terminal device in which wire-like carbon having a plurality of or at least end portions divided into a plurality are arranged inside are enclosed in a quartz glass tube.

上記石英ガラス管の内側に配置された金属製の内接線と電源側の外接線をMo箔を介して接続し、Mo箔を石英ガラス製のピンチシール部で封着した構成の第3の端子装置を有するようにすることがより好ましい。   A third terminal having a configuration in which a metal internal tangent disposed inside the quartz glass tube and an external tangent on the power source side are connected via a Mo foil, and the Mo foil is sealed with a pinch seal portion made of quartz glass. It is more preferable to have a device.

ヒータ部材の両端に端子部材を接続してヒータ面の反対側に突出させ、端子部材に石英ガラス管を被せて石英ガラス部材を密封した構成にすることができる。   A terminal member can be connected to both ends of the heater member and protruded to the opposite side of the heater surface, and the quartz glass tube can be sealed by covering the terminal member with a quartz glass tube.

上記石英ガラス管の自由端側に端子部本体を配置し、端子部材と端子部本体を複数本のもしくは少なくとも一方の端部が複数に分割されたワイヤー状カーボンで接続した構成にすることができる。   A terminal part body can be arranged on the free end side of the quartz glass tube, and the terminal member and the terminal part body can be connected to each other by a plurality of wire-like carbons, or at least one end part of which is divided into a plurality. .

上記密閉形部材内でヒータ部材を線対称に配置し、その対称軸上にガス導入・排出口を形成し、容器の溶接時にガス導入・排出口から非酸化性ガスを導入し、容器の封着時にガス導入・排出口から排気を行う構成にすることができる。   The heater members are arranged in line symmetry in the sealed member, a gas inlet / outlet is formed on the axis of symmetry, and a non-oxidizing gas is introduced from the gas inlet / outlet when the container is welded. It can be configured to exhaust from the gas inlet / outlet at the time of wearing.

上記密閉形部材が、全体的に平板半円形状で中心部に切欠きを有する割型になっており、これを2個組み合わせて円形平板ヒータを形成して、その中心部に治具を貫通できる構成にすることが好しい。   The above-mentioned sealed member is a split plate with a semi-circular plate shape as a whole and a notch in the center part. A circular plate heater is formed by combining two of them, and a jig is passed through the center part. It is preferable to make it possible.

上記端子部材が上記ヒータ部材と上記複数本のワイヤー状カーボンを接続するものであり、その一端側にヒータ部材接続部を形成してヒータ部材を接続し、複数のもしくは端部が複数に分割されたワイヤー状カーボンを一括して接続するための第1のワイヤー状カーボン接続部材を設け、端子部材の他端側に第1のワイヤー状カーボン接続部材を接続する構成にし、第1のワイヤー状カーボン接続部材を中空に形成してその内側に中子部材を配置し、上記複数のワイヤー状カーボンを中子部材で押圧して接続することが好しい。   The terminal member connects the heater member and the plurality of wire-like carbons, a heater member connecting portion is formed on one end side thereof to connect the heater member, and a plurality of or end portions are divided into a plurality. The first wire-like carbon connecting member for connecting the wire-like carbon in a lump is provided, and the first wire-like carbon connecting member is connected to the other end side of the terminal member. It is preferable that the connecting member is formed hollow, the core member is arranged inside, and the plurality of wire-like carbons are pressed and connected by the core member.

上記端子部材と第1のワイヤー状カーボン接続部材の相方の接続端側に夫々めねじ部を形成し、中間部材に各めねじ部に対応するおねじ部を形成し、中間部材を介して両部材を接続することが好しい。   Female screw portions are respectively formed on the opposite connection end sides of the terminal member and the first wire-like carbon connecting member, and male screw portions corresponding to the respective female screw portions are formed on the intermediate member. It is preferable to connect the members.

上記ワイヤー状カーボンの他端側を、中空部が形成されその内側に中子部材を有する第2のワイヤー状カーボン接続部材に、この中子部材での押圧によって接続し、割型中子を利用する金属線接続部材により金属製の内接線を接続し、両接続部材をこの中間に位置する端子部本体によって接続することが好しい。   The other end of the wire-like carbon is connected to a second wire-like carbon connecting member having a hollow member formed therein and a core member inside thereof by pressing with the core member, and a split core is used. It is preferable that the metal inscribed line is connected by the metal wire connecting member to be connected, and the both connecting members are connected by the terminal portion main body located in the middle.

上記割型中子の外側にテーパ面を形成し、端子部本体にテーパ面と係合するテーパ部を形成し、割型中子に形成した支持部で金属製の内接線を挟み込み、、これを押圧しつつ端子部本体に接続することが好しい。   A taper surface is formed on the outer side of the split core, a taper portion that engages with the taper surface is formed on the terminal body, and a metal inscribed line is sandwiched by a support portion formed on the split core. It is preferable to connect to the terminal body while pressing.

上記金属製の内接線をMo製金属棒にすることが好しい。   The metal inscribed line is preferably a Mo metal rod.

上記ワイヤー状カーボン接続部材と端子部本体、及び、端子部本体と金属線接続部材を、それぞれネジ式で接続することが好しい。   It is preferable that the wire-like carbon connecting member and the terminal portion main body, and the terminal portion main body and the metal wire connecting member are respectively connected by a screw type.

カーボンワイヤからなるヒータ部材とワイヤー状カーボンを第1の端子装置で接続し、前記ワイヤー状カーボンと電源側の金属製の内接線を第2の端子装置で接続する構成にし、第1の端子装置が、端子部材を備え、その一端側に形成したヒータ部材接続部にヒータ部材を接続するようになっており、複数のもしくは端部が複数に分割されたワイヤー状カーボンを接続するための第1のワイヤー状カーボン接続部材を備え、端子部材の他端側に第1のワイヤー状カーボン接続部材を接続するようになっており、第1のワイヤー状カーボン接続部材を中空に形成してその内側に中子部材を配置し、分割したワイヤー状カーボンを中子部材で押圧して接続する構成になっており、第2の端子装置が、複数のもしくは端部が複数に分割されたワイヤー状カーボンを接続するための第2のワイヤー状カーボン接続部材を備え、割型中子を利用して金属製の内接線を接続する構成の金属線接続部材を備え、第2のワイヤー状カーボン接続部材と金属線接続部材を接続するための端子部本体を備え、両接続部材を端子部本体の一端側と他端側に接続する構成にすることができる。   A heater member made of carbon wire and wire-like carbon are connected by a first terminal device, and the wire-like carbon and a metal inscribed line on the power source side are connected by a second terminal device. Is provided with a terminal member, and the heater member is connected to a heater member connecting portion formed on one end side thereof, and a first or the like for connecting a plurality of wire-shaped carbons having an end portion divided into a plurality thereof. The wire-like carbon connecting member is provided, and the first wire-like carbon connecting member is connected to the other end side of the terminal member. A core member is arranged, and the divided wire-like carbon is configured to be pressed and connected by the core member, and the second terminal device is a wire in which a plurality of or end portions are divided into a plurality. A second wire-like carbon connection member for connecting the carbon-like carbon, a metal wire connection member configured to connect a metal inscribed line using a split core, and a second wire-like carbon connection The terminal part main body for connecting a member and a metal wire connection member is provided, and it can be set as the structure which connects both connection members to the one end side and other end side of a terminal part main body.

上記ヒータ部材と上記第1及び第2の端子装置が石英ガラス管内に封入され、石英ガラス管の内側に配置された金属製の内接線と電源側の外接線をMo箔を介して接続し、Mo箔を石英ガラス製のピンチシール部で封着した構成の第3の端子装置を備えることがより好ましい。   The heater member and the first and second terminal devices are enclosed in a quartz glass tube, and a metal inscribed line and an outer tangent line on the power supply side disposed inside the quartz glass tube are connected via a Mo foil, It is more preferable to include a third terminal device having a configuration in which the Mo foil is sealed with a pinch seal portion made of quartz glass.

上記ヒータ部材を封入した密閉形部材は、石英ガラス製もしくはアルミナ製平板状容器で形成できる。   The sealed member enclosing the heater member can be formed of a flat plate container made of quartz glass or alumina.

上記ヒータ部材を複数の端子部材及びワイヤー支え治具によって上記平板状容器内に非接触で支持されて封入した構成にできる。   The heater member can be configured to be supported in a non-contact manner and sealed in the flat container by a plurality of terminal members and wire support jigs.

上記端子部材の長さ方向に、ボルト差し込み用の略円筒状の穴部が形成されており、かつ少なくともこの穴部を貫通する略円筒状横穴が形成されており、該横穴に上記ヒータ部材を差し込み、該穴部に少なくとも該横穴の下端に達する長さを有するボルトを回転挿入する構造にすることができる。   A substantially cylindrical hole for inserting a bolt is formed in the length direction of the terminal member, and at least a substantially cylindrical horizontal hole penetrating the hole is formed, and the heater member is placed in the horizontal hole. It is possible to make a structure in which a bolt having a length reaching at least the lower end of the lateral hole is inserted into the hole.

上記ボルト差し込み用の略円筒状穴部の径を上記略円筒状横穴の径より大きくし、該横穴に差し込まれた上記ヒータ部材が、上記ボルトによって加圧され、該穴部に達するように偏平に変形された構成にすることが好しい。   The diameter of the substantially cylindrical hole for inserting the bolt is made larger than the diameter of the substantially cylindrical side hole, and the heater member inserted into the side hole is pressed by the bolt and flattened so as to reach the hole. It is preferable to have a configuration deformed to.

上記ボルトと上記ヒータ部材の間に、膨張黒鉛シートを介在させることが好しい。   It is preferable that an expanded graphite sheet is interposed between the bolt and the heater member.

上記ワイヤー支え治具が透光性アルミナ単体もしくは、高純度カーボン及び透光性アルミナの組立部材から成ることが好ましい。   It is preferable that the wire support jig is made of translucent alumina alone or an assembly member of high-purity carbon and translucent alumina.

上記組立部材の、ヒータ部材に接する部分が、高純度カーボン材料から成り、上記組立部材の、平板状容器に配置接続する部分が、透光性アルミナ材料から成ることが好ましい。   The part of the assembly member that contacts the heater member is preferably made of a high-purity carbon material, and the part of the assembly member that is arranged and connected to the flat container is preferably made of a translucent alumina material.

上記ヒータ部材の下側にカーボン製反射板を配置することができる。   A carbon reflector can be disposed below the heater member.

上記平板状容器の発熱面に、断面半円状もしくは台形状の凸部が形成されており、この表面につや出し処理を施すことができる。   A convex portion having a semicircular cross section or a trapezoidal shape is formed on the heat generating surface of the flat container, and the surface can be polished.

上記ヒータ部材を封入した密閉形部材は、湾曲形であっても良い。   The sealed member enclosing the heater member may be curved.

上記板状の石英ガラス支持体に上記ヒータ部材を封入し、ヒータ部材の周辺部以外の石英ガラス支持体を実質的に一体化した板状のカーボンヒータを、所定形状の断面を有するカーボン製下型と、それと対になるカーボン製上型との間で押圧して、板状のカーボンヒータを所定形状に湾曲させることができる。   A plate-like carbon heater in which the heater member is enclosed in the plate-like quartz glass support and the quartz glass support other than the peripheral part of the heater member is substantially integrated is made of carbon The plate-shaped carbon heater can be curved into a predetermined shape by pressing between the mold and the carbon upper mold that is paired with the mold.

上記カーボン製上型・下型が半円形の断面形状を有しており、実質的に半円筒形のカーボンヒータにすることができる。   The carbon upper and lower molds have a semicircular cross-sectional shape, and a substantially semi-cylindrical carbon heater can be obtained.

上記板状の石英ガラス支持体に少なくとも一面が鏡面のカーボン製反射板を、上記ヒータ部材とは独立して封入することができる。   A carbon reflector having at least one mirror surface can be enclosed in the plate-like quartz glass support independently of the heater member.

第1グループの発明の要約を以下に述べる。   A summary of the invention of the first group is described below.

第1グループの発明は、均熱性及びフレキシビリティーに優れ、低コストで製造できる特に半導体製造装置用として有効なカーボンヒータを提供することを目的としている。   An object of the first group is to provide a carbon heater which is excellent in heat uniformity and flexibility and can be manufactured at a low cost, particularly effective for a semiconductor manufacturing apparatus.

第1グループの発明のカーボンヒータは、直径が5〜15μmであるカーボンファイバーを複数本束ねたカーボンファイバー束を複数本用いてワイヤー形状やテープ形状のような縦長形状に編み込み、その含有不純物量を灰分で10ppm以下としたヒータ部材を具備している。   The carbon heater of the first group of the invention uses a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers having a diameter of 5 to 15 μm, and braids them into a vertically long shape such as a wire shape or a tape shape, and the amount of impurities contained therein A heater member having an ash content of 10 ppm or less is provided.

これによって、ヒータ部材としての高温時の引張強度が確保され、またカーボンファイバーの密着性がその長さ方向において均一になり、よって長さ方向での発熱ムラが低減される。   As a result, the tensile strength at a high temperature as the heater member is ensured, and the adhesion of the carbon fiber becomes uniform in the length direction, thereby reducing the heat generation unevenness in the length direction.

ここで、複数本束ねるカーボンファイバーの各々の直径を5〜15μmとしたのは、5μm未満では1本1本のファイバーが弱く、これを束ねて所定の縦長形状に編み込んだヒータ部材とすることが困難となる。また、ファイバーが細いため、所定の抵抗値を得るためのファイバー本数が多くなり実用的でない。また、15μmを超える場合には、柔軟性が悪く複数本束ねたカーボンファイバー束を編み込むことが困難なばかりか、カーボンファイバーが切断され、強度が著しく低下する、といった不具合が生ずるためである。   Here, the diameter of each of the carbon fibers to be bundled is set to 5 to 15 μm. If the diameter is less than 5 μm, the individual fibers are weak, and the heater members are bundled and knitted into a predetermined vertically long shape. It becomes difficult. Further, since the fibers are thin, the number of fibers for obtaining a predetermined resistance value increases, which is not practical. On the other hand, if it exceeds 15 μm, not only is it difficult to weave a plurality of bundles of carbon fibers due to poor flexibility, but also a problem occurs in that the carbon fibers are cut and the strength is significantly reduced.

また、カーボンヒータの不純物を灰分で10ppm以下に制限したのは、不純物が10ppmを超えると、微量の酸素でも酸化され易くなり、このために異常発熱を起し易くなるからである。   The reason why the carbon heater impurity is limited to 10 ppm or less in terms of ash is that if the impurity exceeds 10 ppm, even a very small amount of oxygen is likely to be oxidized, thereby causing abnormal heat generation.

実際、不純物が灰分で10ppmを超える場合には、800℃の大気中で10分間で異常発熱を起こし、窒素中に100ppmの酸素が存在する雰囲気においては800℃で10時間使用すると異常発熱を起こすことが確認されている。   In fact, when the impurities are more than 10 ppm in ash, abnormal heat generation occurs in the air at 800 ° C. for 10 minutes, and in the atmosphere containing 100 ppm oxygen in nitrogen, abnormal heat generation occurs when used at 800 ° C. for 10 hours. It has been confirmed.

一方、不純物が灰分で10ppm以下の場合には、窒素中に100ppmの酸素が存在する雰囲気において800℃で50時間以上使用しても異常発熱を起こさないこと、及び、800℃の大気中においては10分未満であれば異常発熱を起こさないことが確認されている。   On the other hand, when impurities are 10 ppm or less in ash content, abnormal heat generation will not occur even when used at 800 ° C. for 50 hours or more in an atmosphere containing 100 ppm oxygen in nitrogen, and in the atmosphere at 800 ° C. It has been confirmed that abnormal heat generation does not occur if it is less than 10 minutes.

不純物は灰分で3ppm以下であることがより好ましい。この場合には、特に異常発熱を抑える効果が大きくなり、より長寿命化を達成できる。   The impurities are more preferably 3 ppm or less in ash content. In this case, the effect of suppressing abnormal heat generation is particularly great, and a longer life can be achieved.

上記ヒータ部材は、上記5〜15μmのカーボンファイバーを100〜800本を束ねて、この束を3本以上、好ましくは6〜12本束ねてワイヤー形状やテープ形状のような縦長形状に編み込んだものであることが好ましい。   The heater member is formed by bundling 100 to 800 carbon fibers of 5 to 15 μm, and knitting a bundle of 3 or more, preferably 6 to 12 into a vertically long shape such as a wire shape or a tape shape. It is preferable that

カーボンファイバを束ねる本数が100本未満では所定の強度と抵抗値を得るために6〜12束では足りなくなり、編み込みが困難である。また、本数が少ないために部分的な破断に対して編み込みがほぐれ、形状を維持することが困難となる。また、前記本数が800本を超えると、所定の抵抗値を得るために束ねる本数が少なくなり、編み込みによるワイヤー形状の維持が困難となる。   If the number of carbon fibers to be bundled is less than 100, 6 to 12 bundles are insufficient to obtain a predetermined strength and resistance value, and knitting is difficult. Further, since the number is small, weaving is loosened against partial breakage, and it is difficult to maintain the shape. On the other hand, if the number exceeds 800, the number of bundles to obtain a predetermined resistance value decreases, and it becomes difficult to maintain the wire shape by weaving.

さらに、上記ヒータ部材は、1000℃での抵抗値を1〜20Ω/m・本とすることが好ましい。その理由は、一般的な半導体製造装置用加熱装置において、従来からのトランス容量にマッチングさせる必要があるからである。   Further, the heater member preferably has a resistance value at 1000 ° C. of 1 to 20 Ω / m · book. The reason is that it is necessary to match a conventional transformer capacity in a general heating apparatus for a semiconductor manufacturing apparatus.

すなわち、抵抗値が20Ω/m・本を超える場合には、抵抗が大きいためヒータ長を長くとることができず、端子間で熱が奪われて温度むらが生じ易くなる。   That is, when the resistance value exceeds 20 Ω / m · book, the heater length cannot be increased because the resistance is large, and heat is deprived between the terminals, resulting in uneven temperature.

一方、抵抗値が1Ω/m・本未満の場合には、反対に抵抗が低いためヒータ長を必要以上に長くとらなければならず、カーボンワイヤーやカーボンテープのような細長のヒータ部材の組織むらや雰囲気のむらにより温度のばらつきが生じる
恐れが大きくなる。
On the other hand, if the resistance value is less than 1 Ω / m, the resistance is low and the heater length must be longer than necessary. The uneven structure of the elongated heater member such as carbon wire or carbon tape There is a greater risk of variations in temperature due to uneven atmosphere.

尚、上記ヒータ部材の1000℃での電気抵抗値は、上記特性をより高い信頼性で得るためには、2〜10Ω/m・本とすることがより好ましい。   The electrical resistance value at 1000 ° C. of the heater member is more preferably 2 to 10 Ω / m · in order to obtain the above characteristics with higher reliability.

また、カーボンファイバー束を編み込むことによって、断面形状がほぼ円形であるヒータ部材の径を、その長さ方向において一定化することができ、結果、発熱量を長さ方向で安定化させることができる。さらに、この編み込みによって、後述するヒータ部材表面でのカーボンファイバーによる毛羽立ち状態を形成することを可能せしめる。また、このヒータ部材は、発熱されることによって、これ自身熱膨張を起こし、例えば2本の端子間に張られた同ヒータ部材は、もし編み込みのないものであったとしたら、たれが生じ、発熱むらを起こすが、編み込まれていることによってこのような問題が生ずることがない。   In addition, by braiding the carbon fiber bundle, the diameter of the heater member having a substantially circular cross-sectional shape can be made constant in the length direction, and as a result, the heat generation amount can be stabilized in the length direction. . Furthermore, this braiding makes it possible to form a fluffed state by carbon fibers on the surface of the heater member described later. In addition, the heater member generates thermal expansion by being heated. For example, if the heater member stretched between two terminals is not braided, it will sag and generate heat. Although it causes unevenness, such problems do not occur due to being knitted.

第1グループの発明において、カーボンヒータ材料となるカーボンファイバーは従来のC/Cとは異なり、樹脂で固化し一体化したものでないことが好ましい。これはカーボンファイバーのもつフレキシビリティーが損なわれ、また樹脂の熱収縮に伴い、該ファイバーの切断などが生ずるからである。   In the first group of the invention, unlike conventional C / C, it is preferable that the carbon fiber used as the carbon heater material is not solidified and integrated with a resin. This is because the flexibility of the carbon fiber is lost, and the fiber is cut as the resin contracts.

上記ヒータ部材の1本もしくは複数本を配置し、石英ガラス製または透光性アルミナ製の密封形部材に封入し、例えば半導体製造装置用のカーボンヒータを構成することが好ましい。   It is preferable to arrange one or a plurality of the heater members and enclose them in a sealed member made of quartz glass or translucent alumina to constitute, for example, a carbon heater for a semiconductor manufacturing apparatus.

これによって、上記ヒータ部材を酸化性雰囲気、高温雰囲気等の種々の条件での使用可能せしめることができる。   Thereby, the heater member can be used under various conditions such as an oxidizing atmosphere and a high temperature atmosphere.

特に、石英ガラス製とすることによって、より高い高純度化を達成することができ、半導体製造装置用として有効なものとすることができる。   In particular, by using quartz glass, higher purity can be achieved, and it can be effective for a semiconductor manufacturing apparatus.

尚、複数本の上記ヒータ部材を上記密封形部材内に封入するときには、これらを並列に配置することが好ましい。この場合、ヒータ部材を複数本並列に配置するというのは、ヒータ部材の全長に渡って2本以上が、隣接し略平行して、配置することを意味する。これによって、カーボンヒータ全体での電気抵抗値を容易に調整することができるとともに、複数本のヒータ部材が隣接している、つまり長さ方向の多数の箇所で接触した状態が形成されることによって、例え、1本のヒータ部材が所定の部分で部分的な切断等の不具合が生じた場合でも、その近傍で、上記接触する部分が存在することで上記不具合に伴う発熱ムラを防止することができる。   In addition, when enclosing a plurality of the heater members in the sealed member, it is preferable to arrange them in parallel. In this case, arranging a plurality of heater members in parallel means that two or more heater members are arranged adjacent to and substantially parallel to each other over the entire length of the heater member. As a result, the electrical resistance value of the entire carbon heater can be easily adjusted, and a plurality of heater members are adjacent to each other, that is, in a state where they are in contact with each other at a number of locations in the length direction. Even if one heater member has a problem such as partial cutting at a predetermined portion, the presence of the contact portion in the vicinity thereof can prevent uneven heat generation due to the defect. it can.

また、ここでいう密封形部材とは、上記ヒータ部材を石英ガラス製または、透光性アルミナ製材料で物理的に密封する部材を意味することは、もちろんであるが、後述するように、同部材内に、窒素等の非酸化性ガスを流入・流出する構造を付加し、結果、上記ヒータ部材が、外気(空気)と接することを防止した実質的に密封された部材をも意味するものである。   In addition, as used herein, the sealing member means a member that physically seals the heater member with quartz glass or a translucent alumina material. It also means a substantially sealed member in which a non-oxidizing gas such as nitrogen is flowed into and out of the member, and as a result, the heater member is prevented from coming into contact with outside air (air). It is.

前記密封形部材の形状は、二重管形状、直管形状、環状管形状等から選ぶことができる。   The shape of the sealed member can be selected from a double tube shape, a straight tube shape, an annular tube shape, and the like.

このような形状の複数個の石英ガラスまたは透光性アルミナ容器を組み合わせて所定形状のヒーティングゾーンを形成することにより、その形状に合致した被処理物を均一に加熱することができる。   By forming a heating zone having a predetermined shape by combining a plurality of quartz glass or translucent alumina containers having such shapes, it is possible to uniformly heat an object to be processed that matches the shape.

また、上記密封形部材内に窒素等の非酸化性ガスを流入したり、容器内を20torr以下の真空にすることが好ましい。これによって、上記カーボンヒータの劣化を防止し、長寿命化及び均熱性の長時間の持続を可能せしめるからである。   Further, it is preferable that a non-oxidizing gas such as nitrogen flows into the sealed member or the inside of the container is evacuated to 20 torr or less. This is because the carbon heater is prevented from being deteriorated, and it is possible to extend the life and maintain the temperature uniformity for a long time.

次に第2グループの発明の要約を述べる。   Next, the summary of the invention of the second group will be described.

第2グループの発明の目的の1つは発熱ムラが少なく、例えば半導体(ウエハ)等の被加熱体を均一に熱処理することができ、長時間の高温強度を維持でき、高い耐用寿命が得られるカーボンヒータを提供することである。   One of the objects of the invention of the second group is that there is little heat generation unevenness, for example, an object to be heated such as a semiconductor (wafer) can be uniformly heat-treated, high temperature strength can be maintained for a long time, and a high service life can be obtained. It is to provide a carbon heater.

第2グループの発明の他の目的は、カーボンファイバーを複数本束ねたカーボンファイバー束を複数本用いてワイヤー形状やテープ形状のような縦長形状に編み込んだヒータ部材を支持する密封形部材を構成する石英ガラス支持体への応力集中が生じない形態で、封入を確実に実施でき、しかも、上記ヒータ部材を支持する石英ガラス支持体の厚さを自由に設定できるカーボンヒータを提供することである。   Another object of the invention of the second group is to form a sealed member that supports a heater member knitted into a vertically long shape such as a wire shape or a tape shape using a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers. An object of the present invention is to provide a carbon heater in which sealing can be reliably performed in a form in which stress concentration on the quartz glass support does not occur, and the thickness of the quartz glass support that supports the heater member can be freely set.

第2グループの発明のカーボンヒータは、上記ヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体中の上記ヒータ部材の周辺部には中空の空間が形成された構成になっている。   A carbon heater according to a second group of inventions is such that a sealed member enclosing one or more heater members is substantially integrated by a plate-like quartz glass support, and this quartz glass support A hollow space is formed around the heater member in the body.

ここで、実質的に一体化された構成とは、後述するように複数の石英ガラス板の接合面を融着させて、本発明のカーボンヒータを接合剤を用いないで製造した場合に、カーボンヒータ内部のヒータ部材を配置した溝や端子部などの空間が、外部(大気や炉内雰囲気)と融着部で縁切られるようにカーボンヒータ周辺部が融着されており、さらに内部の石英ガラス接触面が全面にわたり融着されている状態を示す。ただし、融着の効果に支障のない程度で未融着部が接触面積に対し30%以下程度ならば存在してもよい。また、この30%以下程度としたのは、ヒーター部材からの放射光が不均一になることを防止するためである。   Here, the substantially integrated configuration means that when the bonding surfaces of a plurality of quartz glass plates are fused and the carbon heater of the present invention is manufactured without using a bonding agent as described later, carbon is used. The peripheral part of the carbon heater is fused so that the space such as the groove and the terminal part where the heater member is placed inside the heater is cut off from the outside (atmosphere or atmosphere in the furnace) by the fused part. The state in which the glass contact surface is fused over the entire surface is shown. However, it may be present as long as the unfused portion is about 30% or less with respect to the contact area to the extent that the fusion effect is not hindered. Further, the reason for setting it to about 30% or less is to prevent the radiation light from the heater member from becoming non-uniform.

これによって、上記ヒータ部材を支持する石英ガラス支持体への応力集中が生じない形態で密着を確実に実施でき、また厚さが5mm以下の低強度の石英ガラス板を用いた場合でも、減圧環境下で石英ガラスに破損が生ずることがない。   As a result, it is possible to reliably perform adhesion in a form in which stress concentration does not occur on the quartz glass support that supports the heater member, and even when a low-strength quartz glass plate having a thickness of 5 mm or less is used, a reduced pressure environment There is no damage to the quartz glass underneath.

尚、上記のヒータ部材周辺部の中空の空間は、後述するようなヒータ部材表面に形成されるカーボンファイバーの毛羽立ち部分が存在する空間となる。   The hollow space around the heater member is a space where there is a fluffed portion of carbon fiber formed on the surface of the heater member as described later.

第2グループの発明のカーボンヒータは、上記石英ガラス支持体が複数枚の石英ガラス板の各々の接合面全体を融着により実質的に一体化したものであり、少なくとも一枚の石英ガラス板の接合面に配線用溝を形成し、そこに上記ヒータ部材を配置することが好ましい。   In the carbon heater of the second group of the invention, the quartz glass support is substantially integrated by fusing the entire joint surfaces of a plurality of quartz glass plates. It is preferable that a wiring groove is formed on the joint surface and the heater member is disposed there.

このように、少なくとも一枚の石英ガラス板の接合面に配線用溝を形成し、この配線用溝内に直径が5〜15μmであるカーボンファイバーを複数本束ねたカーボンファイバー束を複数本用いてワイヤー形状やテープ形状のような縦長形状に編み込み、その含有不純物量を灰分で10ppm以下としたヒータ部材を配置する構成とすることによって、このカーボン質のヒータ部材が、ワイヤー形状やテープ形状のヒータ部材の表面で毛羽立った多数の直径が5〜15μmのカーボンファイバーによって、石英ガラス製の密封形部材と接触する構造となるため、ヒータ部材に通電を行い高温に発熱させた状態にしたとしても、カーボンと石英ガラスの反応が進行し、結果、カーボン質のヒータ部材が劣化するのを防止することができる。(ヒータ部材の表面に毛羽立ったカーボンファイバーは、石英ガラス製の密封形部材と接触するため、接触した部分から珪化が進むが、この径が極めて微細であり、体積が小さいことから、この珪化反応がヒータ部材全体に進行するのを抑制するものと推測される。)つまり、このことは発熱ムラが生ずることを防止し、また耐用寿命の長期化を図れることを意味する。   In this way, a wiring groove is formed on the bonding surface of at least one quartz glass plate, and a plurality of carbon fiber bundles in which a plurality of carbon fibers having a diameter of 5 to 15 μm are bundled in the wiring groove are used. This carbonaceous heater member is made into a wire-shaped or tape-shaped heater by arranging a heater member that is knitted into a vertically long shape such as a wire shape or a tape shape, and the impurity content is 10 ppm or less in ash content. Since it becomes a structure in contact with a sealed member made of quartz glass by carbon fibers having a fuzzy diameter of 5 to 15 μm on the surface of the member, even if the heater member is energized and heated to a high temperature, Reaction of carbon and quartz glass proceeds, and as a result, deterioration of the carbonaceous heater member can be prevented. (Since the fluffy carbon fiber on the surface of the heater member comes into contact with the sealed member made of quartz glass, silicification proceeds from the contacted part, but since this diameter is extremely fine and the volume is small, this silicidation reaction It is presumed that the heat is prevented from proceeding to the entire heater member.) In other words, this means that unevenness in heat generation is prevented and the service life can be extended.

尚、このヒータ部材は、発熱量を調整あるいは品質を安定化するために、1本もしくは2本さらにそれ以上の本数を並列に配置することも可能である。その場合、配線用溝の底部に、この本数に対応する二段溝をさらに設けることが好ましい。   In addition, in order to adjust the calorific value or stabilize the quality, it is possible to arrange one or two or more heater members in parallel. In that case, it is preferable to further provide a two-step groove corresponding to this number at the bottom of the wiring groove.

第2グループの発明のカーボンヒータは、上記ヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体の上記ヒータ部材の周辺部には中空の空間が形成された構成になっているカーボンヒータであって、上記石英ガラス支持体が2枚の石英ガラス板を融着したものであり、少なくとも1枚の石英ガラス板の接合面に所定深さの配線用溝が形成されており、上記深さ分を含まない各々の石英ガラス板の厚さが略同一となる構成になっている。これによって、均等に放熱することができる。   A carbon heater according to a second group of inventions is such that a sealed member enclosing one or more heater members is substantially integrated by a plate-like quartz glass support, and this quartz glass support A carbon heater having a structure in which a hollow space is formed around the heater member of the body, wherein the quartz glass support is formed by fusing two quartz glass plates, and at least 1 A wiring groove having a predetermined depth is formed on the bonding surface of the quartz glass plates, and the thickness of each quartz glass plate not including the depth is substantially the same. Thereby, heat can be dissipated evenly.

また、第2グループの発明の他のカーボンヒータは、上記ヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体の上記ヒータ部材の周辺部には中空の空間が形成された構成になっているカーボンヒータであって、上記石英ガラス支持体が厚さの異なる2枚の石英ガラス板を融着したものであり、少なくとも1枚の石英ガラス板の接合面に所定深さの配線用溝が形成されており、上記深さ分を含まない一方の石英ガラス板の厚さが他方の厚さの1/2以下となる構成になっている。これによって、一方に対しての放熱を大きくすることができる。上記2つの形態のカーボンヒータは、これを配置する場所によって、適宜、いずれかを選択することができる。   According to another carbon heater of the second group of the invention, a sealed member in which one or a plurality of the heater members are enclosed is substantially integrated by a plate-like quartz glass support, A carbon heater having a structure in which a hollow space is formed around the heater member of the quartz glass support, wherein the quartz glass support fuses two quartz glass plates having different thicknesses. A wiring groove having a predetermined depth is formed on the joint surface of at least one quartz glass plate, and the thickness of one quartz glass plate not including the depth is the thickness of the other. It is the structure which becomes 1/2 or less. Thereby, the heat dissipation with respect to one side can be enlarged. One of the two types of carbon heaters can be selected as appropriate depending on the place where the carbon heater is disposed.

さらに第2グループの発明のカーボンヒータは上記配線用溝が、その長さ方向に垂直な断面形状の少なくとも下方側に湾曲形状を有し、かつ、つや出し処理されていることがより好ましい。もちろん、横断面全体を湾曲形状にしても良い。   Further, in the carbon heater of the second group of the invention, it is more preferable that the wiring groove has a curved shape at least on the lower side of the cross-sectional shape perpendicular to the length direction and is polished. Of course, the entire cross section may be curved.

これにより、複数枚の石英ガラス板を融着一体化する際に、配線用溝の横断面形状が熱変形しカーボンワイヤと面接触するのを極力防止することができ、石英ガラスとカーボンの反応に伴うカーボンワイヤの劣化を防止することができる。   As a result, when a plurality of quartz glass plates are fused and integrated, it is possible to prevent the cross-sectional shape of the wiring groove from being thermally deformed and coming into surface contact with the carbon wire as much as possible. It is possible to prevent the deterioration of the carbon wire accompanying the above.

これは、上記湾曲形状によって、溝内部に湾曲形状の曲率を持つことにより応力を分散することができ、溝内部の変形がおさえられることに起因する。   This is due to the fact that the curved shape allows the stress to be dispersed by having the curvature of the curved shape inside the groove, and the deformation inside the groove is suppressed.

また、同熱変形に伴う石英ガラス支持体の内部歪みの蓄積を抑制することができ、この割れ等の不具合を防止することができる。さらには、この面接触に伴うヒータ部材からの発熱量の吸収による、当該カーボンヒータとしての発熱ムラを防止することができる。   Moreover, accumulation of internal strain of the quartz glass support accompanying the thermal deformation can be suppressed, and problems such as cracks can be prevented. Furthermore, unevenness of heat generation as the carbon heater due to absorption of heat generated from the heater member due to the surface contact can be prevented.

尚、上記配線用溝を例えば酸水素バーナーによって所定時間あぶることによってつや出し処理するのは、石英ガラス板に配線用溝を機械加工によって形成したままの多少の凹凸が多数存在する通常の状態では、当該カーボンヒータを発熱させ配線用溝が加熱された場合に、上記凹凸のうち、特に凸部において、極部加熱状態となり、まばらにつや出し処理がなされたような構造となってしまい、当該カーボンヒータによる加熱ムラが生じてしまうことから、これを防止することを意図している。   In addition, the above-mentioned wiring groove is polished by, for example, oxyhydrogen burner for a predetermined time, in a normal state where there are a number of irregularities with the wiring groove formed on the quartz glass plate by machining. When the carbon heater generates heat and the wiring groove is heated, among the above-described unevenness, particularly in the convex portion, the extreme portion is heated, resulting in a structure that is sparsely polished. It is intended to prevent this from occurring due to uneven heating.

第2グループの発明のカーボンヒータは上記配線用溝内部を減圧又は非酸化性ガス雰囲気に保った状態で融着させたものであることが好ましい。これは、カーボンワイヤの製造時における酸化劣化を防止するためである。   The carbon heater of the second group of the invention is preferably a carbon heater fused in a state where the inside of the wiring groove is kept in a reduced pressure or non-oxidizing gas atmosphere. This is to prevent oxidative degradation during the production of the carbon wire.

第2グループの発明の他のカーボンヒータは、上記ヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体の上記ヒータ部材の周辺部には中空の空間が形成された構成になっているカーボンヒータであって、上記配線用溝が、その長さ方向に垂直な断面形状が全体的に「凸」の字状となる構成になっている。   According to another carbon heater of the second group of the invention, a sealed member enclosing one or more heater members is substantially integrated by a plate-like quartz glass support. A carbon heater having a structure in which a hollow space is formed in the periphery of the heater member of the glass support, wherein the wiring groove has a cross-sectional shape perpendicular to the length direction as a whole. It has a configuration of a “convex” shape.

これにより、カーボンワイヤを配線用溝に配置する際に、同ワイヤが上方に浮き上がるのを防止することができ、作業性を良好とすることができる。また、溝周囲に、特に溝の上辺(上板)のたわみによる熱歪を緩和することが可能となる。   Thereby, when arrange | positioning a carbon wire in the groove | channel for wiring, it can prevent that the wire floats upwards, and can make workability | operativity favorable. Further, it is possible to alleviate thermal distortion due to the deflection of the upper side (upper plate) of the groove, particularly around the groove.

尚ここで「凸」の字状とは、横長の長方形の上辺のほぼ中央部上方に、この上辺よりも一辺の長さが短い正方形もしくは、この上辺よりも上辺が短い縦長の長方形を結合したような形状を意味する。   Here, the “convex” character shape is a shape in which a square whose one side is shorter than the upper side or a vertically long rectangle whose upper side is shorter than the upper side is connected to the upper part of the upper side of the horizontally long rectangle. It means such a shape.

また、第2グループの発明のカーボンヒータは、第1の石英ガラス板に配線用溝を形成し、第2の石英ガラス板に配線用溝と対になる幅狭の挿入用溝もしくは貫通スリットを形成し、第1・第2石英ガラス板を溝同士が対向するように接合し、第2石英ガラス板の表面を研磨又は研削して挿入用溝もしくは貫通スリットの底部を削除することによりこれを挿入用窓として露出させ、そこから第1の石英ガラス板の配線用溝内にヒータ部材を押し込み、研磨面又は研削面に第3の石英ガラス板を合わせ、しかる後に3枚の石英ガラス板を融着させ溝以外の部分全面を実質的に一体化させることにより、上記配線用溝をその長さ方向に垂直な断面形状が全体的に「凸」の字状にしていることが好ましい。   In the carbon heater of the second group of the invention, a wiring groove is formed in the first quartz glass plate, and a narrow insertion groove or through slit that is paired with the wiring groove is formed in the second quartz glass plate. Forming and joining the first and second quartz glass plates so that the grooves face each other, and polishing or grinding the surface of the second quartz glass plate to remove the bottom of the insertion groove or through slit. It is exposed as an insertion window, and a heater member is pushed into the wiring groove of the first quartz glass plate from there, and the third quartz glass plate is aligned with the polished or ground surface, and then three quartz glass plates are attached. It is preferable that the cross-sectional shape perpendicular to the length direction of the wiring groove is entirely “convex” by fusing and substantially integrating the entire surface other than the groove.

これによって、上記作業性の良好化及び上記熱歪の緩和をより確実にせしめることができる。   As a result, the workability can be improved and the thermal strain can be alleviated more reliably.

第2グループの発明の他のカーボンヒータは、上記ヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体の上記ヒータ部材の周辺部には中空の空間が形成された構成になっているカーボンヒータであって、石英ガラス支持体が、不透明石英ガラス層を有する構成になっている。   According to another carbon heater of the second group of the invention, a sealed member enclosing one or more heater members is substantially integrated by a plate-like quartz glass support. The carbon heater has a structure in which a hollow space is formed around the heater member of the glass support, and the quartz glass support has an opaque quartz glass layer.

また、上記の複数枚の石英ガラス板のうちの1枚が不透明石英ガラス板であってもよい。   Further, one of the plurality of quartz glass plates may be an opaque quartz glass plate.

これによって、カーボンヒータで加熱したくない側への放熱を上記不透明石英ガラス層によって防止することができる。   Thus, the opaque quartz glass layer can prevent heat radiation to the side that is not desired to be heated by the carbon heater.

第2グループの発明の他のカーボンヒータは、上記ヒータ部材と、少なくとも片面が鏡面のカーボン製反射板とが、板状の石英ガラス支持体に封入されている構成になっている。   In another carbon heater of the second group of the invention, the heater member and a carbon reflector having at least one mirror surface are enclosed in a plate-like quartz glass support.

尚、上記カーボン製反射板は、上記ヒータ部材と対向する側の面が鏡面となっていることが好ましい。   The carbon reflector preferably has a mirror surface on the side facing the heater member.

この時、3枚の石英ガラス板を用いる場合には、石英ガラス板の2つの接合面に設けた配線用溝と反射板設定座ぐりに、それぞれヒータ部材と少なくとも片面が鏡面のカーボン製反射板を配置し、石英ガラス板の接合部を融着により実質的に一体化することが好ましい。   At this time, when three quartz glass plates are used, a heater member and a reflector made of carbon having at least one mirror surface are provided on the wiring groove and the reflector setting spot provided on the two joining surfaces of the quartz glass plate. It is preferable that the joint portion of the quartz glass plate is substantially integrated by fusion.

尚、この場合のより好ましい形態は、次のとおりである。つまり、第1の石英ガラス板の上面に反射板設定座ぐりを形成し、この座ぐり部に少なくとも上面が鏡面のカーボン製反射板を配置し、また第2の石英ガラス板の上面に上記配線用溝を形成し、この溝部に上記ヒータ部材を配置し、上記第1の石英ガラス板の上に上記第2の石英ガラス板を重ね、さらに、その上に第3の石英ガラス板を重ね合せた後、各々接する部分を融着により、実質的に一体化させたものである。   In this case, a more preferable form is as follows. That is, a reflector setting counterbore is formed on the upper surface of the first quartz glass plate, a carbon reflector having at least an upper surface is disposed on the counterbore portion, and the wiring is formed on the upper surface of the second quartz glass plate. The heater member is disposed in the groove, the second quartz glass plate is overlaid on the first quartz glass plate, and the third quartz glass plate is overlaid thereon. After that, the portions that contact each other are substantially integrated by fusion.

これによって、反射板方向への放熱をおさえ、一方向の面に放熱を多くすることができる。さらに反射板の存在により放熱される熱が均一に畜熱分散され、ヒータ面内の温度分布を均一にすることができる。   As a result, heat dissipation in the direction of the reflector can be suppressed, and heat dissipation can be increased on the surface in one direction. Furthermore, the heat dissipated due to the presence of the reflector is uniformly dispersed by the stock heat, and the temperature distribution in the heater surface can be made uniform.

特にカーボンを選択した理由は、カーボン材が純化処理が容易であり、その高純度化されたカーボン材を用いることによって不純物の拡散によるヒータ部材及び被処理物への金属汚染を防止することができるからである。   The reason for selecting carbon in particular is that the carbon material is easy to purify, and by using the highly purified carbon material, metal contamination of the heater member and the object to be processed due to diffusion of impurities can be prevented. Because.

また、第2グループの発明のカーボンヒータは、上記ヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体の上記ヒータ部材の周辺部には中空の空間が形成された構成になっているカーボンヒータであって、全体的に板状の石英ガラス支持体に少なくとも片面が鏡面のカーボン製反射板が封入されている反射板状体を、上記密封形部材に隣接配置する構成になっている。   A second aspect of the invention is a carbon heater in which a sealed member in which one or a plurality of heater members are enclosed is substantially integrated by a plate-like quartz glass support. A carbon heater having a structure in which a hollow space is formed in the peripheral portion of the heater member of the glass support, the carbon reflector having at least one mirror surface on the entire plate-like quartz glass support Is configured to be disposed adjacent to the sealed member.

これによって、上記反射板状体が輻射をさえぎり、および反射させることにより加熱させたくない部分を保護することが極めて容易に達成できる。   This makes it very easy to protect the portion that the reflecting plate member does not want to be heated by blocking and reflecting the radiation.

第2グループの発明のカーボンヒータにおいては、上述のいずれの形態においても、板状の石英ガラス支持体の少なくとも一つの外表面に、断面半円状もしくは台形状の凸部が形成されており、この外表面がつや出し処理されていることが好ましい。尚、上記凸部は、ヒータ面上方から見た場合には、これがストライプ状もしくは同心部状に形成されているか、あるいは、これが格子状に多数形成された状態となっている。これにより本発明のヒータ部材の如き線状発熱体によるヒータ面上方への発熱を、光の散乱によって均一化せしめるといったプリズム効果が得られる。   In the carbon heater of the second group of the invention, in any of the forms described above, a convex part having a semicircular or trapezoidal cross section is formed on at least one outer surface of the plate-like quartz glass support, This outer surface is preferably polished. When viewed from above the heater surface, the convex portion is formed in a stripe shape or a concentric portion shape, or is formed in a large number in a lattice shape. As a result, a prism effect can be obtained in which heat generation upward of the heater surface by a linear heating element such as the heater member of the present invention is made uniform by light scattering.

通常、同様の効果を得るために、ヒータ面をサンドラスト処理する方法が採用されるが、この場合には、表面が砂目状となっており、この表面からの放熱が抑制され、石英ガラス自身に熱を蓄積してしまいエネルギー効率が低下してしまう。この意味で、上記つや出し処理されていることは、重要な事項である。 Usually, in order to obtain a similar effect, a method of sand blanking last handle heater surface is employed, in this case, the surface has a roughened shape, the heat radiation from the surface is suppressed, quartz Heat accumulates in the glass itself and energy efficiency decreases. In this sense, it is an important matter that the glossing process is performed.

さらに、第2グループの発明のカーボンヒータにおいては、ヒータ部材もしくはヒータ部材の両端に接続された端子部が、ヒータ部材の形成するヒータ面に対して実質的に垂直に引き出すことが好ましい。端子部をヒータ面と垂直に引き出すことにより、石英ガラス支持体の融着面を大きくすることができ、強度の点で有利となる。また、この構成によれば、下方側もしくは上方側から被処理物である一枚もしくは複数枚の半導体ウエハを加熱する半導体製造装置に、上記カーボンヒータを容易に配置することができる。尚、この第2グループの発明のカーボンヒータの端子部における具体的構成については、後述する第4グループの発明を適用することができる。   Furthermore, in the carbon heater of the second group of the invention, it is preferable that the heater member or the terminal portions connected to both ends of the heater member are pulled out substantially perpendicular to the heater surface formed by the heater member. By pulling out the terminal portion perpendicular to the heater surface, the fused surface of the quartz glass support can be enlarged, which is advantageous in terms of strength. Moreover, according to this structure, the said carbon heater can be easily arrange | positioned to the semiconductor manufacturing apparatus which heats the one or several semiconductor wafer which is a to-be-processed object from the downward side or the upper side. The specific structure of the terminal portion of the carbon heater according to the second group of inventions can be applied to the fourth group of inventions described later.

第2グループの発明の他のカーボンヒータは、上記ヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体の上記ヒータ部材の周辺部には中空の空間が形成された構成になっているカーボンヒータであって、上記密閉形部材が、湾曲形である構成となっている。   According to another carbon heater of the second group of the invention, a sealed member enclosing one or more heater members is substantially integrated by a plate-like quartz glass support. The carbon heater has a structure in which a hollow space is formed in the peripheral portion of the heater member of the glass support, and the sealed member has a curved shape.

これにより、発熱ムラが少なく、長時間の高温強度を維持でき、高い耐用寿命が得られ、かつ例えば、半導体(ウエハ)等の被加熱体を配列したロッドを均一に熱処理することのできる例えば円筒の2分割形状である湾曲形カーボンヒータを提供することができる。   Thereby, for example, a cylinder that can generate heat unevenness, can maintain a high temperature strength for a long time, has a long service life, and can uniformly heat a rod on which a heated body such as a semiconductor (wafer) is arranged. It is possible to provide a curved carbon heater having a two-part shape.

上記湾曲形のカーボンヒータにおいては、上記板状の石英ガラス支持体に上記ヒータ部材を封入し、ヒータ部材の周辺部以外の石英ガラス支持体を実質的に一体化した板状のカーボンヒータを、所定形状の断面を有するカーボン製下型と、それと対になるカーボン製上型との間で押圧して、板状のカーボンヒータを所定形状に湾曲させたものであることが好ましい。   In the curved carbon heater, a plate-like carbon heater in which the heater member is enclosed in the plate-like quartz glass support and the quartz glass support other than the peripheral portion of the heater member is substantially integrated, It is preferable that a plate-like carbon heater is curved into a predetermined shape by pressing between a carbon lower die having a predetermined cross section and a carbon upper die paired therewith.

これにより、上記ヒータ部材が石英ガラス支持体に密封されており、上記ヒータ部材の周辺部以外の石英ガラス支持体が実質的に一体化した湾曲形カーボンヒータが得られ、これによって、上記ヒータ部材を支持する石英ガラス支持体への応力集中が生じない形態で封着を確実に実施でき、また厚さが5mm以下の低強度の石英ガラス板を用いた場合でも、減圧環境下で石英ガラスに破損が生ずることがない。   As a result, a curved carbon heater in which the heater member is hermetically sealed to the quartz glass support and the quartz glass support other than the peripheral portion of the heater member is substantially integrated is obtained, whereby the heater member is obtained. Can be reliably sealed in a form that does not cause stress concentration on the quartz glass support that supports the quartz glass, and even when a low-strength quartz glass plate with a thickness of 5 mm or less is used, No damage will occur.

上記カーボン製上型・下型が半円形の断面形状を有しており、実質的に半円筒形のカーボンヒータとすることが好ましく、さらに、上記板状の石英ガラス支持体に少なくとも一面が鏡面のカーボン製反射板を、上記ヒータ部材とは独立して封入することがより好ましい。これは非加熱部を保護するためであり、輻射を食い止めることができるためである。   The upper and lower molds made of carbon have a semicircular cross-sectional shape, preferably a substantially semi-cylindrical carbon heater. Further, at least one surface of the plate-like quartz glass support is a mirror surface More preferably, the carbon reflector is enclosed independently of the heater member. This is to protect the non-heated part and to stop radiation.

なお、第2グループの発明のカーボンヒータは、半導体の酸化、拡散、CVDなどの熱処理装置のみならず、洗浄装置などにおいても何かしらの加熱を伴う半導体製造装置であれば、あらゆるものに適応可能である。   The carbon heater of the invention of the second group can be applied not only to semiconductor heat treatment devices such as oxidation, diffusion, and CVD, but also to any kind of semiconductor manufacturing device that involves some heating in a cleaning device or the like. is there.

次に、第3グループの発明の要約を述べる。   Next, the summary of the invention of the third group will be described.

前述の第1グループの発明は、カーボンファイバーを編み込んだ柔軟なカーボンワイヤを用いてカーボンヒータを構成するものである。このように、カーボンワイヤを用いることにより、ヒータの形状に自由度が生れ、設計面や取り扱い上の利点を享受できる。   In the first group of inventions described above, a carbon heater is formed using a flexible carbon wire in which carbon fibers are knitted. Thus, by using a carbon wire, a freedom degree arises in the shape of a heater and it can enjoy the advantage on a design surface or handling.

第3グループの発明は、このような利点を持つカーボンワイヤ状のヒータ部材を用い、耐用寿命を大幅に向上でき、また急速昇降温が可能な例えば半導体製造装置用のカーボンヒータを提供することを目的としている。   The invention of the third group is to provide a carbon heater for, for example, a semiconductor manufacturing apparatus that can significantly improve the service life and can rapidly increase / decrease temperature by using a carbon wire heater member having such advantages. It is aimed.

第3グループの発明のカーボンヒータは、直径が5〜15μmであるカーボンファイバーを複数本束ねたカーボンファイバー束を複数本用いてワイヤー形状やテープ形状のような縦長形状に編み込み、その含有不純物量を灰分で10ppm以下としたヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体中の上記ヒータ部材の周辺部には中空の空間が形成された構成になっているカーボンヒータであって、上記密閉形部材が配線用溝を有する石英ガラス製の設定部材及び石英ガラス製の蓋部材からなり、上記配線溝に上記ヒータ部材を配置した構成からなっている。   The carbon heater of the third group of the invention uses a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers having a diameter of 5 to 15 μm and braids them into a vertically long shape such as a wire shape or a tape shape, and the amount of impurities contained therein A sealed member in which one or a plurality of heater members having an ash content of 10 ppm or less is enclosed is substantially integrated by a plate-like quartz glass support, and the heater in the quartz glass support A carbon heater having a structure in which a hollow space is formed in the peripheral part of the member, wherein the sealed member is composed of a setting member made of quartz glass having a groove for wiring and a lid member made of quartz glass, The heater member is arranged in the wiring groove.

この構造によって発熱ムラが少なく、つまり被加熱体である半導体(ウエハ)を均一に熱処理することができ、かつ長時間の高温強度を維持でき、高い耐用寿命が得られる。   With this structure, there is little unevenness in heat generation, that is, the semiconductor (wafer) that is the object to be heated can be uniformly heat-treated, and the high-temperature strength for a long time can be maintained, and a high service life can be obtained.

上記する複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いてワイヤー状に編み込んだヒータ部材の表面を直径5〜15μmのカーボンファイバーでも毛羽立った構造とすることによって、石英ガラスとカーボンの実質的接触面積が極端に少なくなり(実質的には、ヒータ部材のうち石英がラスと接しているのは、この毛羽立ったカーボンファイバー部分のみである)、その結果1350℃程度でも相当長時間使用できることが確認されている。   By making the surface of the heater member knitted into a wire shape using a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers as described above with a fuzzy structure even with carbon fibers having a diameter of 5 to 15 μm, The surface contact area is extremely small (substantially, only the fluffy carbon fiber part of the heater member is in contact with the lath), and as a result, it can be used for a considerable time even at about 1350 ° C. Has been confirmed.

第3グループの発明のカーボンヒータは、上記設定部材及び蓋部材のいずれかもしくは両者の外周部に防炎堤を形成し、この防炎堤以外の対向面が0.2〜1.0mmの間隔において配置され、両部材を石英ガラスの肉盛り法によって、一体化していることが好ましい。   In the carbon heater of the third group of the invention, a flame barrier is formed on the outer peripheral portion of either the setting member or the lid member, or the opposing surface other than the flame barrier is spaced by 0.2 to 1.0 mm. It is preferable that the two members are integrated by a quartz glass overlaying method.

なお、石英ガラス製の設定部材及び蓋部材の被せにあたっては、両部材を所定の間隔で略平行に離した状態で石英ガラスの肉盛り溶着法によって隙間のないよう固定することが好ましい。これは、両部材を、例えば略全域で当接させた状態で外周部を溶着もしくは肉盛り溶着すると、この際の外周部加熱に伴い、両部材もしくはいずれかの部材に亀裂やクラックが生ずるのに対し、上記固定によれば、このような問題が生ずることなく強固な被せが可能となる。これは、加工時の部分加熱による熱応力の集中及び、設定部材及び蓋部材の温度差による反り発生を緩和したことによると考えられる。   In addition, when covering the setting member and the lid member made of quartz glass, it is preferable that both members are fixed so as not to have a gap by a quartz glass build-up welding method in a state in which both members are separated from each other in a substantially parallel manner. This is because, for example, when the outer peripheral portion is welded or build-up welded in a state where both members are in contact with each other over almost the entire region, cracking or cracking occurs in both members or one of the members due to heating of the outer peripheral portion at this time. On the other hand, according to the above fixing, it is possible to firmly cover without such a problem. This is considered to be due to the relief of the concentration of thermal stress due to partial heating during processing and the occurrence of warpage due to the temperature difference between the setting member and the lid member.

また、上記好ましい形態によって防炎堤が存在することで、加熱源である酸水素バーナーの炎が両部材間に入り込み、内部のカーボンヒータを酸化するのを極力防止することができる。また、設定部材及び蓋部材の間隔を全域にわたって均一にすることができ、さらには設定部材及び蓋部材の外周部にSiO2 微粉による白色の曇りが発生するのを防止し、本カーボンヒータの均熱性を向上せしめることができる。 In addition, the presence of the fire barrier in the above preferred embodiment can prevent the flame of the oxyhydrogen burner as a heating source from entering between the two members and oxidizing the internal carbon heater as much as possible. In addition, the distance between the setting member and the lid member can be made uniform over the entire region, and further, white fogging due to SiO 2 fine powder can be prevented from occurring on the outer periphery of the setting member and the lid member. Thermal properties can be improved.

尚、この防炎堤の相手部材と接する面は、全面において加熱・溶着されていることが重要である。溶着されないで単に面接触している部分が存在すると上記のような亀裂やクラックが溶着時に生じてしまうからである。   In addition, it is important that the surface of the flame barrier that contacts the mating member is heated and welded on the entire surface. This is because cracks and cracks such as those described above will occur at the time of welding if there is a portion that is merely in surface contact without being welded.

この上記防炎堤は、所定形状の両部材を形成する際に一体的に設けてもよく、各々の外周部に後から溶接して設けてもよい。   This flame barrier may be provided integrally when forming both members of a predetermined shape, or may be provided by welding to each outer peripheral portion later.

そして両部材の溶着されない部分の対向面は0.2〜1.0mmの間隔において配置されることが好ましい。これは0.2mm未満では両部材の亀裂やクラックを十分に防止することができず、また1mmを超える場合には、溶着用火炎が入り込み易くカーボンヒータが酸化される恐れが大きくなるからである。   And it is preferable that the opposing surface of the part which is not welded of both members is arrange | positioned in the space | interval of 0.2-1.0 mm. This is because if the thickness is less than 0.2 mm, cracks and cracks of both members cannot be sufficiently prevented, and if the thickness exceeds 1 mm, the welding flame easily enters and the carbon heater is likely to be oxidized. .

また、第3グループの発明のカーボンヒータにおいては、上記ヒータ部材の両端にカーボンターミナルを配置し、カーボンターミナルに電極を接続し、この電極のカーボンターミナル側の部分に石英ガラスパイプを被せた構成とすることが好ましい。   Further, in the carbon heater of the third group of the invention, a carbon terminal is disposed at both ends of the heater member, an electrode is connected to the carbon terminal, and a portion of the electrode on the carbon terminal side is covered with a quartz glass pipe; It is preferable to do.

カーボンターミナルを設けることにより、カーボンターミナルをヒータ部材より低温にして、若干の酸素が入り込んでも、酸化防止することができる。特に、カーボンターミナルの周辺から非酸化性ガスを導入すれば、酸化防止をより徹底することができる。   By providing the carbon terminal, the carbon terminal can be kept at a lower temperature than the heater member, and oxidation can be prevented even if some oxygen enters. In particular, if a non-oxidizing gas is introduced from the periphery of the carbon terminal, the oxidation can be prevented more thoroughly.

また、上記ヒータ部材と金属電極が直接接触していると、金属汚染によりヒータ部材のライフが著しく低下する。カーボンターミナルを介在させることは、ヒータ部材と金属電極との接触をなくす意味からも役立つ。   Further, when the heater member and the metal electrode are in direct contact, the life of the heater member is significantly reduced due to metal contamination. Interposing the carbon terminal is also useful in terms of eliminating contact between the heater member and the metal electrode.

金属電極の少なくともカーボンターミナル側の部分には、石英ガラスパイプを被せることが好ましい。そして、金属電極や端子の露出部は、炉外に配置する。このように、金属電極の炉内部分を石英ガラスで覆うことによって、金属電極からのFeやAl等の不純物汚染を低減することができる。   It is preferable to cover a quartz glass pipe on at least the carbon terminal side portion of the metal electrode. And the exposed part of a metal electrode or a terminal is arrange | positioned outside a furnace. Thus, by covering the furnace part of the metal electrode with quartz glass, impurity contamination such as Fe or Al from the metal electrode can be reduced.

このカーボンターミナルの不純物濃度は、灰分で10ppm以下であることが好ましい。これによりヒータ部材の劣化をおさえ、長寿命にすることができるからである。   The impurity concentration of the carbon terminal is preferably 10 ppm or less in terms of ash. This is because the heater member can be prevented from deteriorating and the life can be extended.

ヒータ内に窒素やアルゴンガス等の非酸化性ガスを導入する構成にすることが好ましい。このように非酸化性ガスを導入することによって、ヒータ部材の酸化を防止することができる。   It is preferable that a non-oxidizing gas such as nitrogen or argon gas is introduced into the heater. By introducing the non-oxidizing gas in this way, it is possible to prevent the heater member from being oxidized.

カーボンターミナルに接続する金属電極も高温になると不純物を発生する可能性があるが、金属電極を収容する石英ガラスパイプから非酸化性ガスを排出すれば、不純物の発生を防止できる。   Although the metal electrode connected to the carbon terminal may also generate impurities when the temperature is high, the generation of impurities can be prevented by discharging the non-oxidizing gas from the quartz glass pipe containing the metal electrode.

更に、また、上記金属電極としてはモリブデン(Mo)を用いることが好ましい。これはMoの熱膨張係数がカーボン材のそれと近似し、カーボンターミルとの良好な接合が高温時でも維持されるからである。   Furthermore, it is preferable to use molybdenum (Mo) as the metal electrode. This is because the thermal expansion coefficient of Mo approximates that of the carbon material, and good bonding with the carbon termill is maintained even at high temperatures.

ヒータ部材としては、第1グループの発明で述べたヒータ部材を用いることが好ましい。   As the heater member, it is preferable to use the heater member described in the invention of the first group.

設定部材と蓋部材を平板状にすることが可能である。   It is possible to make the setting member and the lid member flat.

更に、第3グループの発明のカーボンヒータにおいては、カーボン製のヒータ部材と石英ガラス製の設定部材との反応をより確実に抑制するためには、配置用溝にアルミナ粉を配置し、アルミナ粉の焼結体によってヒータ部材を支持することが好ましい。   Furthermore, in the carbon heater of the third group of the invention, in order to more reliably suppress the reaction between the carbon heater member and the quartz glass setting member, alumina powder is arranged in the arrangement groove, The heater member is preferably supported by the sintered body.

これによってカーボンヒータの使用最高温度をより確実に1350℃程度まで引き上げることができる。   As a result, the maximum operating temperature of the carbon heater can be more reliably raised to about 1350 ° C.

上記アルミナ粉の焼結体は、設定凹所にアルミナ粉及び上記ヒータ部材を設置した後に1300℃程度の熱処理を行うことによって形成される。   The sintered body of alumina powder is formed by performing heat treatment at about 1300 ° C. after the alumina powder and the heater member are installed in the setting recess.

また、アルミナ粉の鉄不純物濃度は、鉄汚染によってカーボン質のヒータ部材のライフが低下しないように、5ppm以下に抑えることが好ましい。   Further, the iron impurity concentration of the alumina powder is preferably suppressed to 5 ppm or less so that the life of the carbonaceous heater member does not decrease due to iron contamination.

なお、第3グループの発明のカーボンヒータは、半導体の酸化、拡散、CVDなどの熱処理装置のみならず、洗浄装置などにおいても何かしらの加熱を伴う半導体製造装置であれば、あらゆるものに適応可能である。   The carbon heater of the invention of the third group can be applied not only to heat treatment devices such as semiconductor oxidation, diffusion, CVD, etc., but also to any device as long as it is a semiconductor manufacturing device that involves some heating in a cleaning device. is there.

次に、第4グループの発明の要約を述べる。   Next, the summary of the invention of the fourth group will be described.

第4グループの発明は、前述のような利点を持つカーボンワイヤ状のヒータ部材を用い、耐用寿命を大幅に向上でき、また急速昇降温が可能な例えば半導体製造装置用のカーボンヒータを提供することを目的としている。   The invention of the fourth group provides a carbon heater for, for example, a semiconductor manufacturing apparatus that uses a carbon wire heater member having the advantages as described above, can greatly improve the service life, and can rapidly increase and decrease the temperature. It is an object.

また、第4グループの発明は、上記ヒータ部材とワイヤー状カーボンからなる端子線とを、さらには、ワイヤー状カーボンからなる端子線と金属製の端子線とを確実にかつ容易に接続できるシンプルな構造のカーボンヒータを提供することを目的としている。   In addition, the invention of the fourth group is a simple one that can reliably and easily connect the heater member and the terminal wire made of wire-like carbon, and further, the terminal wire made of wire-like carbon and the metal terminal wire. The object is to provide a carbon heater having a structure.

第4グループの発明のカーボンヒータは、上記ヒータ部材を1本もしくは複数本封入した密封形部材が、板状の石英ガラス支持体によって実質的に一体化されたものであって、この石英ガラス支持体の上記ヒータ部材の周辺部には中空の空間が形成された構成になっているカーボンヒータであって、上記ヒータ部材もしくはヒータ部材の端子部が、ヒータ部材の形成するヒータ面に対して実質的に垂直に引き出される構成となっている。   A carbon heater according to a fourth group of inventions is such that a sealed member enclosing one or more heater members is substantially integrated by a plate-like quartz glass support, and the quartz glass support A carbon heater having a structure in which a hollow space is formed in a peripheral portion of the heater member of the body, wherein the heater member or the terminal portion of the heater member is substantially with respect to a heater surface formed by the heater member. Thus, the structure is drawn vertically.

このような構成によれば、下方側もしくは上方側から被処理物である半導体ウエハを加熱する半導体製造装置に、上記カーボンヒータを容易に配置することができ、かつ面内均熱性に優れ、耐用寿命が長いヒータとすることができる。   According to such a configuration, the carbon heater can be easily disposed in a semiconductor manufacturing apparatus that heats a semiconductor wafer that is an object to be processed from the lower side or the upper side, and has excellent in-plane heat uniformity and durability. A heater having a long life can be obtained.

上記のヒータ部材の好ましい形態は、第1グループの発明で述べたとおりである。   The preferred form of the heater member is as described in the first group of inventions.

上記第4グループの発明のカーボンヒータの1つの形態は、上記ヒータ部材自身がヒータ部材の形成するヒータ面に対して実質的に垂直に引き出される構造のものである(以下、これを第4−1グループの発明として詳述する)が、この場合には、ヒータ部材の両端をヒータ面の反対側に突出させ、突出したヒータ部材を石英ガラス支持板に当接する石英ガラス筒内に配列された複数のもしくは少なくとも端部が複数に分割されたワイヤー状カーボンにより固定し、上記石英ガラス筒に石英ガラス管を被せて上記密閉形部材を密封した構成とすることが好ましい。   One form of the carbon heater of the invention of the fourth group has a structure in which the heater member itself is pulled out substantially perpendicular to the heater surface formed by the heater member (hereinafter referred to as 4- In this case, both ends of the heater member are protruded to the opposite side of the heater surface, and the protruded heater members are arranged in a quartz glass cylinder that abuts the quartz glass support plate. It is preferable that a plurality of or at least end portions are fixed with a plurality of wire-like carbon, and the quartz glass tube is covered with a quartz glass tube to seal the sealed member.

これにより、ヒータ部材を上記ワイヤー状カーボンからなる端子線に強固にかつ確実に接線することができる。また上記ヒータ部材が同種の複数本のワイヤー状カーボンに接し、電気的接触抵抗を下げるため、スパーク等の不具合を防止することができる。   Thereby, a heater member can be tangent firmly and reliably to the terminal wire which consists of said wire-like carbon. Further, since the heater member is in contact with the same type of wire-like carbon and lowers the electrical contact resistance, problems such as sparks can be prevented.

さらには、このような構成によれば、後述するようなヒータ部材の端部を上記石英ガラス製密閉形部材内において端子部材を配置し、端子線に接続する方法に比べ、同密閉形部材内にヒータ部材以外の異物が存在しない分だけ、ヒータ面上方への発熱ムラがより低減される傾向にある。   Furthermore, according to such a configuration, the end of the heater member as described later is disposed in the sealed member as compared with a method in which the terminal member is arranged in the quartz glass sealed member and connected to the terminal wire. Therefore, unevenness of heat generation above the heater surface tends to be further reduced by the amount of foreign matter other than the heater member.

第4−1グループの発明のカーボンヒータにおいては、端子線となる上記ワイヤー状カーボンの他端側を、中空部が形成されその内側に中子部材を有する第2のワイヤー状カーボン接続部材に、この中子部材での押圧によって接続し、割型中子を利用する金属線接続部材により金属製の内接線を接続し、両接続部材を任意の接続部材によって接続することがより好ましい。   In the carbon heater of the invention of the 4th-1 group, the other end side of the above-mentioned wire-like carbon used as a terminal wire is formed into a second wire-like carbon connecting member having a hollow member and having a core member inside thereof. It is more preferable to connect by pressing with the core member, connect a metal inscribed line with a metal wire connecting member using a split core, and connect both connecting members with any connecting member.

特に、上記中子部材での押圧を利用した複数のワイヤー状カーボン接続方法によって、電気的接触抵抗をおさえスパーク発生を防止することができる。また上記割型中子を用いた内接線の接続方法によって、広い面で金属製内接線とカーボン端子部材を接触させることができ、スパーク発生を防止することができる。   In particular, the electrical contact resistance can be suppressed and the occurrence of sparks can be prevented by a plurality of wire-like carbon connection methods utilizing the pressing by the core member. Further, the inscribed line connecting method using the split core allows the metal inscribed line and the carbon terminal member to be brought into contact with each other over a wide surface, thereby preventing the occurrence of sparks.

上記割型中子を利用する金属線接続部材での内接線を接続する方法としては、特に、上記割型中子の外側にテーパ面を形成し、端子部本体にテーパ面と係合するテーパ部を形成し、割型中子に形成した支持部で金属製の内接線を挟み込み、これを押圧しつつ任意の接続部材に接続する構成にすることが好ましい。これにより、接触抵抗を押え、スパーク発生を防止することができる。   As a method for connecting the inscribed line in the metal wire connecting member using the split core, a taper surface is formed on the outer side of the split core and the terminal body is engaged with the taper surface. It is preferable that the metal inscribed line is sandwiched between the support portions formed on the split core and pressed and connected to an arbitrary connecting member. Thereby, contact resistance can be suppressed and a spark generation can be prevented.

さらにまた、上記密閉形部材内でヒータ部材を線対称に配置し、その対称軸上にガス導入・排出口を形成し、容器の溶接時にガス導入・排出口から非酸化性ガスを導入し、容器の封着時にガス導入・排出口から排気を行う構成にすることが好ましい。これにより、ヒータ内部で均一に非酸化ガスを導入することが可能となり、カーボンの酸化防止とヒータ面内温度分布を均一にすることができる。   Furthermore, the heater member is arranged in line symmetry in the sealed member, a gas introduction / discharge port is formed on the axis of symmetry, and a non-oxidizing gas is introduced from the gas introduction / discharge port during welding of the container, It is preferable that the gas is exhausted from the gas introduction / discharge port when the container is sealed. This makes it possible to introduce a non-oxidizing gas uniformly inside the heater, thereby preventing the oxidation of carbon and making the heater in-plane temperature distribution uniform.

上記の説明から理解されるとおり、第4−1グループの発明のカーボンヒータにおいては、カーボンワイヤからなるヒータ部材とワイヤー状カーボンを石英ガラス筒内に配列された複数のもしくは少なくとも端部が複数に分割されたワイヤー状カーボンにより固定し、前記ワイヤー状カーボンと電源側の金属製の内接線を第2の端子装置で接続する構成にし、第2の端子装置が、複数のもしくは端部が複数に分割されたワイヤー状カーボンを接続するための第2のワイヤー状カーボン接続部材を備え、割型中子を利用して金属製の内接線を接続する構成の金属線接続部材を備え、第2のワイヤー状カーボン接続部材と金属線接続部材を接続するための端子部本体を備え、両接続部材を任意の接続部材に接続する構成になっていることが、より好ましいことは明らかである。   As understood from the above description, in the carbon heater of the 4-1th group of inventions, a plurality of heater members made of carbon wires and a wire-like carbon are arranged in a quartz glass tube, or at least a plurality of ends are provided. It fixes with the divided | segmented wire-like carbon, it is set as the structure which connects the said wire-like carbon and the metal inscribed line by the side of a power supply with a 2nd terminal device, A 2nd terminal device has two or more edge parts. A second wire-like carbon connecting member for connecting the divided wire-like carbon; a metal wire connecting member configured to connect a metal inscribed line using a split core; It is equipped with a terminal part main body for connecting the wire-like carbon connecting member and the metal wire connecting member, and is configured to connect both connecting members to an arbitrary connecting member. Masui it is clear.

そして、このような構成でありかつ、上記ヒータ部材が複数のもしくは少なくとも端部が複数に分割されたワイヤー状カーボンが内部に配列された石英ガラス筒及び第2端子装置が石英ガラス管内に封入する構成によって、石英ガラス密閉形部材に配置されるヒータ部材から複数のワイヤー状カーボンからなる端子線、第2のワイヤー状カーボン接続部材・端子部本体・金属線接続部材及び金属製の内接線を接続する一連の電気的接続系をすべて、外気から遮断することができ、結果、上記一連の電気的接続系を構成する部材すべての酸化を防止でき、長寿命かつ安定した均熱性を確保したカーボンヒータとすることができる。   And the quartz glass tube and the 2nd terminal device which are such a structure and the said heater member arranged the wire-like carbon by which the said heater member was divided into several or at least the end part were enclosed in the inside of a quartz glass tube are enclosed. Depending on the configuration, a terminal wire made of a plurality of wire-like carbons, a second wire-like carbon connecting member, a terminal body, a metal wire connecting member, and a metal inscribed wire are connected from a heater member arranged on a quartz glass sealed member. All of the series of electrical connection systems can be cut off from the outside air, and as a result, the oxidation of all the members constituting the series of electrical connection systems can be prevented, and a long-life and stable heat uniformity can be ensured. It can be.

尚、上記任意の接続部材としては、例えば外周にネジ部を有する円筒中子を採用することができる。   In addition, as said arbitrary connection member, the cylindrical core which has a thread part on the outer periphery is employable, for example.

そして、第4−1グループの発明のカーボンヒータを最適なものとするためには、さらに、上記石英ガラス管の内側に配置された金属製の内接線と電源側の外接線をMo箔を介して接続し、Mo箔を石英ガラス製のピンチシール部で封着した構成とすることが重要となる。   In order to optimize the carbon heater according to the invention of the 4-1th group, the metal internal tangent line and the external tangent line on the power source side disposed inside the quartz glass tube are further passed through the Mo foil. It is important that the Mo foil is sealed with a quartz glass pinch seal.

尚、上記ピンチシール部とは、一端が封じられた(キャップ)石英ガラス管のキャップ部を、高温化でカーボン製平板状治具ではさみ込んで、平板状に密着・溶接したような構造を意味する。   The pinch seal part is a structure in which a cap part of a quartz glass tube sealed at one end is sandwiched with a flat plate jig made of carbon at a high temperature and adhered and welded in a flat plate shape. means.

これに反して、内接線をそのままキャップの外に出してピンチした場合には、Moと石英の熱膨脹係数差によって石英ガラス製のピンチシールに亀裂等が発生し、密閉性が阻害されてしまう不具合が生じる。このような不具合を解消するために、Moの箔体を介在させ、石英ガラスでピンチして密封するのである。   On the other hand, if the inner tangent is pulled out of the cap and pinched, the pinch seal made of quartz glass will crack due to the difference in thermal expansion coefficient between Mo and quartz, and the sealing performance will be hindered. Occurs. In order to solve such a problem, a Mo foil body is interposed, and pinched with quartz glass and sealed.

上記第4グループの発明のカーボンヒータにおいては、石英ガラス容器を、中心部に開口を有する平板ドーナツ形状とすることができ、また、全体的に、平板半円形状で中心部に切欠きを有する割型にして、これを組み合わせてドーナツ状平板ヒータを形成することもできる。これは、ドーナツ形状の中心部開口に被処理物をささえるための軸を、挿入する構造にするためである。   In the carbon heater of the fourth group of the invention, the quartz glass container can be formed into a flat plate donut shape having an opening in the center portion, and has a flat plate semicircular shape and a notch in the center portion as a whole. It is possible to form a doughnut-shaped flat plate heater by combining the split molds. This is because a shaft for supporting the object to be processed is inserted into the center opening of the donut shape so as to be inserted.

また、石英ガラス容器内で発熱体を線対称に配置し、その対称軸上にガス導入・排出口を形成し、ガス導入・排出口から非酸化性ガスを導入しながら容器を溶接により組立て、さらに、ガス導入・排出口から排気しながら容器内を常温で減圧封着することが好ましい。   In addition, the heating elements are arranged symmetrically in the quartz glass container, the gas introduction / discharge port is formed on the axis of symmetry, and the container is assembled by welding while introducing the non-oxidizing gas from the gas introduction / discharge port, Furthermore, it is preferable that the inside of the container is sealed under reduced pressure at normal temperature while exhausting from the gas inlet / outlet.

石英ガラス容器内を常温で0.2気圧以下の減圧あるいは非酸化性ガス雰囲気にして封着することが好ましい。   It is preferable to seal the quartz glass container in a reduced pressure of 0.2 atm or less or a non-oxidizing gas atmosphere at room temperature.

第4グループの発明のカーボンヒータの1つの形態は、上記ヒータ部材の両端に接続された端子部がヒータ部材の形成するヒータ面に対して垂直に引き出される構成のものである(以下、これを第4−2グループの発明として、詳述する)が、この場合には、ヒータ部材の両端に端子部材を接続してヒータ面の反対側に突出させ、端子部材に石英ガラス管を被せて石英ガラス部材を密封した構成とすることが好ましい。   One form of the carbon heater of the invention of the fourth group has a configuration in which terminal portions connected to both ends of the heater member are pulled out perpendicularly to the heater surface formed by the heater member (hereinafter referred to as this). In this case, a terminal member is connected to both ends of the heater member and protruded to the opposite side of the heater surface, and the terminal member is covered with a quartz glass tube. The glass member is preferably sealed.

第4−2グループの発明のカーボンヒータは上記形態にさらに上記石英ガラス管の自由端側に端子部本体を配置し、端子部材と端子部本体を複数本のもしくは少なくとも一方の端部が複数に分割されたワイヤー状カーボンで接続した構成にすることがより好ましい。これによって、端子線部での電気抵抗を下げ、この部分の発熱をおさえることができる。また熱伝導が小さいために伝熱により下部封止端子への熱伝達をおさえることができる。   In the carbon heater of the invention of the 4-2th group, the terminal part main body is further arranged on the free end side of the quartz glass tube in the above-described form, and the terminal member and the terminal part main body are plural or at least one end is plural. It is more preferable to use a configuration in which the wires are divided and connected. As a result, the electrical resistance at the terminal wire portion can be lowered, and the heat generation at this portion can be suppressed. Moreover, since heat conduction is small, heat transfer to the lower sealing terminal can be suppressed by heat transfer.

さらに、上記密閉形部材内でヒータ部材を線対称に配置し、その対称軸上にガス導入・排出口を形成し、容器の溶接時にガス導入・排出口から非酸化性ガスを導入し、容器の封着時にガス導入・排出口から排気を行う構成にすることが好ましい。これにより、ヒータ内部で均一に非酸化性ガスを導入することが可能となり、カーボンの酸化防止とヒータ面内温度分布を均一にすることができる。   Further, the heater member is arranged in line symmetry in the sealed member, a gas introduction / discharge port is formed on the axis of symmetry, and a non-oxidizing gas is introduced from the gas introduction / discharge port during welding of the vessel. It is preferable that the gas is exhausted from the gas introduction / exhaust port during sealing. As a result, the non-oxidizing gas can be uniformly introduced into the heater, and carbon oxidation can be prevented and the heater surface temperature distribution can be made uniform.

また、第4−2グループの発明のカーボンヒータにおいては、上記端子部材が上記ヒータ部材と上記複数本のワイヤー状カーボンを接続するものであり、その一端側にヒータ部材接続部を形成してヒータ部材を接続し、複数のもしくは一方の端部が複数に分割されたワイヤー状カーボンを一括して接続するための第1のワイヤー状カーボン接続部材を設け、端子部材の他端側に第1のワイヤー状カーボン接続部材を接続する構成にし、第1のワイヤー状カーボン接続部材を中空に形成してその内側に中子部材を配置し、上記複数のワイヤー状カーボンを中子部材で押圧して接続する構成にすることが好ましく、さらに上記端子部材と第1のワイヤー状カーボン接続部材の相方の接続端側に夫々めねじ部を形成し、中間部材に各めねじ部に対応するおねじ部を形成し、中間部材を介して両部材を接続する構成にすることがより好ましい。   Further, in the carbon heater of the invention of the 4-2th group, the terminal member connects the heater member and the plurality of wire-like carbons, and a heater member connecting portion is formed at one end side of the heater. A first wire-like carbon connecting member is provided for connecting the members, and connecting the wire-like carbon having a plurality of or one end portions divided into a plurality of pieces, and the first wire-like carbon connecting member is connected to the other end of the terminal member. A wire-like carbon connecting member is connected, a first wire-like carbon connecting member is formed in a hollow shape, a core member is disposed on the inside thereof, and the plurality of wire-like carbons are pressed and connected by the core member. It is preferable to adopt a configuration in which a female screw portion is formed on the connecting end side of the terminal member and the first wire-like carbon connecting member, and the intermediate member corresponds to each female screw portion. Forming a Ruoneji portion, it is more preferable that the configuration of connecting the two members via the intermediate member.

そして、さらに好ましい形態としては、上記ワイヤー状カーボンの他端側を、中空部が形成されその内側に中子部材を有する第2のワイヤー状カーボン接続部材に、この中子部材での押圧によって接続し、割型中子を利用する金属線接続部材により金属製の内接線を接続し、両接続部材をこの中間に位置する端子部本体によって接続し、端子部本体にテーパ面と係合するテーパ部を形成し、割型中子に形成した支持部で金属製の内接線を挟み込み、これを押圧しつつ端子部本体に接続する上記金属製の内接線をMo製金属棒とする構成、上記ワイヤー状カーボン接続部材と端子部本体及び、端子部本体と金属線接続部材を、それぞれネジ式で接続する構成がある。   And as a more preferable form, the other end side of the said wire-like carbon is connected to the 2nd wire-like carbon connection member in which a hollow part is formed and has a core member in the inside by the press in this core member. Then, a metal inscribed wire is connected by a metal wire connecting member using a split core, both the connecting members are connected by a terminal portion body located in the middle, and a taper that engages the tapered surface of the terminal portion main body. Forming the part, sandwiching the metal inscribed line with the support part formed in the split core, and pressing the same to connect the terminal part body to the metal inscribed line Mo metal rod, the above There exists a structure which connects a wire-shaped carbon connection member, a terminal part main body, and a terminal part main body and a metal wire connection member with a screw type, respectively.

また、第4−2グループの発明のカーボンヒータは、カーボンワイヤからなるヒータ部材とワイヤー状カーボンを第1の端子装置で接続し、前記ワイヤー状カーボンと電源側の金属製の内接線を第2の端子装置で接続する構成にし、第1の端子装置が、端子部材を備え、その一端側に形成したヒータ部材接続部にヒータ部材を接続するようになっており、複数のもしくは端部が複数に分割されたワイヤー状カーボンを接続するための第1のワイヤー状カーボン接続部材を備え、端子部材の他端側に第1のワイヤー状カーボン接続部材を接続するようになっており、第1のワイヤー状カーボン接続部材を接続するようになっており、第1のワイヤー状カーボン接続部材を中空に形成してその内側に中子部材を配置し、分割したワイヤー状カーボンを中子部材で押圧して接続する構成になっており、第2の端子装置が、複数のもしくは端部が複数に分割されたワイヤー状カーボンを接
続するための第2のワイヤー状カーボン接続部材を備え、割型中子を利用して金属製の内接線を接続する構成の金属線接続部材を備え、第2のワイヤー状カーボン接続部材と金属線接続部材を接続するための端子部本体を備え、両接続部材を端子部本体の一端側と他端側に接続する構成になっていることが好ましく、最適例としては、さらに、上記ヒータ部材と上記第1及び第2の端子装置が石英ガラス管内に封入され、石英ガラス管の内側に配置された金属製の内接線と電源側の外接線をMo箔を介して接続し、Mo箔を石英ガラス製のピンチシール部で封着した構成の第3の端子装置を有する構成としたものである。なお、上記ピンチシール部とは、上述の第4−1グループの発明の説明で記載したものと同等のものである。
In the carbon heater of the 4-2 group invention, a heater member made of carbon wire and wire-like carbon are connected by a first terminal device, and the wire-like carbon and a metal inscribed line on the power source side are secondly connected. The first terminal device includes a terminal member, and the heater member is connected to the heater member connecting portion formed on one end side thereof, and a plurality of or a plurality of end portions are provided. A first wire-like carbon connecting member for connecting the wire-like carbon divided into two, and the first wire-like carbon connecting member is connected to the other end side of the terminal member. A wire-shaped carbon connecting member is connected, a first wire-shaped carbon connecting member is formed in a hollow shape, a core member is disposed on the inside thereof, and a divided wire-shaped carbon The second terminal device is configured to be connected by pressing with a core member, and the second terminal device is a second wire-like carbon connecting member for connecting a plurality of wire-like carbons whose ends are divided into a plurality. A metal wire connecting member configured to connect a metal inscribed line using a split core, and a terminal body for connecting the second wire-like carbon connecting member and the metal wire connecting member. It is preferable that both the connection members are connected to one end side and the other end side of the terminal body. As an optimal example, the heater member and the first and second terminal devices are made of quartz. A metal inner tangent line placed inside a quartz glass tube and a power source side outer tangent line are connected via Mo foil, and the Mo foil is sealed with a pinch seal made of quartz glass. A configuration having a third terminal device of Those were. In addition, the said pinch seal | sticker part is equivalent to what was described in description of invention of the said 4th-1 group.

尚、上記第4−2グループの発明のカーボンヒータは、上述の第4−1グループの発明のカーボンヒータと、第1のワイヤー状カーボン接続部材が存在する点において相違するものであり、その他の構成においては、実質的に同一の作用効果が成り立つ。   The carbon heater of the invention of the above-mentioned 4-2 group is different from the carbon heater of the above-mentioned invention of the 4-1 group in that the first wire-like carbon connecting member is present. In the configuration, substantially the same operation effect is established.

次に第5グループの発明の要約を述べる。   Next, the summary of the invention of the fifth group will be described.

第5グループの発明は、均熱性及びフレキシビリティーに優れ、低コストで製造できる例えば半導体製造装置用のカーボンヒータを提供することを目的としている。   An object of the fifth group is to provide a carbon heater for, for example, a semiconductor manufacturing apparatus that is excellent in heat uniformity and flexibility and can be manufactured at low cost.

また、他の目的は、発熱ムラをより低減し、また耐用寿命を向上せしめたカーボンヒータを提供することにある。   Another object is to provide a carbon heater that further reduces unevenness in heat generation and improves the service life.

第5グループの発明のカーボンヒータは、直径が5〜15μmであるカーボンファイバーを複数本束ねたカーボンファイバー束を複数本用いてワイヤー形状やテープ形状のような縦長形状に編み込み、その含有不純物量を灰分で10ppm以下としたヒータ部材を1本もしくは複数本を並列に石英ガラス製の密封形部材内に封入したカーボンヒータであって上記ヒータ部材を封入した密閉形部材が、石英ガラス製もしくはアルミナ製平板状容器である構成となっている。   The carbon heater of the fifth group of the invention uses a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers having a diameter of 5 to 15 μm, and weaves them into a vertically long shape such as a wire shape or a tape shape, and the amount of impurities contained therein A carbon heater in which one or more heater members having an ash content of 10 ppm or less are enclosed in a quartz glass sealed member in parallel, and the sealed member in which the heater member is sealed is made of quartz glass or alumina It is the structure which is a flat container.

特に、上記ヒータ部材を複数の端子部材及びワイヤー支え治具によって上記平板状容器内に非接触で支持されて封入した構成とすることが好ましい。   In particular, it is preferable that the heater member is supported and sealed in the flat container by a plurality of terminal members and wire support jigs in a non-contact manner.

これは、上記カーボン質のヒータ部材と石英ガラス質の平板状容器の高温下での反応に伴うヒータ部材の劣化を極力防止するためである。   This is to prevent the heater member from deteriorating as much as possible due to the reaction between the carbon heater member and the quartz glass plate container at a high temperature.

また、さらに上記端子部材の長さ方向に、ボルト差し込み用の略円筒状の穴部が形成されており、かつ少なくともこの穴部を貫通する略円筒状横穴が形成されており、該横穴に上記ヒータ部材を差し込み、該穴部に少なくとも該横穴の下端に達する長さを有するボルトを回転挿入する構成とすることが好ましい。これによって、前記長細形状のヒータ部材を取付容易にかつ確実に保持することができる。   Further, a substantially cylindrical hole for inserting a bolt is formed in the length direction of the terminal member, and a substantially cylindrical horizontal hole penetrating at least the hole is formed. It is preferable that a heater member is inserted and a bolt having a length reaching at least the lower end of the lateral hole is rotationally inserted into the hole. Accordingly, the elongated heater member can be easily and securely held.

また、この構造において、前記ボルト差し込み用の略円筒状穴部の径を前記略円筒状横穴の幅より大きくし、該横穴に差し込まれた前記ヒータ部材が、前記ボルトによって加圧され、該穴部に達するように偏平に変形されていることが好ましい。これによって、前記長細形状のヒータ部材を前記棒状端子部材に強固にかつ電気的ロスのない接続とすることができる。なお、前記構造において、前記ボルトと前記ヒータ部材の間に膨張黒鉛シートを介在させることが、より好ましい。これによって、前記ボルト締め付け時にヒータ部材を形成するカーボンファイバーの切断を極力低減できる。   Further, in this structure, the diameter of the substantially cylindrical hole portion for inserting the bolt is made larger than the width of the substantially cylindrical lateral hole, and the heater member inserted into the lateral hole is pressurized by the bolt, and the hole It is preferably deformed flat so as to reach the part. Accordingly, the elongated heater member can be connected to the rod-shaped terminal member firmly and without electrical loss. In the above structure, it is more preferable that an expanded graphite sheet is interposed between the bolt and the heater member. Thereby, the cutting | disconnection of the carbon fiber which forms a heater member at the time of the said bolt fastening can be reduced as much as possible.

前記ワイヤー支え治具は、透光性アルミナ単体もしくは、高純度カーボン及び透光性アルミナの組立部材により形成することが好ましく、更に前記組立部材においては、ヒータ部材に接する部分を高純度カーボン材料とし、かつ前記組立部材を平板状容器に配置接続する部分を透光性アルミナ材料とすることがより好ましい。透光性アルミナによれば、高温カーボン材との非反応性及び電気的絶縁性が高レベルで達成される。特に後者の組立部材によれば、前記発熱体の急激な温度変化があっても、ワイヤー支え治具にクラックや破損が生ずることなく、部品寿命が拡大される。   The wire support jig is preferably formed of translucent alumina alone or an assembly member of high-purity carbon and translucent alumina. Further, in the assembly member, a portion in contact with the heater member is made of a high-purity carbon material. It is more preferable that the portion where the assembly member is arranged and connected to the flat container is made of a translucent alumina material. According to translucent alumina, non-reactivity with a high-temperature carbon material and electrical insulation are achieved at a high level. In particular, according to the latter assembly member, even if there is a sudden temperature change of the heating element, the life of the component is extended without causing cracks or breakage in the wire support jig.

より好ましくは、上記ヒータ部材の下側にカーボン製反射板を配置した構成を付加したものとする。   More preferably, a structure in which a carbon reflector is disposed below the heater member is added.

特に、上面を鏡面加工した反射板を設けることによって、該カーボンヒータの下側への熱の放出を低減し、該カーボンヒータ上方の均熱性及び昇温速度の大幅なアップを図ることができる。   In particular, by providing a reflecting plate whose upper surface is mirror-finished, it is possible to reduce the release of heat to the lower side of the carbon heater and to greatly increase the heat uniformity and the temperature increase rate above the carbon heater.

さらに、前記ヒータ部材、棒状端子部材及びワイヤー支え治具を密閉形の石英ガラス製容器または透光性アルミナ製容器に封入することによって、特に半導体製造装置用としての有効なカーボンヒータを構成することができる。   Furthermore, an effective carbon heater particularly for a semiconductor manufacturing apparatus is configured by enclosing the heater member, the rod-shaped terminal member and the wire support jig in a sealed quartz glass container or a translucent alumina container. Can do.

このとき、上記容器に適宜枝管を取り付け、枝管から窒素等の非酸化性ガスを流入したり、容器内を20torr以下の真空にすることが好ましい。これによって、上記ヒータ部材の劣化を防止し、長寿命化及び均熱性の長時間の持続が可能になるからである。   At this time, it is preferable that a branch pipe is appropriately attached to the container so that a non-oxidizing gas such as nitrogen flows from the branch pipe or the inside of the container is evacuated to 20 torr or less. This is because the heater member is prevented from being deteriorated, and it is possible to extend the life and maintain the temperature uniformity for a long time.

さらに、第5グループの発明のカーボンヒータは、上記平板状容器のヒータ面に、断面半円状もしくは台形状の凸部が形成されており、この表面がつや出し処理されている構成にすることが好ましく、これによってカーボンワイヤの如き線状発熱体によるヒータ面上方への発熱を、光の散乱によって均一化せしめるといったプリズム効果が得られる。   Furthermore, the carbon heater of the fifth group of the invention may have a configuration in which a convex portion having a semicircular or trapezoidal cross section is formed on the heater surface of the flat plate container, and the surface is polished. Preferably, this provides a prism effect in which heat generation upward of the heater surface by a linear heating element such as carbon wire is made uniform by light scattering.

尚、上記凸部はヒータ面上方から見た場合には、これがストライプ状もしくは同心部状に形成されているか、あるいはこれが格子状に多数形成された状態となっている。   In addition, when the said convex part is seen from the heater surface upper direction, this is formed in the shape of a stripe or concentric part, or this is in the state formed in large numbers by the grid | lattice form.

通常、同様の効果を得るために、ヒータ面をサンドプラスト処理する方法が採用されるが、この場合には、表面が砂目状となっており、この表面からの放熱が抑制され、石英ガラス自身に熱を蓄積してしまいエネルギー効率が低下してしまう。この意味で、上記つや出し処理されていることは、重要な事項である。   Usually, in order to obtain the same effect, a method of sand plasting the heater surface is adopted. In this case, the surface is grainy, and heat radiation from this surface is suppressed, and quartz glass is used. It accumulates heat in itself and reduces energy efficiency. In this sense, it is an important matter that the glossing process is performed.

また、カーボンヒータのヒータ面は、被処理物よりも大径にすることができる。カーボン材は、熱容量が小さいので、このようにヒータ面を大径にすることによって昇温速度をさらに大きくすることができ、被処理物への均熱性を向上せしめることができる。   Further, the heater surface of the carbon heater can be made larger in diameter than the object to be processed. Since the carbon material has a small heat capacity, the heating rate can be further increased by increasing the diameter of the heater surface in this way, and the heat uniformity on the workpiece can be improved.

第1グループの発明
以下、図29〜図40及び図88を参照して第1グループの発明の好適な実施例を説明する。
First Group Invention Hereinafter, a preferred embodiment of the first group invention will be described with reference to FIGS. 29 to 40 and 88.

図88は、第1グループの発明によるカーボンヒータの第1実施例を示す立体図である。(同図面は、編み込んだ状態を最も簡略して記載できるように、カーボンファイバー束を3本用いた場合を示したものである。)
この実施例では、ヒータ部材111は直径7μmのカーボンファイバーを330本束ねたカーボンファイバー束を3本用いて、ワイヤー形状に編み込んだものである。カーボンワイヤーの直径は、約1.2mmである。
FIG. 88 is a three-dimensional view showing a first embodiment of the carbon heater according to the first group of the invention. (The drawing shows the case where three carbon fiber bundles are used so that the knitted state can be described most simply.)
In this embodiment, the heater member 111 is formed by knitting a wire shape using three carbon fiber bundles obtained by bundling 330 carbon fibers having a diameter of 7 μm. The diameter of the carbon wire is about 1.2 mm.

このカーボンファイバーをワイヤー形状に編み込んだヒータ部材は、編み込みスパン(長さ方向で、1本のワイヤー束が他の2本と規則的にからみ込んで、元の位置に戻ってくるまでの距離をいう)が5〜7mmである。   This carbon fiber woven heater member has a braided span (in the length direction, one wire bundle is regularly entangled with the other two, and the distance until it returns to the original position) Is 5 to 7 mm.

従って、例え、1本1本のカーボンファイバーが途中で切断されたとしても、この切断の影響は、上記編み込みスパンの5〜7mmの長さのみに制限されることとなり、ヒータ部材の全長に影響を及ぼすことがなく、結果、ヒータ部材の長さ方向での電気抵抗値のバラツキ、ひいては、発熱ムラを生ずることを効果的に抑制する。   Therefore, even if each carbon fiber is cut halfway, the influence of this cutting is limited to only the length of 5 to 7 mm of the braiding span, which affects the overall length of the heater member. As a result, it is possible to effectively suppress variations in the electric resistance value in the length direction of the heater member, and hence generation of heat unevenness.

また、上記ヒータ部材によれば、カーボンファイバー束を3本編み込む際に、330×3本のカーボンファイバーのうち、相当数のものが、ところどころで切断されることによって、全体的に見た場合、3〜6mmの多数の毛羽立ち115が表面に形成された状態となる。   Further, according to the heater member, when three carbon fiber bundles are knitted, a substantial number of 330 × 3 carbon fibers are cut at some points, and when viewed as a whole, A large number of fluffs 115 of 3 to 6 mm are formed on the surface.

図29は、第1グループの発明によるカーボンヒータの第2実施例を示す斜視図である。   FIG. 29 is a perspective view showing a second embodiment of the carbon heater according to the invention of the first group.

この実施例では、ヒータ部材111はカーボンワイヤーで形成されている。カーボンワイヤーは、直径7μmのカーボンファイバーを300本束ねたカーボンファイバー束を9本用いて、ワイヤー形状に編み込んだものである。カーボンワイヤーの直径は例えば2mm程度である。また、上記編み込みスパンは、約3mmであり、カーボンファイバーによる毛羽立ちは、各々0.5〜2.5mm程度である。   In this embodiment, the heater member 111 is made of carbon wire. The carbon wire is knitted into a wire shape using nine carbon fiber bundles obtained by bundling 300 carbon fibers having a diameter of 7 μm. The diameter of the carbon wire is, for example, about 2 mm. The braided span is about 3 mm, and the fluff caused by the carbon fiber is about 0.5 to 2.5 mm.

ヒータ部材111の両端には、カーボン電極112が接続されている。ヒータ部材111は、複数のアルミナ製支持部材113に支持され、同一平面内で何度も屈曲している。この実施例では、ヒーティング(均一加熱)ゾーンは面状となる。   Carbon electrodes 112 are connected to both ends of the heater member 111. The heater member 111 is supported by a plurality of alumina support members 113 and is bent many times in the same plane. In this embodiment, the heating (uniform heating) zone is planar.

ヒータ部材111の含有不純物量は、灰分で10ppm以下である。また、ヒータ部材111の1000℃での抵抗値は1〜10Ω/m・本である。   The amount of impurities contained in the heater member 111 is 10 ppm or less in terms of ash. The resistance value of the heater member 111 at 1000 ° C. is 1 to 10 Ω / m · book.

図30は、カーボンヒータの第3実施例を示す斜視図である。図31は、図30のカーボンヒータにおけるカーボン電極122付近の様子を示す断面図である。   FIG. 30 is a perspective view showing a third embodiment of the carbon heater. FIG. 31 is a cross-sectional view showing a state in the vicinity of the carbon electrode 122 in the carbon heater of FIG.

この実施例では、ヒータ部材121はカーボンテープで形成されている。カーボンテープは複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いて、テープ形状に編み込んだものである。カーボンテープの幅は例えば10mm程度であり、厚さは例えば1mm程度である。この場合も、カーボンファイバーによる毛羽立ちは、上記カーボンワイヤとした場合と同等である。   In this embodiment, the heater member 121 is formed of carbon tape. The carbon tape is knitted into a tape shape using a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers. The width of the carbon tape is, for example, about 10 mm, and the thickness is, for example, about 1 mm. Also in this case, the fluff caused by the carbon fiber is equivalent to the case where the carbon wire is used.

4本のヒータ部材121は、支持部材123,124を介して直列に連結され、その両端にはカーボン電極122が配置されている。支持部材123,124は2枚の平板であり、ヒータ部材121を挟み込んで固定する。下側(土台側)の支持部材124は石英製であり、上側の支持部材123はカーボン製である。この実施例でもヒーティングゾーンは面状である。   The four heater members 121 are connected in series via support members 123 and 124, and carbon electrodes 122 are disposed at both ends thereof. The support members 123 and 124 are two flat plates, and sandwich and fix the heater member 121 therebetween. The lower (base) support member 124 is made of quartz, and the upper support member 123 is made of carbon. Also in this embodiment, the heating zone is planar.

ヒータ部材121の含有不純物量は、灰分で10ppm以下である。また、ヒータ部材121の1000℃での抵抗値は1〜20Ω/m・本である。   The amount of impurities contained in the heater member 121 is 10 ppm or less in terms of ash. Further, the resistance value of the heater member 121 at 1000 ° C. is 1 to 20 Ω / m · book.

図32は、特に半導体製造装置用として有効なカーボンヒータの第4実施例を示す斜視図である。   FIG. 32 is a perspective view showing a fourth embodiment of a carbon heater particularly effective for a semiconductor manufacturing apparatus.

この実施例では、ヒータ部材131は、石英ガラス製二重管136内に螺旋状に配置されている。   In this embodiment, the heater member 131 is spirally disposed in the quartz glass double tube 136.

ヒータ部材は酸化消耗を抑え、石英ガラスの失透を防止するため、不純物濃度が灰分で10ppm以下になっている。   The heater member suppresses oxidation consumption and prevents devitrification of the quartz glass, so that the impurity concentration is 10 ppm or less in terms of ash.

石英ガラス二重管136は内筒、外筒、上下板から成る密閉構造になっており、内部に窒素ガスを導入するか又は20torr以下の真空状態にすることができる。   The quartz glass double tube 136 has a sealed structure composed of an inner cylinder, an outer cylinder, and upper and lower plates, and nitrogen gas can be introduced into the interior or a vacuum state of 20 torr or less can be achieved.

ヒータ部材131は、内筒に取付けたアルミナ製の小さな突起部133で支持されている。この突起部133は線状であっても良い。ヒータ部材131は、突起でなく溝で支持することも可能である。   The heater member 131 is supported by a small projection 133 made of alumina attached to the inner cylinder. The protrusion 133 may be linear. The heater member 131 can be supported by a groove instead of a protrusion.

尚、上記アルミナ製の小さな突起部は、高純度の透光性アルミナにすることが好しく、また透光性アルミナにおいても、急速昇温の度合いを高めようとすると、熱衝撃のため、上記突起部にクラックや破損が生ずるおそれがあるので、上記突起部を高純度カーボン及び透光性アルミナの組立部材とすることが好しい。その場合、ヒータ部材と接する部分は高純度カーボンとする。さらには、透光性アルミナにかわって石英ガラスとしてもかまわない。   The small protrusions made of alumina are preferably made of high-purity translucent alumina, and even in translucent alumina, if an attempt is made to increase the degree of rapid temperature increase, the above-mentioned small projecting portions are caused by thermal shock. Since there is a possibility that cracks and breakage may occur in the protrusions, it is preferable to use the protrusions as an assembly member of high-purity carbon and translucent alumina. In that case, the portion in contact with the heater member is made of high purity carbon. Furthermore, quartz glass may be used instead of translucent alumina.

この実施例では、3本のヒータ部材131が内筒の周りに螺旋状に巻かれており、3つのヒーティングゾーンが連続で形成されている。このように2つ以上のヒーティングゾーンを形成することによって、加熱領域の温度バランスをとることが容易となる。ゾーン幅とゾーンの個数は、任意に決めることができる。ゾーンの個数は、経済的な観点からは、3〜5個が有利である。   In this embodiment, three heater members 131 are spirally wound around the inner cylinder, and three heating zones are continuously formed. Thus, by forming two or more heating zones, it becomes easy to balance the temperature of the heating region. The zone width and the number of zones can be arbitrarily determined. From the economical viewpoint, the number of zones is advantageously 3-5.

ヒータ部材131は金属製の取付部材134を介して外筒を貫通しており、黒鉛電極132を経て、電源135に接続されている。   The heater member 131 penetrates the outer cylinder through a metal attachment member 134 and is connected to a power source 135 through a graphite electrode 132.

図33は、特に半導体製造装置用として有効なカーボンヒータの第5実施例を示す斜視図である。   FIG. 33 is a perspective view showing a fifth embodiment of a carbon heater particularly effective for a semiconductor manufacturing apparatus.

カーボンヒータ140は、多数のヒータユニット149で形成されている。   The carbon heater 140 is formed by a number of heater units 149.

各ヒータユニット149は、密封型の石英ガラス製直管に上述のカーボンワイヤーから成るヒータ部材141を配置した構成になっている。多数のヒータユニット149が筒状に配置され、全体として筒型のカーボンヒータ140が形成されている。   Each heater unit 149 has a configuration in which the heater member 141 made of the above-described carbon wire is arranged in a sealed quartz glass straight pipe. A large number of heater units 149 are arranged in a cylindrical shape, and a cylindrical carbon heater 140 is formed as a whole.

カーボンヒータ140は、筒型のヒーティングゾーンを有している。ウエハの加熱処理にはこのような円筒形が良いが、被加熱物によっては、もしくは、加熱条件の認定の観点から、箱形にすることもできる。   The carbon heater 140 has a cylindrical heating zone. Such a cylindrical shape is preferable for the heat treatment of the wafer, but depending on the object to be heated, or from the viewpoint of recognition of the heating conditions, it may be a box shape.

カーボンヒータ140を用いて炉体を構成する場合には、炉体上下の温度バランスを良好にするために、カーボンヒータ140を複数個(例えば3〜5ゾーン)使用することができる。その際、形状や構成の異なるカーボンヒータを用いても良い。   When the furnace body is configured using the carbon heater 140, a plurality of carbon heaters 140 (for example, 3 to 5 zones) can be used in order to improve the temperature balance above and below the furnace body. At that time, carbon heaters having different shapes and configurations may be used.

尚、上記例は、カーボンヒータの一部となるカーボンヒータユニットを管状体とした場合について記載したが、これに限定されず、カーボンヒータユニットを、ヒータ部材の周辺部以外の石英ガラス部材が実質的に一体化された棒状体とすることができる。   In addition, although the said example was described about the case where the carbon heater unit used as a part of carbon heater was made into the tubular body, it is not limited to this, A quartz glass member other than the peripheral part of a heater member is not substantially limited to this carbon heater unit. Can be made into an integrated rod-shaped body.

図34には、カーボンヒータ140の一部となるヒータユニット149の一例が示されている。   FIG. 34 shows an example of the heater unit 149 that is a part of the carbon heater 140.

ヒータユニット149は、石英ガラス製直管146の両端を石英フランジ162と金属フランジ161で密封した構成になっている。両フランジ161,162を貫通して金属電極144が設けられており、その内側には炭素電極142が接続されている。2つの炭素電極142の間には、ヒータ部材141が張られている。   The heater unit 149 has a configuration in which both ends of a quartz glass straight pipe 146 are sealed with a quartz flange 162 and a metal flange 161. A metal electrode 144 is provided through both flanges 161 and 162, and a carbon electrode 142 is connected to the inside thereof. A heater member 141 is stretched between the two carbon electrodes 142.

石英ガラス製直管146の両端付近には、N2 導入及び真空吸引に用いる出入口147,148が形成されている。 In the vicinity of both ends of the quartz glass straight tube 146, inlets / outlets 147 and 148 used for N 2 introduction and vacuum suction are formed.

なお、金属電極144はカーボン製電極であっても良いが、真空状態を維持するためには金属製であることが好ましい。   The metal electrode 144 may be a carbon electrode, but is preferably made of metal in order to maintain a vacuum state.

図35と図36には、カーボンヒータ140の一部となるヒータユニット149の変形例が示されている。   FIG. 35 and FIG. 36 show a modification of the heater unit 149 that is a part of the carbon heater 140.

図35のヒータユニット149では、石英ガラス製直管46の側面からの突出部に金属電極44と炭素電極142が挿入されている。   In the heater unit 149 of FIG. 35, the metal electrode 44 and the carbon electrode 142 are inserted into the protruding portion from the side surface of the quartz glass straight pipe 46.

図36のヒータユニット149では、石英ガラス製直管の端面にワイヤー支持突起143が形成されていて、ヒータ部材141は支持突起143を経て他端に向う構成になっている。このため、ヒータ長を最大にすることができ、炉内の均熱性向上に役立てることができる。   In the heater unit 149 of FIG. 36, a wire support protrusion 143 is formed on the end face of a quartz glass straight pipe, and the heater member 141 is configured to face the other end via the support protrusion 143. For this reason, the heater length can be maximized, which can be used to improve the thermal uniformity in the furnace.

図37(A),(B)は、特に半導体製造装置用として有効なカーボンヒータの第6実施例を示している。   FIGS. 37A and 37B show a sixth embodiment of a carbon heater particularly effective for a semiconductor manufacturing apparatus.

カーボンヒータ150は、多数の環状管形状ヒータユニット159で形成されている。   The carbon heater 150 is formed by a number of annular tube heater units 159.

各ヒータユニット159は、密閉型の透光性アルミナ製の環状管156にカーボンワイヤー製のヒータ部材151を配置した構成になっている。多数の略環状ヒータユニット150が筒状に重ねられて、全体として筒型のヒータ150が形成されている。   Each heater unit 159 has a configuration in which a heater member 151 made of carbon wire is disposed in an annular tube 156 made of sealed translucent alumina. A large number of substantially annular heater units 150 are stacked in a cylindrical shape to form a cylindrical heater 150 as a whole.

ヒータユニット159の例が図38(A),(C)及び(B),(D)に示されている。   Examples of the heater unit 159 are shown in FIGS. 38 (A), (C) and (B), (D).

図38(A),(C)のヒータユニット159は、環の両端が同一面上に配置されている。一方、図38(B),(D)のヒータユニット159は、環の両端が上下に重ね合わされている。   In the heater unit 159 of FIGS. 38A and 38C, both ends of the ring are arranged on the same plane. On the other hand, in the heater unit 159 of FIGS. 38B and 38D, both ends of the ring are overlapped vertically.

ヒータユニット159は、透光性アルミナ製環状管156の両端をフランジ163で密封した構成になっている。フランジ163は透光性アルミナと金属を張り合わせた構造になっている。フランジ163には金属電極154が貫通しており、その内側には炭素電極142が接続されている。2つの炭素電極の間には、ヒータ部材151が接続されている。   The heater unit 159 has a configuration in which both ends of a transparent alumina annular tube 156 are sealed with flanges 163. The flange 163 has a structure in which translucent alumina and metal are bonded together. A metal electrode 154 passes through the flange 163, and a carbon electrode 142 is connected to the inside thereof. A heater member 151 is connected between the two carbon electrodes.

図37(A)のカーボンヒータ150では、電極154の位置が縦方向で揃っており、電極端子位置に位相が生じる。一方、図37(B)のカーボンヒータ150では、図示のように電極154の位置を自由に設定できる。   In the carbon heater 150 of FIG. 37A, the positions of the electrodes 154 are aligned in the vertical direction, and a phase is generated at the electrode terminal positions. On the other hand, in the carbon heater 150 of FIG. 37 (B), the position of the electrode 154 can be freely set as shown.

ヒータユニット159の重ね合わせ個数は任意に調整可能である。また、各ヒータユニットをパワーコントロールすることで、より一層均熱性を向上することが可能となる。   The number of overlapping heater units 159 can be arbitrarily adjusted. In addition, it is possible to further improve the thermal uniformity by controlling the power of each heater unit.

図39に示すヒータユニット159では、透光性アルミナ製環状管156の両端が当接されており、電極154は管の断面中央部から放射方向に突出している。このタイプのヒータユニット159では、ヒータ長を最大にすることができ、炉体の均熱性を向上できる。   In the heater unit 159 shown in FIG. 39, both ends of a translucent alumina annular tube 156 are in contact with each other, and the electrode 154 protrudes in the radial direction from the center of the cross section of the tube. In this type of heater unit 159, the heater length can be maximized, and the thermal uniformity of the furnace body can be improved.

図37〜39では示していないが、透光性アルミナ製環状管156においても両端部に配管系を設け、管内に窒素ガスを導入したり、管内を真空にしたりできる。   Although not shown in FIGS. 37 to 39, the translucent alumina annular pipe 156 can also be provided with a piping system at both ends so that nitrogen gas can be introduced into the pipe or the inside of the pipe can be evacuated.

尚、図37〜39の例は、カーボンヒータの一部となるカーボンヒータユニットを環状管形状もしくは環状の管体とした場合について記載したが、これに限定されず、いずれもヒータ部材の周辺部以上の石英ガラス部材が実質的に一体化された棒状体とすることができる。また、この例は、環状管156として石英ガラス製のものを用いても同等の作用をなすことができる。   In addition, although the example of FIGS. 37-39 described about the case where the carbon heater unit used as a part of carbon heater was made into the shape of an annular tube or an annular tube, it is not limited to this, All are the peripheral parts of a heater member A rod-like body in which the above quartz glass members are substantially integrated can be obtained. Further, in this example, the same effect can be achieved even if an annular tube 156 made of quartz glass is used.

次は、図40の実施例を説明する。   Next, the embodiment of FIG. 40 will be described.

ヒータ部材161は、スパイラル形状に支持され断熱材容器166中に図示されない任意の構成によって封入されている。ヒータ部材161の両端には、電極が設置される。   The heater member 161 is supported in a spiral shape and enclosed in an insulating material container 166 by an arbitrary configuration not shown. Electrodes are installed at both ends of the heater member 161.

ヒータ部材161の電極部は、カーボン材から成る。支持電極部162は、金属製又はカーボン製のいずれでも良いが、不純物汚染を防ぐために、好ましくはヒータと接触する先端部は高純度カーボン製とする。   The electrode part of the heater member 161 is made of a carbon material. The support electrode portion 162 may be made of either metal or carbon, but preferably the tip portion in contact with the heater is made of high purity carbon in order to prevent impurity contamination.

ワイヤ支持部材163は、たとえばアルミナや石英ガラスのような非導電性物質で構成される。   The wire support member 163 is made of a nonconductive material such as alumina or quartz glass.

ヒータ部材が入っている断熱材容器166と炉芯管167の空隙は、密閉形であり、内部に窒素を導入したり、真空状態にすることができ、真空度は例えば20又は10torr以下に設定できる。   The space between the heat insulating material container 166 containing the heater member and the furnace core tube 167 is hermetically sealed, and nitrogen can be introduced into the inside or a vacuum state can be established. The degree of vacuum is set to 20 or 10 torr or less, for example. it can.

ヒータユニットを複数個使用して、長尺円筒状のヒータヒーティングゾーンを形成とすることができる。   A plurality of heater units can be used to form a long cylindrical heater heating zone.

このようにヒータユニットを重ねることにより、中央部の温度分布の均一性を向上できる。例えば、単一ユニットでは、1000℃で中央ヒータユニットの温度差が50℃以上あったのに対し、ヒータユニットを三重にした場合には、5℃以下になることが確認できた。   By overlapping the heater units in this way, the uniformity of the temperature distribution at the center can be improved. For example, in the single unit, the temperature difference of the central heater unit at 1000 ° C. was 50 ° C. or more, but when the heater unit was tripled, it was confirmed that the temperature difference was 5 ° C. or less.

カーボンヒーターとして、複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いて編み込んだヒータ部材は、C/Cのものと比べた場合、熱容量を小さくできるので、急速急冷のスループットを格段に向上できた。   As a carbon heater, a heater member made of a plurality of carbon fiber bundles made by bundling a plurality of carbon fibers can reduce the heat capacity when compared with the C / C one, thus dramatically improving the rapid quenching throughput. did it.

また、上記ヒータ部材を用いることで、カーボンファイバーのみの場合に比べ発熱ムラが削減できた。   Further, by using the heater member, the heat generation unevenness can be reduced as compared with the case of using only carbon fiber.

また、従来のSiCヒーターでは、電気負荷密度を10W/cm2 までしか上げることができなかったのに対し、前記カーボンワイヤーを用いた場合には電気負荷密度を30W/cm2 まで上げることができ、その結果、約3倍の高速昇温が可能となった。 In addition, with the conventional SiC heater, the electric load density could only be increased up to 10 W / cm 2 , whereas when the carbon wire was used, the electric load density could be increased up to 30 W / cm 2. As a result, a high temperature increase of about 3 times became possible.

また、各ヒータユニットをパワーコントロールすることでより一層の均熱性を向上することが可能となる。   Further, it is possible to further improve the thermal uniformity by controlling the power of each heater unit.

第1グループの発明の特に半導体製造装置用として有効なカーボンヒータは、均熱性及びフレキシビリティーに優れ、低コストで製造できる。   The carbon heater particularly effective for the semiconductor manufacturing apparatus of the invention of the first group is excellent in heat uniformity and flexibility, and can be manufactured at low cost.

なお、第1グループの発明は前述の実施例に限定されない。図示したカーボンヒータの形状は、あくまで例示的なものであり、様々な変形が可能である。図30の第3実施例では、ワイヤー状のヒータ部材の替わりに、テープ状のヒータ部材を用いることもできる。   The invention of the first group is not limited to the above embodiment. The shape of the illustrated carbon heater is merely an example, and various modifications are possible. In the third embodiment of FIG. 30, a tape-shaped heater member can be used instead of the wire-shaped heater member.

第2グループの発明
以下、図1〜図28を参照して、第2グループの発明の好適な実施例を説明する。
Second Group Invention A preferred embodiment of the second group invention will now be described with reference to FIGS.

尚、図1〜28においては、一部、ヒータ部材11と密封形部材12中の該ヒータ部材の周辺部が接触した簡略図面となっているが、実際上は、該ヒータ部材の周辺部には(該ヒータ部材表面に形成されたカーボンファイバーの毛羽立ちによって)中空の空間が形成されているものである。   1 to 28 are simplified drawings in which the heater member 11 and the peripheral portion of the heater member in the sealed member 12 are in contact with each other. Is one in which a hollow space is formed (by fuzz of carbon fibers formed on the surface of the heater member).

先ず、図1〜図5を参照して第2グループの発明の第1実施例を説明する。   First, a first embodiment of the invention of the second group will be described with reference to FIGS.

このカーボンヒータ10は全体的に矩形平板状のヒータであり、石英ガラス支持体12にヒータ部材11が封入された構造になっている。そして、石英ガラス支持体12は、図2に示されているように、上記ヒータ部材11の周辺部に実質的に中空の空間が形成されており、この空間部を除いて、実質的に一体化された構造となっている。   This carbon heater 10 is a rectangular flat heater as a whole, and has a structure in which a heater member 11 is enclosed in a quartz glass support 12. As shown in FIG. 2, the quartz glass support 12 has a substantially hollow space formed in the periphery of the heater member 11, and is substantially integrated except for the space. It has become a structured.

尚、ここで実質的に一体化された構成として最も好ましい形態は、複数枚の石英ガラス板を融着させて本発明のカーボンヒータを製造した場合に、各石英ガラス板の接合面において、融着されずに離間している部分や半融着状態で組織的に不均一な部分が存在しない状態のものである。   Here, the most preferable form as a substantially integrated configuration is that, when the carbon heater of the present invention is manufactured by fusing a plurality of quartz glass plates, the fused surfaces are fused on the quartz glass plates. This is a state in which there are no parts that are not attached and are not separated, or there are no structurally non-uniform parts in a semi-fused state.

ヒータ部材11としては、複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いてワイヤー形状に編み込んだものを用いる。   As the heater member 11, a member in which a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers and knitted into a wire shape is used.

ヒータ部材11は、石英ガラス支持体12のほぼ中心面上でジグザグ状に配置されている。配線形態は、渦巻状やその他の形状でも良い。   The heater member 11 is arranged in a zigzag shape on substantially the center plane of the quartz glass support 12. The wiring form may be spiral or other shapes.

ヒータ部材の具体例としては、直径7μmのカーボンファイバーを約330本束ねたカーボンファイバー束を9本(計2970本)用いて、直径約2mmのワイヤ形状に編み込んだものである。また、上記編み込みスパンは、3mmであり、カーボンファイバーによる表面の毛羽立ちは、各々0.5〜2.5mm程度である。このようなヒータ部材を2、3本或いはそれ以上用いることもできる。複数本用いると、発熱特性に関わる品質を安定させることができる。   As a specific example of the heater member, nine carbon fiber bundles (a total of 2970 pieces) obtained by bundling about 330 carbon fibers having a diameter of 7 μm are knitted into a wire shape having a diameter of about 2 mm. The braided span is 3 mm, and the surface fluff due to the carbon fiber is about 0.5 to 2.5 mm. Two, three or more such heater members can be used. If a plurality of lines are used, the quality related to the heat generation characteristics can be stabilized.

石英ガラス支持体12は、図3に示すように、ワイヤが中心に位置する厚さの2枚の石英ガラス板12a、12bを融着して実質的に一体化したものである。一方の石英ガラス板12bの接合面には、ヒータ部材11を収容するための配線用溝14が断面矩形状に形成されている。配線用溝14を含まない石英ガラス板12a、12bの厚さt1 ,t2 は同一であり、ヒータ部材11は支持体12の中心に位置する。 As shown in FIG. 3, the quartz glass support 12 is formed by fusing together two quartz glass plates 12a and 12b having a thickness at which the wire is located at the center, and substantially integrating them. On the joint surface of one quartz glass plate 12b, a wiring groove 14 for accommodating the heater member 11 is formed in a rectangular cross section. The thicknesses t 1 and t 2 of the quartz glass plates 12 a and 12 b not including the wiring groove 14 are the same, and the heater member 11 is located at the center of the support 12.

ヒータ部材11の端子線は、例えば直径3mmの穴21からヒータ面13と垂直に引き出されている。   The terminal wire of the heater member 11 is drawn perpendicularly to the heater surface 13 from a hole 21 having a diameter of 3 mm, for example.

図4は融着処理のやり方を示している。カーボン製下部材27の上に石英ガラス板12a、12bを配置し、その上にカーボン製上部材28を載せさらにその上にカーボン材からなる重り29を載せて熱処理炉内にセッティングする。   FIG. 4 shows the manner of the fusing process. The quartz glass plates 12a and 12b are arranged on the carbon lower member 27, the carbon upper member 28 is placed thereon, and the weight 29 made of a carbon material is placed thereon and set in the heat treatment furnace.

下部材27の上面と、上部材28の下面には、鏡面加工が施されている。また、これらのカーボン部材は全て、不純物5ppm以下の純化品である。   The upper surface of the lower member 27 and the lower surface of the upper member 28 are mirror-finished. These carbon members are all purified products having impurities of 5 ppm or less.

なお、本カーボンヒータの如く、ヒータ部材の周辺部以外の石英ガラス支持体が実質的に一体化された構成を採るためには、特に上記カーボン部材の均質性と石英ガラス支持体と接する部分の表面粗さが重要である。この表面粗さと均質性を適切なものとするためには、上記カーボン部材として開気孔率を15%以下とし、かつ1.8〜2.0g/cm3 の嵩密度特性を有するものを用いこれをバフ研磨ないし鏡面研磨した表面粗さ状態とすることが重要である。これによってカーボン部材による石英ガラス支持体全面への均一な加圧が可能となり、また石英ガラスとカーボンの熱膨脹係数の違いに伴う製造時の石英ガラス中への熱歪の残留を防止することが可能となる。 In order to adopt a structure in which the quartz glass support other than the peripheral part of the heater member is substantially integrated like the present carbon heater, the homogeneity of the carbon member and the portion in contact with the quartz glass support are particularly important. Surface roughness is important. In order to make the surface roughness and homogeneity appropriate, the carbon member having an open porosity of 15% or less and having a bulk density characteristic of 1.8 to 2.0 g / cm 3 is used. It is important to make the surface roughness buffed or mirror-polished. This makes it possible to apply uniform pressure to the entire surface of the quartz glass support by the carbon member, and to prevent thermal strain from remaining in the quartz glass during production due to the difference in thermal expansion coefficient between quartz glass and carbon. It becomes.

炉内を1torr以下の真空に保ち、1200〜1600℃で0.5〜5時間熱処理して、2枚の石英ガラス板12a、12bの接合面を融着する。この熱処理は、温度が低いときは長く、高いときは短くし、状況により変更して行う。ヒータ部材11の雰囲気、すなわち配線用溝内の雰囲気が、減圧又は非酸化性雰囲気になるようにして接合する。   The inside of the furnace is kept at a vacuum of 1 torr or less, and is heat-treated at 1200 to 1600 ° C. for 0.5 to 5 hours to fuse the joining surfaces of the two quartz glass plates 12a and 12b. This heat treatment is long when the temperature is low, short when the temperature is high, and is changed depending on the situation. The bonding is performed so that the atmosphere of the heater member 11, that is, the atmosphere in the wiring groove is a reduced pressure or non-oxidizing atmosphere.

冷却に際しては、石英ガラスの歪み点である1100℃付近での冷却を穏やかに行う。1100℃付近での冷却速度は、例えば50〜150℃/時間程度に設定する。   At the time of cooling, cooling near 1100 ° C., which is the strain point of quartz glass, is gently performed. The cooling rate in the vicinity of 1100 ° C. is set to, for example, about 50 to 150 ° C./hour.

このような、熱処理によって、石英ガラス支持体12、すなわち2枚の石英ガラス板12a、12bの接合面全体を融着して実質的に一体化することができる。すなわち、上記ヒータ部材11の周辺部に実質的に中空の空間が形成されており、この空間部を除いて実質的に一体化された構造となっている。   By such heat treatment, the entire bonded surface of the quartz glass support 12, that is, the two quartz glass plates 12a and 12b can be fused and substantially integrated. That is, a substantially hollow space is formed in the peripheral part of the heater member 11, and the structure is substantially integrated except for the space part.

なお、上記融着処理は、熱処理炉内で加熱する方法、つまり、外部からの加熱手段を採用しているが、これのみならず、所定炉内で石英ガラス板をカーボン部材によってはさみ、石英ガラス板中のカーボンワイヤを通電発熱させ、石英ガラス板を融着する方法や、もしくは、例えばカーボン部材のかわりにAlN等の部材によってはさみ、高周波誘導加熱によって石英ガラス板中のヒータ部材を発熱させる方法を採用することもできる。   The fusing process employs a method of heating in a heat treatment furnace, that is, an external heating means. However, not only this, a quartz glass plate is sandwiched between carbon members in a predetermined furnace, and quartz glass is used. A method in which the carbon wire in the plate is energized and heated to fuse the quartz glass plate, or a method in which the heater member in the quartz glass plate is heated by high frequency induction heating, for example, sandwiched by a member such as AlN instead of the carbon member Can also be adopted.

このような内部からの加熱手段であると石英ガラス板の外周からではなく、中心側より融着が進むため、石英ガラス板間に存在するガスを融着時に内部に取り込んで、気泡を残存させることが極力少なくなる。   With such a heating means from the inside, the fusion proceeds from the center side, not from the outer periphery of the quartz glass plate, so that the gas existing between the quartz glass plates is taken into the interior at the time of fusion and bubbles remain. That will be as little as possible.

図5はカーボンヒータの使用状況の一例を示している。ヒータ部材11の端部が、ヒータ部材11からヒータ面13に対してほぼ垂直に引き出され、カーボン端子61を介してMo端子線62に接続されている。これらは石英ガラス管内に配置されている。そして、Mo端子線62は、Mo箔63を介して2本のMo外接線64に接続されている。Mo箔63はピンチシールされている。   FIG. 5 shows an example of how the carbon heater is used. The end of the heater member 11 is drawn from the heater member 11 substantially perpendicularly to the heater surface 13 and connected to the Mo terminal wire 62 via the carbon terminal 61. These are arranged in a quartz glass tube. The Mo terminal wire 62 is connected to the two Mo outer tangent wires 64 via the Mo foil 63. The Mo foil 63 is pinch-sealed.

次に、図6〜図7を参照して、第2実施例のカーボンヒータを説明する。これ以降の実施例については、第1実施例との相違点を中心に説明する。   Next, a carbon heater according to a second embodiment will be described with reference to FIGS. The subsequent embodiments will be described with a focus on differences from the first embodiment.

図7のカーボンヒータ10では、石英ガラス支持体12の厚さ方向で見てヒータ面13に近い側にヒータ部材11が配置されている。   In the carbon heater 10 of FIG. 7, the heater member 11 is disposed on the side close to the heater surface 13 when viewed in the thickness direction of the quartz glass support 12.

このカーボンヒータ10は、図6に示すように、厚さの異なる2枚の石英ガラス板12c、12dを用いて形成される。例えば、一方の石英ガラス板12cの厚さt1 は、他方12dの厚さt2 の1/2以下に設定することができる。カーボン発熱体11を収容する溝14は、厚い方の石英ガラス板12dに形成される。ただし、石英ガラス板の厚さとは、配線用溝の部分を含まない厚さである。 As shown in FIG. 6, the carbon heater 10 is formed by using two quartz glass plates 12c and 12d having different thicknesses. For example, the thickness t 1 of one quartz glass plate 12c can be set to ½ or less of the thickness t 2 of the other 12d. The groove 14 for accommodating the carbon heating element 11 is formed in the thicker quartz glass plate 12d. However, the thickness of the quartz glass plate is a thickness that does not include the wiring groove portion.

上部の石英ガラス板12cは例えば100×100×3、下部の石英ガラス12dは例えば100×100×7の寸法で形成できる。   The upper quartz glass plate 12c can be formed with a size of 100 × 100 × 3, for example, and the lower quartz glass 12d can be formed with a size of 100 × 100 × 7, for example.

次に、図8〜10図を参照して、第3実施例のカーボンヒータを説明する。   Next, a carbon heater according to a third embodiment will be described with reference to FIGS.

このカーボンヒータ10は、多数の微細閉気孔を有する不透明(又は発泡)石英ガラス層12eを有している。   The carbon heater 10 has an opaque (or foamed) quartz glass layer 12e having a large number of fine closed pores.

不透明石英ガラス層12eはヒータ面の反対側に配置され、ヒータ下部に輻射熱が伝達するのを防止する。   The opaque quartz glass layer 12e is disposed on the opposite side of the heater surface and prevents radiant heat from being transmitted to the lower part of the heater.

図8に示すように、ヒータ部材11を配線した石英ガラス板12dの上下に、薄手の石英ガラス板12cと不透明石英ガラス板12eを配置し、前述の融着処理を施す。これにより、図9に示すように、不透明石英ガラス層12eを含み、ヒータ部材11を1本封入した板状の石英ガラス支持体12によって実質的に一体化されたものであって、この石英ガラス支持体12中の上記ヒータ部材の周辺部には中空の空間が形成された構造を得ることができる。   As shown in FIG. 8, a thin quartz glass plate 12c and an opaque quartz glass plate 12e are arranged above and below the quartz glass plate 12d to which the heater member 11 is wired, and the above-described fusing process is performed. As a result, as shown in FIG. 9, the quartz glass layer 12e including the opaque quartz glass layer 12e is substantially integrated by a plate-like quartz glass support 12 enclosing one heater member 11, and this quartz glass A structure in which a hollow space is formed around the heater member in the support 12 can be obtained.

図10は、図9のカーボンヒータ10の変形例である。このカーボンヒータ10では、不透明石英ガラス層12eが、石英ガラス支持体12の全厚の約1/2を占めている。また、ヒータ部材11は、不透明石英ガラス層12eと透明石英ガラス層の間に跨って配置されている。   FIG. 10 is a modification of the carbon heater 10 of FIG. In the carbon heater 10, the opaque quartz glass layer 12 e occupies about ½ of the total thickness of the quartz glass support 12. The heater member 11 is disposed between the opaque quartz glass layer 12e and the transparent quartz glass layer.

このように、不透明石英ガラス層12eを厚くすることにより、ヒータ下方への輻射熱の伝達防止作用を大きくすることができる。   Thus, by increasing the thickness of the opaque quartz glass layer 12e, it is possible to increase the effect of preventing transmission of radiant heat below the heater.

次に、図11〜図13を参照して、第4実施例を説明する。   Next, a fourth embodiment will be described with reference to FIGS.

このカーボンヒータ10は、カーボンファイバーからなるヒータ部材11と、少なくともヒータ部材11と対向する側の片面が鏡面のカーボン製反射板15とを板状の石英ガラス支持体12に封入した構成になっている。そして、石英ガラス支持体12は、上記ヒータ部材11の周辺部には、中空の空間が形成され、それ以外の部分においては実質的に一体化されている。   The carbon heater 10 has a configuration in which a heater member 11 made of carbon fiber and a carbon reflector 15 having at least one mirror surface facing the heater member 11 are enclosed in a plate-like quartz glass support 12. Yes. The quartz glass support 12 is formed with a hollow space in the peripheral portion of the heater member 11 and is substantially integrated in other portions.

石英ガラス上板12c、石英ガラス中板12d、ヒータ部材11、カーボン反射板15、及び、石英ガラス下板12eとを図11に示すように組み立て、前述の融着処理を施すことにより、石英ガラス支持体12(12c、12d、12e)を実質的に一体化させる。   The quartz glass upper plate 12c, the quartz glass middle plate 12d, the heater member 11, the carbon reflector 15 and the quartz glass lower plate 12e are assembled as shown in FIG. The support 12 (12c, 12d, 12e) is substantially integrated.

石英ガラス下板12eにはカーボン反射板用座ぐり16が設けられているが、座ぐり16は熱膨張差を吸収するために反射板より少し大きめに形成されている。   The quartz glass lower plate 12e is provided with a counterbore 16 for carbon reflector, but the counterbore 16 is formed slightly larger than the reflector in order to absorb the thermal expansion difference.

このように、カーボン発熱体の下方にカーボン製反射板を設けることによって、ヒータ下方への輻射熱の伝達防止作用を大きくすることができ、また、ヒータ上方への熱輻射をより良好なものとすることができる。   Thus, by providing the carbon reflector below the carbon heating element, it is possible to increase the effect of preventing the transmission of radiant heat below the heater, and to improve the heat radiation above the heater. be able to.

次に、図14〜図16を参照して、第5実施例を説明する。   Next, a fifth embodiment will be described with reference to FIGS.

この実施例はカーボンヒータ用反射板状体20であり、前述の反射板を備えたカーボンヒータ10(図11〜図13)から、反射板の部分を単独で取り出したものに相当する。   This embodiment is a reflector 20 for a carbon heater, which corresponds to a single reflector portion taken out from the carbon heater 10 (FIGS. 11 to 13) provided with the aforementioned reflector.

すなわち、カーボンヒータ用反射板状体20は、少なくとも片面が鏡面のカーボン製反射板15を、板状の石英ガラス支持体22に封入した構成になっている。   That is, the reflector 20 for carbon heater has a configuration in which a carbon reflector 15 having at least one mirror surface is enclosed in a plate-like quartz glass support 22.

石英ガラス上板22aと、片面が鏡面のカーボン製反射板15と、反射板用設定座ぐりを有する石英ガラス下板22bとを、図14に示す配置で組み立て、前記融着処理を施すことにより、石英ガラス支持体22(22a、22b)を一体化させる。   By assembling a quartz glass upper plate 22a, a carbon reflecting plate 15 having a mirror surface on one side, and a quartz glass lower plate 22b having a setting spot for a reflecting plate in the arrangement shown in FIG. The quartz glass support 22 (22a, 22b) is integrated.

このカーボンヒータ用反射板状体20を封止した石英ガラス支持体22は、図17に示すように例えば、図7のカーボンヒータの下面に重ねて配置することによって、本発明のカーボンヒータの一つの形態とすることができる。   As shown in FIG. 17, the quartz glass support 22 encapsulating the reflector 20 for carbon heater is disposed so as to overlap the lower surface of the carbon heater of FIG. It can be in one form.

石英ガラス下板22bの反射板用設定座ぐりは、熱膨張差を吸収するために反射板より大きめであり、図15に示すようにそのための空間が形成される。   The setting spot for the reflecting plate of the quartz glass lower plate 22b is larger than the reflecting plate to absorb the difference in thermal expansion, and a space for this is formed as shown in FIG.

上述のカーボン製反射板状体15はいずれも熱膨張黒鉛シート、カプトン焼成体シート、ガラス状カーボンシート等で形成し、厚さは20〜2000μmとする。   The above-mentioned carbon reflector 15 is made of a thermally expanded graphite sheet, a Kapton fired body sheet, a glassy carbon sheet, etc., and has a thickness of 20 to 2000 μm.

なお、上記シートは、カーボンヒータをよりコンパクト化するために及び、低熱容量化を図るために、20〜200μmの薄い厚さの構造とすることが好ましいが、このようなものをより簡易に低コストで得るためには、カプトンシートを焼成することで製造するカプトン焼成シートが最も好ましい。   The sheet preferably has a thin thickness of 20 to 200 μm in order to make the carbon heater more compact and to reduce the heat capacity. In order to obtain the cost, a Kapton fired sheet produced by firing a Kapton sheet is most preferable.

尚、上記カーボン製反射板状体に関する説明は、本発明で記載するカーボン製反射板状体に共通するものである。   In addition, the description regarding the said carbon reflecting plate-like body is common to the carbon reflecting plate-like body described in the present invention.

このカーボンヒータ用反射板状体20はクリーンで耐熱性に優れており、低熱容量であるため、ヒータの下側や外側に配置する熱反射板として好適である。   The carbon heater reflector 20 is clean and excellent in heat resistance and has a low heat capacity, and is therefore suitable as a heat reflector disposed below or outside the heater.

図16は、図15のカーボンヒータ用反射板状体20の変形例である。このカーボンヒータ用反射板状体20では、石英ガラス支持体22内に2枚のカーボン製反射板15a、15bが封着されている。このように小面積をもつカーボン製反射板を複数枚並べて構成することによって、同カーボン材の熱膨脹に伴うクラック発生をより効果的に抑制することが可能となる。尚、図16では、2枚のカーボン製反射板を1部のみを重複させた構造としているが、上記2枚のカーボン製反射板を全体を重複させた構造としてもよい。この場合には、より効果的な断熱性が得られる。   FIG. 16 is a modification of the carbon heater reflector 20 of FIG. In the carbon heater reflector 20, two carbon reflectors 15 a and 15 b are sealed in a quartz glass support 22. By arranging a plurality of carbon reflectors having a small area in this manner, it is possible to more effectively suppress the occurrence of cracks associated with the thermal expansion of the carbon material. In FIG. 16, the two carbon reflectors have a structure in which only one part is overlapped. However, the two carbon reflectors may be entirely overlapped. In this case, more effective heat insulation can be obtained.

図18と19の実施例では、カーボンファイバーからなるヒータ部材11が配線用溝14内に2本(3本以上も可)が並列に配置されている。配線用溝14の底部には、ヒータ部材の本数に合わせて2本の補助溝14cが形成されている。これにより、ヒータ部材を例えば3ヶ所の線接触で支持することができ、面接触に伴う発熱ムラ等の不具合を解消することができる。   18 and 19, two heater members 11 made of carbon fibers are arranged in parallel in the wiring groove 14 (three or more are also possible). Two auxiliary grooves 14c are formed at the bottom of the wiring groove 14 in accordance with the number of heater members. Thereby, a heater member can be supported by line contact of three places, for example, and troubles, such as heat generation unevenness accompanying surface contact, can be eliminated.

図20と21の実施例では、配線用溝の横断面の底部14d及び全体14d,14eが断面湾曲形状になっている。これにより、複数枚の石英ガラス板を融着一体化する際に、配線用溝の横断面形状が熱変形しヒータ部材と面接触するのを極力防止することができ、石英ガラスとカーボンの反応に伴うヒータ部材の劣化を防止することができる。また、同熱変形に伴う石英ガラス支持体の内部歪みの蓄積を抑制することができ、この割れ等の不具合を防止することができる。さらには、この面接触に伴うヒータ部材からの発熱量の吸収による、当該ヒータ部材としての発熱ムラを防止することができる。   20 and 21, the bottom 14d and the whole 14d, 14e of the cross section of the wiring groove have a curved cross section. As a result, when a plurality of quartz glass plates are fused and integrated, it is possible to prevent the cross-sectional shape of the wiring groove from being thermally deformed and coming into surface contact with the heater member as much as possible. It is possible to prevent the heater member from being deteriorated. Moreover, accumulation of internal strain of the quartz glass support accompanying the thermal deformation can be suppressed, and problems such as cracks can be prevented. Furthermore, unevenness of heat generation as the heater member due to absorption of the amount of heat generated from the heater member due to the surface contact can be prevented.

図22〜24の実施例では、ヒータ面(外表面)に断面半円状又は台形状の凸部13a又は13bが形成されている。図22は、ヒータ面上方から見て、ストライプ状に、また図23は同心円状に凸部が形成されたものであり、図24は格子状に多数の凸部が形成されたものである。これらの凸部13a及び13bの表面は、酸水素バーナでの加熱によるつや出し処理されている。   22 to 24, a convex portion 13a or 13b having a semicircular or trapezoidal cross section is formed on the heater surface (outer surface). FIG. 22 shows stripes as viewed from above the heater surface, FIG. 23 shows concentric protrusions, and FIG. 24 shows a large number of protrusions in a lattice pattern. The surface of these convex portions 13a and 13b is polished by heating with an oxyhydrogen burner.

このような構成を採用することによって、上記ヒータ部材の如き線状ヒータ部材によるヒータ面上方への面状発熱を、光の散乱によって均一化せしめるといったプリズム効果が得られる。   By adopting such a configuration, it is possible to obtain a prism effect in which planar heat generation by the linear heater member such as the heater member is made uniform by scattering of light.

通常、同様の効果を得るために、ヒータ面をサンドブラスト処理する方法が採用されるが、この場合には、表面が砂目状となっており、この表面からの放熱が抑制され、石英ガラス自身に熱を蓄積してしまいエネルギー効率が低下してしまう。この意味で、上記つや出し処理されていることは、重要な事項である。   Usually, in order to obtain the same effect, a method of sandblasting the heater surface is adopted, but in this case, the surface is grainy, heat radiation from this surface is suppressed, and the quartz glass itself Heat accumulates and energy efficiency decreases. In this sense, it is an important matter that the glossing process is performed.

さらにまた、同構成によって、ダストの発生を防止することができる。   Furthermore, generation of dust can be prevented by the same configuration.

凸部13a又は13bの半径又は底部長さは、いずれも0.5〜5mmが好ましい。0.5mm未満では、微細な加工のため製造コストが多大となる。また、充分なつや出し処理が施せない。さらに、充分なプリズム効果も得られない。反対に5mmを超えると、発熱ムラが生じる恐れがある。   As for the radius or bottom part length of the convex part 13a or 13b, 0.5-5 mm is preferable in all. If the thickness is less than 0.5 mm, the manufacturing cost is increased due to fine processing. In addition, sufficient polishing cannot be performed. Furthermore, a sufficient prism effect cannot be obtained. On the other hand, if the thickness exceeds 5 mm, uneven heat generation may occur.

また、2つの凸部の間隔は、0.2〜1mmに設定できる。   Moreover, the space | interval of two convex parts can be set to 0.2-1 mm.

次に、図25(A)→(D)を参照して、他のカーボンヒータの製造方法の一例を説明する。   Next, an example of another carbon heater manufacturing method will be described with reference to FIGS.

先ず、図25(A)のように、配線用溝14a(溝幅:2〜4mm)を形成しこの溝部を酸水素バーナーにより、所定時間あぶることでつや出し処理した第1石英ガラス板32aと、この溝14aと対になる挿入用溝14b(溝幅:14aの幅より小さく1.5〜2.5mm)を形成した第2石英ガラス板32bとを、溝同士14a、14bが対向(連通)するように接合する。この接合は、2枚の石英ガラス板32a、32bを一体化させる融着でも良いし、次の研磨又は研削工程に耐え得る程度の固着でも良い。なお、挿入用溝14bは、配線用溝の一種と見ることもできる。   First, as shown in FIG. 25A, a first quartz glass plate 32a formed with a wiring groove 14a (groove width: 2 to 4 mm) and polished with a oxyhydrogen burner for a predetermined time, The grooves 14a and 14b face each other (communication) with the second quartz glass plate 32b on which the insertion groove 14b (groove width: 1.5 to 2.5 mm smaller than the width of 14a) is paired with the groove 14a. To join. This bonding may be a fusion that integrates the two quartz glass plates 32a and 32b, or may be a fixing that can withstand the next polishing or grinding process. The insertion groove 14b can also be regarded as a kind of wiring groove.

そして、図25(B)のように、第2石英ガラス板32bの表層32dを研磨又は研削によって削除し、挿入用溝14bを露出させる。これにより、挿入用溝14bは、ヒータ部材11を挿入するための挿入窓となる。その挿入窓から、ヒータ部材11を入れ、内側の配線用溝14aまで押し込む。   Then, as shown in FIG. 25B, the surface layer 32d of the second quartz glass plate 32b is removed by polishing or grinding to expose the insertion groove 14b. Thereby, the insertion groove 14b serves as an insertion window for inserting the heater member 11. The heater member 11 is inserted from the insertion window and pushed into the inner wiring groove 14a.

溝の断面形状が「凸」の字状であるため、ヒータ部材11を挿入した後で、ヒータ部材11が溝から盛り上がって飛び出すことを確実に防止できる。また、これによって、石英ガラス板の融着を接合面全般にわたって均一かつ確実に行うことができる。   Since the cross-sectional shape of the groove is a “convex” character, it is possible to reliably prevent the heater member 11 from rising and jumping out of the groove after the heater member 11 is inserted. This also allows the quartz glass plate to be fused uniformly and reliably over the entire joining surface.

配線後に、石英ガラス板表面33のダストを除去し、図25(C)のように、第2石英ガラス板32bの研磨面33の上に第3石英ガラス板32cを載せ、融着処理を行う。   After wiring, dust on the quartz glass plate surface 33 is removed, and a third quartz glass plate 32c is placed on the polishing surface 33 of the second quartz glass plate 32b as shown in FIG. .

融着処理により、3枚の石英ガラス板の接合面が溶接され、図25(D)に示すように、溝14(14a、14b)以外の部分が実質的に一体化される。   As a result of the fusing process, the joining surfaces of the three quartz glass plates are welded, and the portions other than the grooves 14 (14a, 14b) are substantially integrated as shown in FIG.

この実施例では、融着前の配線用溝14a,14bが全体的に「凸」の字状となっている。融着後には上記「凸」の字状は変形して多少つぶれた形状となる。   In this embodiment, the wiring grooves 14a, 14b before fusion are formed in a “convex” shape as a whole. After the fusion, the above-mentioned “convex” shape is deformed to a slightly collapsed shape.

このように融着前の配線用溝を「凸」の字状にすることにより、溝周囲部の自重によるたわみなどによる熱歪を緩和できる。この自重によるたわみは、特に溝の上辺で大きくなるので、溝を「凸」の字状にすることによって、残留する熱歪を少なくできるのである。それゆえ、本実施例では、使用中の熱履歴によって上板に生じる亀裂やクラックの発生確率を大幅に低減できる。   Thus, by forming the wiring groove before fusion into a “convex” shape, it is possible to alleviate thermal distortion due to deflection due to its own weight around the groove. Since the deflection due to its own weight becomes large especially at the upper side of the groove, the residual thermal strain can be reduced by making the groove into a “convex” shape. Therefore, in this embodiment, the probability of occurrence of cracks and cracks in the upper plate due to the thermal history during use can be greatly reduced.

図25における各部の寸法の一例を挙げると、Lが0.5〜1.5mm、Mが2mm程度、Nが3mm程度である。   As an example of the dimensions of each part in FIG. 25, L is 0.5 to 1.5 mm, M is about 2 mm, and N is about 3 mm.

また、ヒータ部材としては、その径が2mm程度のものを平行して1〜3本配線することができる。カーボンヒータの全体の厚さは、例えば5〜10mmにすることができる。   As the heater member, one to three wires having a diameter of about 2 mm can be wired in parallel. The total thickness of the carbon heater can be 5 to 10 mm, for example.

次に、図26を参照して、円弧形断面を有するカーボンヒータ又はカーボンヒータ用反射板の製造方法を説明する。   Next, with reference to FIG. 26, the manufacturing method of the carbon heater which has an arc-shaped cross section, or the reflector for carbon heaters is demonstrated.

この製造方法は、前述のカーボンヒータ10を所定形状に湾曲させる方法である。   This manufacturing method is a method of bending the above-described carbon heater 10 into a predetermined shape.

平板状カーボンヒータ10を、凸型半円断面を有するカーボン製下型41と、これと対応した凹型半円断面を有するカーボン製上型42の間に挿入する。上型42は、カーボン荷重として機能する。もちろん、上型42と別体のカーボン荷重を用いても良い。   The flat carbon heater 10 is inserted between a carbon lower mold 41 having a convex semicircular cross section and a carbon upper mold 42 having a concave semicircular cross section corresponding thereto. The upper mold 42 functions as a carbon load. Of course, a carbon load separate from the upper mold 42 may be used.

上下型41、42の側部には、ズレ防止用カーボン型43が配置される。ズレ防止用型43は、上型42が真下に移動するようにガイドする。   On the sides of the upper and lower molds 41 and 42, a carbon mold 43 for preventing misalignment is disposed. The misalignment prevention mold 43 guides the upper mold 42 so that it moves directly below.

このようにセッティングしたものを、熱処理炉内に挿入し、1500〜1600℃で1〜5時間加熱することにより、厚さ5〜15mm程度の平板状のカーボンヒータ10を断面円弧状に変形させることができる。   The flat carbon heater 10 having a thickness of about 5 to 15 mm is deformed into a circular arc cross section by inserting the setting in this manner into a heat treatment furnace and heating at 1500 to 1600 ° C. for 1 to 5 hours. Can do.

断面円弧状の例としては、1/3円弧や1/2円弧があり、図27のカーボンヒータ40は断面半円形(1/2円弧)である。   Examples of the arc shape of the cross section include a 1/3 arc and a 1/2 arc, and the carbon heater 40 in FIG. 27 has a semicircular cross section (1/2 arc).

図28に記載のカーボンヒータは、図19のカーボンヒータ40を2個組み合わせた円筒ヒータであり、ほぼ円筒形のヒータ面を形成している。端子線には、石英ガラス管19が被せてある。   The carbon heater shown in FIG. 28 is a cylindrical heater in which two carbon heaters 40 shown in FIG. 19 are combined, and forms a substantially cylindrical heater surface. The terminal wire is covered with a quartz glass tube 19.

一方、カーボンヒータ用反射板も、柔軟な変形をするシート状の上述したようなカーボン製反射板のものを用いればカーボンヒータと同様にして円弧状に変形することができる。図26では、括弧付きの符号でそれを示した。   On the other hand, the carbon heater reflector can also be deformed into an arc shape in the same manner as the carbon heater if a sheet-like reflector made of carbon as described above is used. In FIG. 26, this is indicated by reference numerals with parentheses.

このような、円弧状に変形させたカーボン製反射板は、上記の同様に変形させたカーボンヒータに、隣接配置して一体的に使用することができる。   Such a carbon reflector deformed in an arc shape can be used integrally with the carbon heater deformed in the same manner as described above.

エグザンプル2−1
以下の手順で、図7のカーボンヒータを製造した。
Example 2-1
The carbon heater of FIG. 7 was manufactured by the following procedure.

100×100×3tの上部石英ガラス板を準備し、融着面に鏡面仕上げを施した。また、ピッチング防止のためC0.2の面取りを行った。   A 100 × 100 × 3 t upper quartz glass plate was prepared, and the fused surface was mirror finished. Further, C0.2 chamfering was performed to prevent pitching.

また、100×100×7tの下部石英ガラス板を準備し、深さ4mm、幅2mmの配線用溝を加工しその後、この溝部を酸水素バーナーによるつや出し処理を行った。また、融着面を鏡面加工し、C0.2の面取りを行った。   Further, a lower quartz glass plate of 100 × 100 × 7 t was prepared, a wiring groove having a depth of 4 mm and a width of 2 mm was processed, and then the groove portion was polished with an oxyhydrogen burner. Further, the fused surface was mirror-finished and C0.2 was chamfered.

上記鏡面仕上げの替りに、火炎によるつや出しを行っても良い。   Instead of the above mirror finish, it may be polished with flame.

図4と同様にして、熱処理炉内で、カーボンファイバーから成るヒータ部材を下部石英ガラス板の配線用溝に配置し、この際に下部石英ガラス板表面に付着したカーボンファイバーくずを完全に除去した後、その上に上部石英ガラス板を載せ、これらをガラス状カーボン鏡面板の上にセットした。その上に、10kgのカーボンブロック重りを置いた。   In the same manner as in FIG. 4, the heater member made of carbon fiber was placed in the wiring groove of the lower quartz glass plate in the heat treatment furnace, and at this time, the carbon fiber waste adhering to the surface of the lower quartz glass plate was completely removed. Thereafter, an upper quartz glass plate was placed thereon, and these were set on a glassy carbon mirror plate. A 10 kg carbon block weight was placed thereon.

なお、これらのカーボン部材は全て、不純物5ppm以下の純化品を用いた。カーボン材が未純化であると、石英ガラス表面が失透する恐れがあり、石英ガラスに不純物がついて半導体製造装置内で拡散する可能性があるからである。   In addition, all of these carbon members used purified products having impurities of 5 ppm or less. If the carbon material is impure, the quartz glass surface may be devitrified, and there is a possibility that impurities are attached to the quartz glass and diffused in the semiconductor manufacturing apparatus.

そして、炉内を1torr以下に減圧し、1450℃で3時間の熱処理を実施した。冷却に際しては、石英ガラスの歪み点である1100℃付近では穏やかに冷却を行った。すなわち、1450〜1000℃での冷却速度は100℃/時間に設定した。それ以外の温度領域での冷却速度は、特に制御しなかった。   Then, the pressure inside the furnace was reduced to 1 torr or less, and a heat treatment was performed at 1450 ° C. for 3 hours. During cooling, the cooling was gently performed at around 1100 ° C., which is the strain point of quartz glass. That is, the cooling rate at 1450 to 1000 ° C. was set to 100 ° C./hour. The cooling rate in other temperature ranges was not particularly controlled.

以上の融着処理によって、上下部石英ガラス板の接触部分は、完全に融着され、外観上は一体の石英ガラス内部に上記ヒータ部材が配線された構造になった。   Through the above-described fusing process, the contact portions of the upper and lower quartz glass plates were completely fused, and in appearance, the heater member was wired inside the integrated quartz glass.

上記ヒータ部材は、荷重により多少圧迫されていた。   The heater member was somewhat pressed by the load.

石英ガラス板に設けた配線用溝も、融着の際に変形して圧迫され、溝幅と、溝深さが共に小さくなった。   The wiring groove provided on the quartz glass plate was also deformed and pressed during the fusion, and both the groove width and the groove depth were reduced.

そして、このカーボンヒータを用い、図5のように端子部カーボンワイヤを石英ガラスパイプ中に通して電源に接続し、昇温試験を行った。   Then, using this carbon heater, a terminal portion carbon wire was passed through a quartz glass pipe and connected to a power source as shown in FIG.

その結果、ヒータ温度で1350℃まで問題なく加熱することができた。   As a result, the heater temperature could be heated to 1350 ° C. without any problem.

また、室温〜1200℃の間で100回昇降温を繰り返したが、クラック発生等の問題はなかった。   Moreover, although raising / lowering temperature was repeated 100 times between room temperature and 1200 degreeC, there were no problems, such as a crack generation.

尚、同様の製造方法によって両石英ガラス板の全接触面積中の5%の未融着部を有するものを製造し、上記評価を行なったが同等の結果であった。(この未融着部は、ヒータ部材を配線用溝に配置した際に下部石英ガラス板表面に付着したカーボンファイバーくずの除去が完全に行なわれない場合に生ずるものである。)
さらにまた、これら2つのカーボンヒータを用いて、各々についてリング状サセプタにより外周部を支持したφ200mm半導体ウエハを、真空中の炉内で約50mm下方から1000℃に加熱する試験を行なったが、いずれの場合においても上記半導体ウエハの上面内の温度ムラを±0.5℃の範囲以内に抑制することができた。
In addition, although the thing which has a 5% unfused part in the total contact area of both quartz glass plates with the same manufacturing method was manufactured, and the said evaluation was performed, it was an equivalent result. (This unfused portion occurs when the carbon fiber scrap attached to the surface of the lower quartz glass plate is not completely removed when the heater member is disposed in the wiring groove.)
Furthermore, using these two carbon heaters, a test was carried out in which a φ200 mm semiconductor wafer, the outer periphery of which was supported by a ring-shaped susceptor, was heated to 1000 ° C. from below about 50 mm in a vacuum furnace. Even in this case, temperature unevenness in the upper surface of the semiconductor wafer could be suppressed within a range of ± 0.5 ° C.

他に、大気中の炉内で上記カーボンヒータ中のヒータ部材の温度を1300℃に設定し、これを長時間持続することで、石英ガラスとカーボン製ヒータ部材との反応評価試験を行なったが、2500時間経過した現在においても、上記いずれのカーボンヒータにおいて何ら問題が生じていないことが確認されている。   In addition, a reaction evaluation test between quartz glass and a carbon heater member was performed by setting the temperature of the heater member in the carbon heater to 1300 ° C. in a furnace in the atmosphere and maintaining this temperature for a long time. Even after 2500 hours have passed, it has been confirmed that no problems have occurred in any of the above carbon heaters.

第2グループの発明のカーボンヒータにおいては、石英ガラス支持体が融着によって一体化されているため、応力集中が生じず、長寿命を享受できる。   In the carbon heater of the second group of the invention, since the quartz glass support is integrated by fusion, stress concentration does not occur and a long life can be enjoyed.

しかも、ヒータ部材を支持する石英ガラス支持体がヒータ部材の周辺以外で一体化されているので、石英ガラス支持体を薄くして熱容量を小さくできる。それゆえ、急速昇降温に対応できる。   In addition, since the quartz glass support that supports the heater member is integrated outside the periphery of the heater member, the quartz glass support can be made thinner to reduce the heat capacity. Therefore, it can cope with rapid temperature rise and fall.

第2グループの発明のカーボンヒータ用反射板状体は、クリーンで耐熱性に優れているため、ヒータの下側や外側に配置する熱反射板として好適である。また、前述の理由により肉薄化・低熱容量化できるので、特に半導体熱処理装置のヒータ用として好適である。   Since the reflector for carbon heaters of the second group of the invention is clean and excellent in heat resistance, it is suitable as a heat reflector disposed below or outside the heater. Moreover, since the thickness can be reduced and the heat capacity can be reduced for the above-mentioned reasons, it is particularly suitable for a heater of a semiconductor heat treatment apparatus.

第2グループの発明のカーボンヒータ及びそれ用の反射板の製造方法によれば、前述のような効果を有する高品質のカーボンヒータ及び反射板を低コストで効率良く製造することができる。   According to the carbon heater of the second group and the method of manufacturing the reflector for the same, a high-quality carbon heater and reflector having the above-described effects can be efficiently manufactured at low cost.

なお、第2グループの発明は前述の実施例に限定されない。例えば、カーボンヒータや反射板の形状は、矩形に限らず円形や他の様々な形状を採用できる。また、ヒータ部材は石英ガラス支持体内において、2段以上に配置することもできる。   The invention of the second group is not limited to the above embodiment. For example, the shape of the carbon heater or the reflecting plate is not limited to a rectangle, and a circle or other various shapes can be adopted. The heater member can also be arranged in two or more stages in the quartz glass support.

第3グループの発明
以下、図62〜図69を参照して第3グループの発明の好適な実施例を説明する。
Third Group Invention Hereinafter, a preferred embodiment of the third group invention will be described with reference to FIGS.

図62は、第3グループの発明の特に半導体製造装置用として有効なカーボンヒータを示す概略図である。   FIG. 62 is a schematic view showing a carbon heater particularly effective for a semiconductor manufacturing apparatus according to the invention of the third group.

カーボンヒータ410は全体的に平板形状に形成されている。   The carbon heater 410 is formed in a flat plate shape as a whole.

カーボンヒータ410は、石英ガラス製の設定部材412の設定凹所413に、発熱体としてカーボンファイバーからなるヒータ部材411を配置し、石英ガラス製の蓋部材414を被せた構成になっている。従って、ヒータ部材411は、石英ガラスに挟み込まれる格好となる。   The carbon heater 410 has a configuration in which a heater member 411 made of carbon fiber is disposed as a heating element in a setting recess 413 of a setting member 412 made of quartz glass, and a lid member 414 made of quartz glass is covered. Therefore, the heater member 411 is dressed between quartz glass.

ヒータ部材411の具体例としては、直径7μmのカーボンファイバーを400本束ねたカーボンファイバー束を9本用いて、直径約2mmのワイヤ形状に編み込んだものがある。また、上記編み込みのスパンは約3.2mmであり、カーボンファイバーによる毛羽立ちは各々1.0〜3.0mm程度である。   As a specific example of the heater member 411, there is one in which nine carbon fiber bundles obtained by bundling 400 carbon fibers having a diameter of 7 μm are knitted into a wire shape having a diameter of about 2 mm. Further, the span of the weaving is about 3.2 mm, and the fluff caused by the carbon fiber is about 1.0 to 3.0 mm.

ヒータ部材411の配線形態は任意で良い。図示の例ではジグザグ状であるが、渦巻状やその他の形状でも良い。また、複数のゾーンに分割することも可能である。その場合には、端子は複数個必要となる。   The wiring form of the heater member 411 may be arbitrary. In the illustrated example, the shape is zigzag, but it may be spiral or other shapes. It can also be divided into a plurality of zones. In that case, a plurality of terminals are required.

図63、図64にも示すように、設定部材412は、全体的に矩形の石英ガラス板である。設定部材412には、ヒータ部材411の設定凹所となる蛇行した溝413が形成されている。溝413の両端には、幅広のターミナル設定部421が設けられている。カーボンターミナル設定部421からは、金属電極通し溝422が外部まで伸びている。   As shown also in FIGS. 63 and 64, the setting member 412 is a quartz glass plate that is generally rectangular. The setting member 412 is formed with a meandering groove 413 serving as a setting recess for the heater member 411. Wide terminal setting portions 421 are provided at both ends of the groove 413. A metal electrode through groove 422 extends from the carbon terminal setting portion 421 to the outside.

設定部材412には、非酸化性ガスを導入するためのガス導入溝423も形成されている。   The setting member 412 is also formed with a gas introduction groove 423 for introducing a non-oxidizing gas.

金属電極通し溝422とガス導入溝423には、それぞれ金属電極用石英ガラス管428とガス導入用石英ガラス管429が接続されている。石英ガラス管428、429は設定部材412に溶接され、溶接後に、クラック発生を防ぐためのアニール処理が施されている。   A metal electrode quartz glass tube 428 and a gas introducing quartz glass tube 429 are connected to the metal electrode through groove 422 and the gas introduction groove 423, respectively. The quartz glass tubes 428 and 429 are welded to the setting member 412, and after the welding, an annealing process is performed to prevent the occurrence of cracks.

これらの石英ガラス管428、429は、補強棒431によって補強することができる。   These quartz glass tubes 428 and 429 can be reinforced by reinforcing bars 431.

溝413は、例えば、板状の設定部材412をダイヤモンドドリルを用いた機械加工によって堀削し、加工表面を平滑化して形成する。切削加工表面には無数のチッピングが存在するが、これは熱衝撃によるクラック発生の原因になるので、鏡面研磨もしくはつや出し処理での平滑化を行う。特に上述ように発熱ムラを防止するためには、酸水素バーナでの加熱によるつや出し処理を行うことが最適である。但し、後述するように、溝内にアルミナ粉415を充填する場合には、上記平滑化は必ずしも必要ではない。   The groove 413 is formed by, for example, excavating a plate-like setting member 412 by machining using a diamond drill and smoothing the processed surface. There are countless chippings on the machined surface, which causes cracking due to thermal shock, and therefore is smoothed by mirror polishing or polishing. In particular, in order to prevent unevenness of heat generation as described above, it is optimal to perform a polishing process by heating with an oxyhydrogen burner. However, as will be described later, when the alumina powder 415 is filled in the groove, the above smoothing is not necessarily required.

ここで鏡面とは、表面粗さRmax(最大高さJIS B0601−1982に基づく。)が1μm以下の面をいう。   Here, the mirror surface means a surface having a surface roughness Rmax (based on the maximum height JIS B0601-1982) of 1 μm or less.

溝413の表面の表面粗さRmaxが1μmより大きい場合には、ヒータ部材との局部的な接触が生じ、その領域で反応性が高まりヒータ部材の寿命が短くなる。すなわち、石英ガラスとカーボンがSiO2 +3C→SiC+2COやSiO2 +2C→SiC+CO2 の反応を起し、ヒータ部材411がダメージを受けることになる。例えば、1200℃、300時間で珪化による10%抵抗増加が確認された。 When the surface roughness Rmax of the surface of the groove 413 is larger than 1 μm, local contact with the heater member occurs, the reactivity increases in that region, and the life of the heater member is shortened. That is, quartz glass and carbon cause a reaction of SiO 2 + 3C → SiC + 2CO or SiO 2 + 2C → SiC + CO 2 , and the heater member 411 is damaged. For example, a 10% increase in resistance due to silicification was confirmed at 1200 ° C. for 300 hours.

溝413内には1本または複数本のヒータ部材411を配置することができるが、溝413の深さはこれらの正味の太さよりも深くすることが好ましい。また、ヒータ部材411と蓋部材414が面接触しないようにすることも大切である。   One or a plurality of heater members 411 can be disposed in the groove 413, but the depth of the groove 413 is preferably deeper than their net thickness. It is also important that the heater member 411 and the lid member 414 do not come into surface contact.

図68、69に示すように、設定部材412と蓋部材414は、酸水素バーナを用いた溶接427によって密封固定されている。設定部材412と蓋部材414の対向面はLの距離をおいて対向している。距離Lは、0.2〜1mmである。   As shown in FIGS. 68 and 69, the setting member 412 and the lid member 414 are hermetically fixed by welding 427 using an oxyhydrogen burner. The facing surfaces of the setting member 412 and the lid member 414 are opposed to each other with a distance L. The distance L is 0.2-1 mm.

距離Lを短め(0.2mm付近)にする場合には、設定部材412と蓋部材414の対向面を鏡面加工することが好ましい。これは、つや出しで面ダレが発生した時に、対向面が接してしまい、破損を招く可能性があるからである。距離Lが0.2mm未満では、破損の可能性が大きくなる。   When the distance L is short (near 0.2 mm), it is preferable to mirror the opposing surfaces of the setting member 412 and the lid member 414. This is because when the surface sag occurs due to the gloss, the opposing surfaces may come into contact with each other, possibly causing damage. If the distance L is less than 0.2 mm, the possibility of breakage increases.

距離Lを長め(1mm付近)にとる場合には、面接触の恐れがないので鏡面加工の必要はない。距離Lが1mmを超える場合には、溶接用火炎が入り込み、発熱体411が酸化される恐れが大きくなる。   When the distance L is long (around 1 mm), there is no need for mirror finishing because there is no risk of surface contact. When the distance L exceeds 1 mm, a welding flame enters and the heat generating element 411 is likely to be oxidized.

設定部材412と蓋部材414の周囲には、開先が設けてある。これにより、設定部材412と蓋部材414の溶接強度を飛躍的に向上できる。開先を設けずに直角コーナのみを溶接すると、辺溶接となって十分な溶接強度を得ることができない。   A groove is provided around the setting member 412 and the lid member 414. Thereby, the welding strength between the setting member 412 and the lid member 414 can be dramatically improved. If only a right-angled corner is welded without providing a groove, it becomes side welding and sufficient welding strength cannot be obtained.

例えば、設定部材412と蓋部材414の肉厚が6mmの場合には、開先の面取りをC5とする。一般には、Cの幅:yは、y≦t−1mm(tは肉厚)によって選定されることが好ましい。これは設定部材412と蓋部材414の上端及び下端を1mm程残すことによって、これらのチッピングを防止するためである。また、溶接は開先部だけでなく、符号427で示すように1mm程度肉盛り溶接することが好ましく、その場合にはさらに強度を向上できる。   For example, when the thickness of the setting member 412 and the lid member 414 is 6 mm, the chamfering of the groove is C5. In general, the width of C: y is preferably selected according to y ≦ t−1 mm (t is the thickness). This is to prevent such chipping by leaving about 1 mm at the upper and lower ends of the setting member 412 and the lid member 414. Further, it is preferable to weld not only the groove portion but also about 1 mm as shown by reference numeral 427. In that case, the strength can be further improved.

なお、設定部材412と蓋部材414の間隔を開けることは、溶接時の局部的な温度差による破損を防止するのにも役立つ。   Note that the gap between the setting member 412 and the lid member 414 is useful for preventing damage due to a local temperature difference during welding.

設定部材412と蓋部材414の間隔を設けて、これらを溶接するためには、図69の場合には、厚さが0.2〜1mmのスペーサを介在させ、設定部材412と蓋部材414の外周部を3〜4点肉盛溶接し、スペーサを取り除いた後に、外周部全域を肉盛り溶接すればよい。また、図68のように蓋部材414の外周側全域にあらかじめ高さ0.2〜1mmで幅0.1〜9mm程度の防炎堤434を蓋部材414に一体成形もしくは溶接により形成したおき、この設定部材412と蓋部材414を重ね合わせた後、所定の石英ガラス棒を開先部にあてがいながら酸水素バーナーで加熱することによって防炎堤部を溶着させ、さらに肉盛部427を形成して溶接することができる。   In order to provide a gap between the setting member 412 and the lid member 414 and weld them, in the case of FIG. 69, a spacer having a thickness of 0.2 to 1 mm is interposed, and the setting member 412 and the lid member 414 are separated. What is necessary is just to carry out the buildup welding of the outer peripheral part whole region, after carrying out 3-4 point buildup welding of an outer peripheral part and removing a spacer. In addition, as shown in FIG. 68, a fire barrier 434 having a height of 0.2 to 1 mm and a width of about 0.1 to 9 mm is formed in advance on the lid member 414 by integral molding or welding over the entire outer peripheral side of the lid member 414. After the setting member 412 and the lid member 414 are overlaid, the flame barrier portion is welded by heating with a oxyhydrogen burner while a predetermined quartz glass rod is applied to the groove portion, and a built-up portion 427 is formed. Can be welded.

特に後者の方法によれば(1)バーナー加熱によるヒータ部材の酸化を極力防止することができ、(2)設定部材と蓋部材の間隔寸法をより均一化することができ、さらに(3)設定部材と蓋部材の外周部にSiO2 微粉による白色の曇りが発生するのを防止でき、本カーボンヒータの均熱性を向上せしめることができる。 In particular, according to the latter method, (1) oxidation of the heater member due to burner heating can be prevented as much as possible, (2) the distance between the setting member and the lid member can be made more uniform, and (3) setting White fogging due to SiO 2 fine powder can be prevented from occurring on the outer peripheral portions of the member and the lid member, and the heat uniformity of the carbon heater can be improved.

尚、防炎堤434は、蓋部材414に0.2〜1mmの高さで設けてもよく、さらには、設定部材412及び蓋部材414いずれにも、トータル高さが0.2〜1mmとなるように設けてもよい。   The fire barrier 434 may be provided on the lid member 414 at a height of 0.2 to 1 mm, and the total height of both the setting member 412 and the lid member 414 is 0.2 to 1 mm. You may provide so that it may become.

図65に示すように、設定部413にアルミナ粉415を充填し、そのアルミナ粉415でヒータ部材411を支持すると有利である。アルミナ粉415は、設定部413にアルミナ粉415及びヒータ部材411を配置した後で、1300℃程度の熱処理を行って焼結させる。   As shown in FIG. 65, it is advantageous to fill the setting portion 413 with alumina powder 415 and support the heater member 411 with the alumina powder 415. The alumina powder 415 is sintered by performing heat treatment at about 1300 ° C. after the alumina powder 415 and the heater member 411 are arranged in the setting portion 413.

これによってカーボンヒータの使用最高温度をより確実に1350℃程度まで引き上げることができる。   As a result, the maximum operating temperature of the carbon heater can be more reliably raised to about 1350 ° C.

アルミナ粉末は、例えば、次の手順で配置する。石英ガラス管428、429を溶接しアニールした後で、設定部材412の溝413にアルミナ粉末を純水で解いたペーストを流し込み、ヒータ部材411を設定した後で、ヒータ部材の上部にもアルミナペーストを流し込む。そして、200℃、3時間乾燥機で水分を除去する。   Alumina powder is arrange | positioned in the following procedure, for example. After the quartz glass tubes 428 and 429 are welded and annealed, a paste obtained by dissolving alumina powder with pure water is poured into the groove 413 of the setting member 412, and after setting the heater member 411, the alumina paste is also applied to the upper portion of the heater member. Pour. Then, moisture is removed with a dryer at 200 ° C. for 3 hours.

カーボンターミナル設定部421にはカーボンターミナル416が配置してあり、そこにヒータ部材411の両端が各々接続されている。   A carbon terminal 416 is disposed in the carbon terminal setting portion 421, and both ends of the heater member 411 are connected thereto.

図66、図67に示すように、ヒータ部材411はカーボンターミナル416の穴に差し込まれ、ネジ425によって固定されている。   As shown in FIGS. 66 and 67, the heater member 411 is inserted into the hole of the carbon terminal 416 and fixed by a screw 425.

また、カーボンターミナル416には、Mo製の金属電極417も接続されている。金属電極417の先端にはネジ426が切ってあり、カーボンターミナル416のネジ穴にネジ込み固定されている。   The carbon terminal 416 is also connected with a metal electrode 417 made of Mo. A screw 426 is cut at the tip of the metal electrode 417 and is fixed by screwing into a screw hole of the carbon terminal 416.

金属電極417は石英ガラス管428を通って外側に引き出され、電極432に接続されている。   The metal electrode 417 is drawn out through the quartz glass tube 428 and connected to the electrode 432.

そして、前述と同様に設定部材412と蓋部材414を溶接し密封固定した後に、石英ガラスの歪みを1150℃の熱処理によって解消する。この熱処理によって乾燥されたアルミナ粉末は仮焼状態となるが、これをさらに1300℃の熱処理を施すことによって焼結体とすることができる。設定部材412と蓋部材414の間には、0.2〜1.0mmの隙間が開いている。   Then, after the setting member 412 and the lid member 414 are welded and fixed in the same manner as described above, the distortion of the quartz glass is eliminated by heat treatment at 1150 ° C. The alumina powder dried by this heat treatment becomes a calcined state, and it can be made into a sintered body by further subjecting it to a heat treatment at 1300 ° C. A gap of 0.2 to 1.0 mm is opened between the setting member 412 and the lid member 414.

ガス導入管429にはフレキシブルチューブ433が接続され、そこから窒素ガス等の非酸化性ガスが吹き込まれる(矢印G)。吹き込まれたガスは、ガス導入通路423を通り、カーボンターミナル周辺部に流れる。そして、金属電極用石英管428を通って排出される。   A flexible tube 433 is connected to the gas introduction pipe 429, and a non-oxidizing gas such as nitrogen gas is blown from there (arrow G). The blown gas passes through the gas introduction passage 423 and flows around the carbon terminal. And it discharges through the quartz tube 428 for metal electrodes.

また、ヒータ部材411にもガスを供給するようにできるが、その際には、温度ムラが生じ易くなるため注意が必要である。   Further, gas can be supplied also to the heater member 411, but care must be taken in that case because temperature unevenness is likely to occur.

エグザンプル3−1
アルミナ粉を用いずに図62に示す形態のカーボンヒータを作成した。
Example 3-1
A carbon heater having the form shown in FIG. 62 was prepared without using alumina powder.

このカーボンヒータに窒素ガスを導入しながら加熱試験を行ったところ、カーボンワイヤヒータ部の温度が850℃で51V10.6Aであった。100時間使用しても抵抗変化はなく、安定した加熱を行うことができた。更に、カーボンヒータの温度を1300℃にしても2000時間以上問題なく使用可能であった。   When a heating test was conducted while introducing nitrogen gas into the carbon heater, the temperature of the carbon wire heater was 850 ° C. and 51 V 10.6 A. Even after 100 hours of use, there was no change in resistance, and stable heating could be performed. Furthermore, even if the temperature of the carbon heater was 1300 ° C., it could be used without problems for 2000 hours or more.

エグザンプル3−2
アルミナ粉を用いてカーボンワイヤを支持した点以外はエグザンプル3−1と同様にして、カーボンヒータを作成した。
Example 3-2
A carbon heater was prepared in the same manner as in Example 3-1, except that the carbon wire was supported using alumina powder.

窒素ガスを導入しながら加熱試験を行い、1350℃のヒータ温度(アルミナ粉表面温度)で200時間連続使用したが、抵抗増加等の不具合は無かった。その後、さらに温度を上昇させたところ、アルミナ粉表面が1550℃でヒータ部材が断線した。   A heating test was conducted while introducing nitrogen gas, and the heater was used continuously at a heater temperature of 1350 ° C. (alumina powder surface temperature) for 200 hours, but there were no problems such as an increase in resistance. Thereafter, when the temperature was further increased, the heater member was disconnected at 1550 ° C. on the surface of the alumina powder.

第3グループの発明の特に半導体製造装置用として有効なカーボンヒータは、従来のヒータに比べて耐用寿命が大幅に長く、また急速昇降温が可能である。   The carbon heater particularly effective for the semiconductor manufacturing apparatus of the third group of the invention has a significantly longer service life than conventional heaters and can be rapidly raised and lowered.

なお、第3グループの発明は前述の実施例に限定されない。例えば、ヒータの全体的形状は矩形平板に限らず、円形板や円筒形でも良い。また、設定部材だけでなく、蓋部材にも溝を形成することができる。   The invention of the third group is not limited to the above embodiment. For example, the overall shape of the heater is not limited to a rectangular flat plate, but may be a circular plate or a cylindrical shape. Further, the groove can be formed not only on the setting member but also on the lid member.

第4−1グループの発明
以下、図87、図89〜92を参照して第4−1グループの発明の好適な実施例を説明する。
4-1 Group Invention A preferred embodiment of the 4-1 group invention will now be described with reference to FIGS. 87 and 89-92.

図89は第4−1グループの発明による特に半導体熱処理装置用として有効なカーボンヒータの使用状態を示す斜視図である。また、図90はカーボンヒータの詳細を示す上面図、図91は一部分を省略した側面図、また図87は図91の一部分の拡大図である。   FIG. 89 is a perspective view showing a use state of a carbon heater particularly effective for a semiconductor heat treatment apparatus according to the invention of the 4-1th group. 90 is a top view showing details of the carbon heater, FIG. 91 is a side view with a part omitted, and FIG. 87 is an enlarged view of a part of FIG.

第4−1グループの発明のカーボンヒータ610では、複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本編み込んでワイヤ状にしたヒータ部材612を使用する。   In the carbon heater 610 of the invention of the 4-1th group, a heater member 612 in which a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers are knitted into a wire shape is used.

ヒータ部材612として用いるカーボンワイヤの具体例としては、例えば、直径7μmのカーボンファイバーを300本束ねたカーボンファイバー束を9本用いて、直径約2mmのワイヤ形状に編み込んだものがある。また、上記編み込みのスパンは約3mmであり、カーボンファイバーによる表面の毛羽立ちは各々0.5〜2.5mm程度である。   As a specific example of the carbon wire used as the heater member 612, for example, there is a carbon wire bundle of 300 carbon fibers having a diameter of 7 μm and knitted into a wire shape having a diameter of about 2 mm using nine carbon fiber bundles. Further, the span of the weaving is about 3 mm, and the surface fluff due to the carbon fiber is about 0.5 to 2.5 mm.

ヒータ部材612は、石英ガラス支持体12のほぼ中心面上で同心円状にジグザグに配置されている。配線形態は、渦巻状やその他の形状でも良い。   The heater members 612 are arranged concentrically and zigzag on substantially the center plane of the quartz glass support 12. The wiring form may be spiral or other shapes.

ヒータ部材612の端子線は、例えば直径3mmの穴21からヒータ面13と垂直に引き出されている。   The terminal wire of the heater member 612 is drawn perpendicularly to the heater surface 13 from a hole 21 having a diameter of 3 mm, for example.

配線用溝は、「凸」の字状に形成されている。   The wiring groove is formed in a “convex” shape.

熱処理によって、石英ガラス支持体602、すなわち2枚の石英ガラス板の接合面全体を融着して実質的に一体化されている。すなわち、このカーボンヒータはヒータ部材612を2本封入した板状の石英ガラス支持体602によって実質的に一体化されたものであって、この板状の石英ガラス支持体602中の上記ヒータ部材612の周辺部には、該ヒータ部材612の表面に形成されたカーボンファイバーの毛羽立ちによって中空の空間が形成された構造となっている。   Through the heat treatment, the quartz glass support 602, that is, the entire joining surface of the two quartz glass plates is fused and substantially integrated. That is, this carbon heater is substantially integrated by a plate-like quartz glass support 602 in which two heater members 612 are enclosed, and the heater member 612 in the plate-like quartz glass support 602 is integrated. A hollow space is formed in the peripheral portion of the heater member 612 by fuzz of carbon fibers formed on the surface of the heater member 612.

この実施例の端子部作製方法を説明する。   A method for manufacturing the terminal portion of this embodiment will be described.

1)N2 を流しながら大径(例えば直径19mm)の石英透明パイプ603を平板状石英容器に溶接する。割れ防止のため適宜アニール処理(例えば1150℃、1hrで除冷)を行う。 1) A quartz transparent pipe 603 having a large diameter (for example, a diameter of 19 mm) is welded to a flat quartz container while N 2 is flowing. Annealing treatment (for example, cooling at 1150 ° C. for 1 hour) is appropriately performed to prevent cracking.

2)小径(例えば直径9mm)の石英パイプ661中にヒモを用いて複数のワイヤー状カーボンを引張り込む。そして、この石英パイプを石英容器の設定穴に挿入する。なお、ワイヤーは小径の石英パイプ661中にきつめに配置される。   2) A plurality of wire-like carbons are pulled into a quartz pipe 661 having a small diameter (for example, a diameter of 9 mm) using a string. Then, this quartz pipe is inserted into the setting hole of the quartz container. The wire is tightly arranged in the small diameter quartz pipe 661.

3)各部材を図87のように配置して、接続部材640を組み立てる。その際、カラ迴り用カーボン材662の作用で、カーボンワイヤの切断を防止できる。   3) The members are arranged as shown in FIG. 87, and the connecting member 640 is assembled. At this time, the cutting of the carbon wire can be prevented by the action of the carbonizing carbon material 662.

4)予め溶接により接合されていた不透明パイプ603aの下部に、透明パイプを溶接する。その際、枝パイプ664からN2 ガスを導入してヒータ部材の酸化を防ぐ。 4) A transparent pipe is welded to the lower part of the opaque pipe 603a previously joined by welding. At that time, N 2 gas is introduced from the branch pipe 664 to prevent oxidation of the heater member.

5)N2 を導入しながら下部透明パイプの下側に封止端子を取り付ける。 5) A sealing terminal is attached to the lower side of the lower transparent pipe while introducing N 2 .

6)枝管664から真空引きし、ヒータ内部を減圧する。その後、技管664のつけ根664aを火炎で丸めて封着し、枝管664を取る。   6) A vacuum is drawn from the branch pipe 664 to reduce the pressure inside the heater. Thereafter, the root 664a of the technique tube 664 is rolled and sealed with a flame, and the branch tube 664 is taken out.

ヒータ部材612の端部は、ヒータ部材からヒータ面に対してほぼ垂直に引き出され、カーボン端子を介してMo端子線641に接続されている。これらはガラス管内に配置されている。そして、Mo端子線641は、Mo箔655を介して2本のMo外接線653に接続されている。Mo箔655はピンチシールされている。   The end of the heater member 612 is drawn from the heater member substantially perpendicular to the heater surface, and is connected to the Mo terminal wire 641 through a carbon terminal. These are arranged in a glass tube. The Mo terminal line 641 is connected to the two Mo outer tangent lines 653 via the Mo foil 655. The Mo foil 655 is pinch-sealed.

尚、上記第4−1グループの発明のカーボンヒータは、上記の端子部以外は上述の第2グループの発明のカーボンヒータと同一の構成であり、また同等の製造方法によって製造される。   The carbon heater of the 4-1 group invention has the same configuration as the carbon heater of the 2nd group invention except for the terminal portion, and is manufactured by an equivalent manufacturing method.

また、第4−1グループの発明のカーボンヒータは、上記端子部及び石英ガラス支持体(融着方法)以外の構造は、後述する第4−2グループの発明のカーボンヒータと同じ構成にすることができる。   The structure of the carbon heater of the invention of the 4-1 group is the same as that of the carbon heater of the invention of the 4-2 group described later, except for the terminal part and the quartz glass support (fusion method). Can do.

このような構成にすることにより、本カーボンヒータの上方に約100mm離間し配置した半導体ウエハ面上での温度ムラを±0.5℃以下に保つことができる。また、コンパクト化が可能であり、製造が容易でコスト的にもメリットが大である。   By adopting such a configuration, the temperature unevenness on the surface of the semiconductor wafer disposed approximately 100 mm apart above the carbon heater can be kept at ± 0.5 ° C. or less. Further, it can be made compact, easy to manufacture, and has great merit in terms of cost.

石英透明ガラスパイプ603の途中に配置した不透明石英ガラスパイプ603aは、ヒータ部から伝わる石英透明ガラスパイプ603の内部の熱幅射及びこれ自身による熱伝導を遮断する効果がある。これにより、Moロッド641、653の酸化を防止でき、さらに石英ピンチ部656の破損を効果的に防止できる。   The opaque quartz glass pipe 603a disposed in the middle of the quartz transparent glass pipe 603 has an effect of blocking the heat radiation inside the quartz transparent glass pipe 603 transmitted from the heater portion and the heat conduction by itself. Thereby, the oxidation of the Mo rods 641 and 653 can be prevented, and further, the breakage of the quartz pinch portion 656 can be effectively prevented.

また、この実験例では、中子635と円筒中子648の間にカラ迴り用カーボン材662を介在させているので、中子によってワイヤー状カーボンを押圧する際に、中子が回転してカーボンワイヤが切断される不具合を解消することができる。   In this experimental example, since the carbon material 662 for curling is interposed between the core 635 and the cylindrical core 648, the core rotates when the wire-like carbon is pressed by the core. The problem that the carbon wire is cut can be solved.

第4−2グループの発明
以下、図70〜図75を参照して第4−2グループの発明の好適な実施例を説明する。
4-2 Group Invention Hereinafter, a preferred embodiment of the 4-2 group invention will be described with reference to FIGS.

図70は第4−2グループの発明による特に半導体熱処理装置用として有効なカーボンヒータの使用状態を示す斜視図、図71はカーボンヒータ単体を示す斜視図である。また、図72は図71のカーボンヒータの詳細を示す上面図、図73は一部分を省略した側面図である。   FIG. 70 is a perspective view showing a use state of a carbon heater particularly effective for a semiconductor heat treatment apparatus according to the invention of the 4-2 group, and FIG. 71 is a perspective view showing a carbon heater alone. 72 is a top view showing details of the carbon heater of FIG. 71, and FIG. 73 is a side view with a part omitted.

第4−2グループの発明のカーボンヒータ510では、複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本編み込んでワイヤ状にしたヒータ部材515を使用する。ヒータ部材515の断面は、円形に限らず偏平した形状でも良い。   In the carbon heater 510 of the invention of the 4-2th group, a heater member 515 in which a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers are knitted into a wire shape is used. The cross section of the heater member 515 is not limited to a circle but may be a flat shape.

ヒータ部材515の具体例としては、例えば、直径7μmのカーボンファイバーを300本束ねたカーボンファイバー束を9本用いて、直径約2mmのワイヤ形状に編み込んだものがある。また、上記編み込みのスパンは約3mmであり、カーボンファイバーによる表面の毛羽立ちは、各々0.5〜2.5mm程度である。   As a specific example of the heater member 515, for example, there is one in which nine carbon fiber bundles obtained by bundling 300 carbon fibers having a diameter of 7 μm are knitted into a wire shape having a diameter of about 2 mm. Moreover, the span of the braid is about 3 mm, and the surface fluff due to the carbon fiber is about 0.5 to 2.5 mm.

このようなヒータ部材515を用いることにより、電流負荷密度を従来のMo−Si線に比べて1.5倍程度に向上でき、急速加熱が可能となる。   By using such a heater member 515, the current load density can be improved to about 1.5 times that of a conventional Mo-Si wire, and rapid heating becomes possible.

ヒータ部材515は石英ガラス平板容器の下容器511の設定凹所516内に配置され、そこに上容器512が被せられる。ヒータ部材515は、石英ガラス平板容器の下容器511と上容器512の間に挟まれる格好で、容器内に封じ込まれる。   The heater member 515 is disposed in the setting recess 516 of the lower container 511 of the quartz glass flat plate container, and the upper container 512 is covered thereon. The heater member 515 is sealed in the container so as to be sandwiched between the lower container 511 and the upper container 512 of the quartz glass flat plate container.

上容器512の上面がヒータ面512となるが、この実施例ではヒータ面512は半円形の平面である。   Although the upper surface of the upper container 512 is the heater surface 512, in this embodiment, the heater surface 512 is a semicircular plane.

設定凹所516は図72の平面で左右対称に配置されており、その対称線上にガスを導くためのガス通路517とガス導入・排出口518が形成されている。   The setting recesses 516 are arranged symmetrically on the plane of FIG. 72, and a gas passage 517 and a gas introduction / exhaust port 518 for guiding gas are formed on the symmetry line.

ヒータ部材515の配線形態、すなわち設定凹所516の形状は任意で良い。図示の例ではジグザグ状であるが、渦巻状やその他の形状でも良い。   The wiring form of the heater member 515, that is, the shape of the setting recess 516 may be arbitrary. In the illustrated example, the shape is zigzag, but it may be spiral or other shapes.

石英ガラス容器511、512は、半円形状で中心部に半円形の切欠きを有する割型になっている。それゆえ、図70に示すように、2個組み合わせればドーナツ状ヒータ520を形成できる。   The quartz glass containers 511 and 512 have a semicircular shape and a split shape having a semicircular cutout at the center. Therefore, as shown in FIG. 70, if two are combined, a donut-shaped heater 520 can be formed.

設定凹所516の両端部には棒状端子挿入部519が形成してあり、棒状端子521がヒータ面531と垂直に配置されている。棒状端子521には、ヒータ部材515の端部が接続されている。上容器512の対応位置にも、棒状端子用の溝が形成されている。   A rod-shaped terminal insertion portion 519 is formed at both ends of the setting recess 516, and the rod-shaped terminal 521 is disposed perpendicular to the heater surface 531. The end of the heater member 515 is connected to the rod-shaped terminal 521. Grooves for rod-shaped terminals are also formed at corresponding positions of the upper container 512.

棒状端子挿入部519には石英ガラス管513が接続されている。石英ガラス管513は、下容器511の下面にヒータ面531と垂直に密封固定されている。   A quartz glass tube 513 is connected to the rod-shaped terminal insertion portion 519. The quartz glass tube 513 is hermetically fixed to the lower surface of the lower container 511 perpendicular to the heater surface 531.

石英ガラス管513の一部に不透明石英を使用することができる。この場合、ヒータ側からの光による熱伝達及び熱伝導を抑えることができる。そして、それより下部に配置する部材の温度上昇を抑制し、当該部材を保護すると共に熱ロスを防止することができる。   Opaque quartz can be used for part of the quartz glass tube 513. In this case, heat transfer and heat conduction by light from the heater side can be suppressed. And the temperature rise of the member arrange | positioned below it can be suppressed, the said member can be protected, and a heat loss can be prevented.

石英ガラス管513の内部において端子部本体523と棒状端子521は、複数のワイヤー状カーボンからなる端子線522によって接続されている。端子部本体523からはMo製の内接線524が下方に導き出されている。このように、導電線として複数のワイヤー状カーボンからなる端子線522を使用することにより、電気抵抗を下げ発熱を抑えることができる。   Inside the quartz glass tube 513, the terminal body 523 and the rod-like terminal 521 are connected by terminal wires 522 made of a plurality of wire-like carbons. An inscribed line 524 made of Mo is led downward from the terminal portion main body 523. Thus, by using the terminal wire 522 made of a plurality of wire-like carbons as the conductive wire, the electrical resistance can be reduced and heat generation can be suppressed.

石英ガラス管513の下端部には、石英ガラス製のキャップ526が接続されている。内接線524はキャップ526を通って下方に引き出されている。   A quartz glass cap 526 is connected to the lower end of the quartz glass tube 513. The inscribed line 524 is drawn downward through the cap 526.

引き出された内接線524の下端部はMo製の箔体528の上部に接続されている。箔体528の下部にはMo製の外接線529が接続されている。外接線529は、図73では二極であるが一極の場合もある。Mo製の箔体528は石英封止端子527によって密封されている。この石英封止端子527は、石英製のキャップ526の先端部を加熱軟化させピンチして(はさみ込んで)密封している。   The lower end portion of the drawn inscribed line 524 is connected to the upper portion of the Mo foil body 528. An external tangent 529 made of Mo is connected to the lower part of the foil body 528. The outer tangent line 529 is two poles in FIG. 73, but may be one pole. The foil body 528 made of Mo is sealed with a quartz sealing terminal 527. The quartz sealing terminal 527 is heat-softened and pinched (pinched) and sealed at the tip of a quartz cap 526.

ところで、内接線524をそのままキャップの外に出してピンチした場合には、Moと石英の熱膨張係数差によって石英封止端子527に亀裂等が発生し、密封性が阻害されてしまう不具合が生じる。このような不具合を解消するために、箔体528を介在させ、石英封止端子527でピンチして密封するのである。   By the way, when the inscribed line 524 is taken out of the cap as it is and pinched, a crack or the like occurs in the quartz sealing terminal 527 due to the difference in thermal expansion coefficient between Mo and quartz, and the sealing performance is hindered. . In order to eliminate such a problem, the foil body 528 is interposed, and the quartz sealing terminal 527 is pinched and sealed.

図74、図75に示すように、上容器512と下容器511の対向面はLの距離をおいて対向している。距離Lは、0.2〜1mmである。   As shown in FIGS. 74 and 75, the opposing surfaces of the upper container 512 and the lower container 511 are opposed to each other with a distance L. The distance L is 0.2-1 mm.

距離Lを短め(0.2mm付近)にする場合には、上下容器511、512の対向面を鏡面加工することが好ましい。これは、つや出しで面ダレが発生した時に、対向面が接してしまい、破損を招く可能性があるからである。距離Lが0.2mm未満では、破損の可能性が大きくなる。   When the distance L is short (near 0.2 mm), it is preferable to mirror the opposing surfaces of the upper and lower containers 511 and 512. This is because when the surface sag occurs due to the gloss, the opposing surfaces may come into contact with each other, possibly causing damage. If the distance L is less than 0.2 mm, the possibility of breakage increases.

距離Lを長め(1mm付近)にとる場合には、面接触の恐れがないので鏡面加工の必要はない。距離Lが1mmを超える場合には、溶接用火炎が入り込み、発熱体515が酸化される恐れが大きくなる。   When the distance L is long (around 1 mm), there is no need for mirror finishing because there is no risk of surface contact. When the distance L exceeds 1 mm, a welding flame enters and the heat generating element 515 is likely to be oxidized.

上下容器511、512の周囲には、開先が設けてある。これにより、上下容器511、512の溶接強度を飛躍的に向上できる。開先を設けずに直角コーナのみを溶接すると、辺溶接となって十分な溶接強度を得ることができない。   A groove is provided around the upper and lower containers 511 and 512. Thereby, the welding strength of the upper and lower containers 511 and 512 can be dramatically improved. If only a right-angled corner is welded without providing a groove, it becomes side welding and sufficient welding strength cannot be obtained.

例えば、上下容器511、512の肉厚が6mmの場合には、開先の面取りをC5とする。一般には、Cの幅:yは、y≦t−1mm(tは肉厚)によって選定されることが好ましい。これは上下容器511、512の上端及び下端を1mm程残すことによって、これらのチッピングを防止するためである。また、溶接は開先部だけでなく、符号532で示すように1mm程度肉盛り溶接することが好ましく、その場合にはさらに強度を向上できる。   For example, when the thickness of the upper and lower containers 511 and 512 is 6 mm, the chamfering of the groove is C5. In general, the width of C: y is preferably selected according to y ≦ t−1 mm (t is the thickness). This is to prevent such chipping by leaving about 1 mm at the upper and lower ends of the upper and lower containers 511 and 512. Further, it is preferable to weld not only the groove portion but also about 1 mm as shown by reference numeral 532, and in that case, the strength can be further improved.

なお、上下容器511、512の間隔を開けることは、溶接時の局部的な温度差による破損を防止するのにも役立つ。   In addition, opening the space | interval of the upper and lower containers 511 and 512 is useful also for preventing the failure | damage by the local temperature difference at the time of welding.

上下容器511、512の間隔を設けて、これらを溶接するためには、図75の場合には、厚さが0.2〜1mmのスペーサを介在させ、上下容器511、512の外周部を3〜4点肉盛溶接し、スペーサを取り除いた後に、外周部全域を肉盛り溶接すればよい。また、図74のように、上容器512の外周側全域にあらかじめ高さ0.2〜1mmで幅0.1〜9mm程度の防炎堤534を上容器512に一体成形もしくは溶接により形成したおき、この上容器512と下容器511を重ね合わせた後、所定の石英ガラス棒を開先部にあてがいながら酸水素バーナーで加熱することによって防炎堤部を溶着させ、さらに肉盛部532を形成して溶接することができる。   In order to weld the upper and lower containers 511 and 512 with a space therebetween, in the case of FIG. 75, a spacer having a thickness of 0.2 to 1 mm is interposed, and the outer peripheral portions of the upper and lower containers 511 and 512 are 3 After the 4-point overlay welding and removing the spacer, the entire outer peripheral portion may be overlay welded. In addition, as shown in FIG. 74, a fire barrier 534 having a height of 0.2 to 1 mm and a width of about 0.1 to 9 mm is previously formed on the upper container 512 by integral molding or welding over the entire outer peripheral side of the upper container 512. Then, after superposing the upper container 512 and the lower container 511, the flame barrier is welded by heating with a oxyhydrogen burner while a predetermined quartz glass rod is applied to the groove portion, and the built-up portion 532 is formed. And can be welded.

特に後者の方法によれば(1)バーナー加熱によるヒータ部材の酸化を極力防止することができ、(2)上下容器の間隔寸法をより均一化することができ、さらに(3)上下容器の外周部にSiO2 微粉による白色の曇りが発生するのを防止でき、本カーボンヒータの均熱性を向上せしめることができる。 In particular, according to the latter method, (1) oxidation of the heater member due to burner heating can be prevented as much as possible, (2) the distance between the upper and lower containers can be made more uniform, and (3) the outer circumference of the upper and lower containers It is possible to prevent the occurrence of white cloudiness due to SiO 2 fine powder in the portion, and to improve the heat uniformity of the present carbon heater.

尚、防炎堤534は、下容器511に0.2〜1mmの高さで設けてもよく、さらには、上容器512及び下容器511いずれにも、トータル高さが0.2〜1mmとなるように設けてもよい。   The fire barrier 534 may be provided at a height of 0.2 to 1 mm in the lower container 511. Furthermore, the total height of both the upper container 512 and the lower container 511 is 0.2 to 1 mm. You may provide so that it may become.

上下容器511、512の溶接は、ガス導入・排出口518に接続したガス導入用パイプ514から、窒素ガスを導入しながら行う。窒素ガスを導入して窒素ガスを周囲から流れ出させ、溶接用の酸水素火炎を押し戻すようにして、設定凹所516に配置した発熱体515の酸化を防止する。ガス通路517は、このような窒素ガスの作用に適するように配置する必要がある。また、窒素ガスの導入は、石英ガラスパイプ中の端子や端子線のワイヤー状カーボンの酸化防止にも役立つ。   The upper and lower containers 511 and 512 are welded while introducing nitrogen gas from a gas introduction pipe 514 connected to the gas introduction / discharge port 518. Nitrogen gas is introduced to flow out from the surroundings, and the oxyhydrogen flame for welding is pushed back to prevent the heating element 515 disposed in the setting recess 516 from being oxidized. The gas passage 517 needs to be arranged so as to be suitable for the action of such nitrogen gas. Introducing nitrogen gas is also useful for preventing oxidation of wire-like carbon in terminals and terminal wires in quartz glass pipes.

溶接後に行うアニール工程でも、窒素ガスを導入しながら作業を進める。   Even in the annealing process after welding, work is carried out while introducing nitrogen gas.

その後で、やはり窒素を導入しながら、ワイヤー状カーボン522を石英ガラス管513にセットし、封着用端子523を取り付ける。   Thereafter, while introducing nitrogen, the wire-like carbon 522 is set on the quartz glass tube 513 and the sealing terminal 523 is attached.

端子部本体設定後のアニール工程も窒素ガスを導入しながら行う。   The annealing process after setting the terminal body is also performed while introducing nitrogen gas.

導入ガスとしては、窒素、ヘリウム、アルゴン、ネオン等の非酸化性ガスを用いることができるが、経済性の点からは窒素が妥当である。   As the introduced gas, a non-oxidizing gas such as nitrogen, helium, argon, or neon can be used, but nitrogen is appropriate from the viewpoint of economy.

石英ガラス容器の組み立てが終わったら、容器内の排気を行って容器内を所定の圧力に設定する。これによって、前述の石英ガラス管513内も、所定の減圧状態となる。   When the assembly of the quartz glass container is completed, the container is evacuated to set the container at a predetermined pressure. As a result, the above-described quartz glass tube 513 is also in a predetermined reduced pressure state.

一般に、カーボン材は、酸化され易いため窒素等の非酸化性ガスを容器内に充填するか又は容器内を真空にする必要がある。しかし、真空状態では、カーボン材と石英ガラスとの反応が促進する傾向があるため、本発明では容器内に非酸化性ガスを充填する方法を採用する。   In general, since carbon materials are easily oxidized, it is necessary to fill a container with a non-oxidizing gas such as nitrogen or to evacuate the container. However, since the reaction between the carbon material and quartz glass tends to accelerate in a vacuum state, the present invention employs a method of filling the container with a non-oxidizing gas.

窒素ガスを導入しながらヒータを加熱する方法では、窒素ガスラインの設置等により熱処理装置の構造が複雑になるため、本発明の好ましい態様では容器内部を密閉型にして若干の窒素ガスを内部に封入する。封入圧力は、以下のようにして定める。   In the method of heating the heater while introducing nitrogen gas, the structure of the heat treatment apparatus becomes complicated due to the installation of a nitrogen gas line, etc. Therefore, in a preferred embodiment of the present invention, the inside of the container is sealed and a slight amount of nitrogen gas is put inside. Encapsulate. The sealing pressure is determined as follows.

例えば、1000℃で使用し、熱処理炉内の圧力が真空と常圧の両方で使用する場合は、ヒータ内部の圧力は、0〜1atmの中間をとって0.5atm程度に設定する。1000℃で0.5atmにするためには、室温20℃では0.5atm×293K/1273K×760Torr/atm=87Torrとなり、室温で87Torrになるようにヒータ内部を減圧してから封じる。ヒータ内部は、例えば0.1気圧程度に設定する。   For example, when it is used at 1000 ° C. and the pressure in the heat treatment furnace is used in both a vacuum and a normal pressure, the pressure inside the heater is set to about 0.5 atm taking a middle of 0 to 1 atm. In order to obtain 0.5 atm at 1000 ° C., 0.5 atm × 293 K / 1273 K × 760 Torr / atm = 87 Torr at room temperature 20 ° C., and the inside of the heater is depressurized and sealed so as to be 87 Torr at room temperature. The inside of the heater is set to about 0.1 atm, for example.

すなわち、窒素ガスを導入しながら組み立て作業を行い、組み立て終了後にガス導入管514から窒素ガスを抜き、容器内部を所定の圧力に調整する。   That is, an assembly operation is performed while introducing nitrogen gas, and after the assembly is completed, nitrogen gas is extracted from the gas introduction pipe 514 and the inside of the container is adjusted to a predetermined pressure.

容器内を減圧することは、石英ガラス容器の寿命の点でも有利である。コンピュータシミュレーションの結果、ヒータ用の石英ガラス容器では、外部からの圧力より内部からの圧力に対して破損に弱いとの結果が得られている。窒素ガスを常温で1気圧に封入すると、ヒータ加熱時には内部の窒素が膨張し、石英ガラス容器の内部から圧力が作用することになる。   Depressurizing the inside of the container is also advantageous in terms of the life of the quartz glass container. As a result of computer simulation, it has been obtained that the quartz glass container for the heater is weaker to damage than the pressure from the outside against the pressure from the inside. When nitrogen gas is sealed at 1 atm at room temperature, the nitrogen inside expands when the heater is heated, and pressure acts from the inside of the quartz glass container.

最後に、ガス導入管514は、下容器511の下面に近い位置で火炎により封じて除去する。このため、ガス導入管514と石英ガラス管513は、閉栓作業ができる程度の間隔をおいて配置する。   Finally, the gas introduction pipe 514 is removed by sealing with a flame at a position close to the lower surface of the lower container 511. For this reason, the gas introduction tube 514 and the quartz glass tube 513 are arranged at an interval that allows a capping operation.

なお、本発明の特に半導体処理装置用としての有効なカーボンヒータは上述のような熱処理装置のみならず、半導体を高温化で洗浄を行う洗浄装置などにも適用することができる。   The carbon heater particularly effective for a semiconductor processing apparatus of the present invention can be applied not only to the heat treatment apparatus as described above but also to a cleaning apparatus for cleaning a semiconductor at a high temperature.

エグザンプル4−2−1
厚さ8.0mmの石英ガラス板に溝加工及び外径加工を施し、その後、加工表面に酸水素火炎でつや出し処理を行って外径240mmの半円形、石英ガラス製下容器を得た。また、厚さ8.0mmの石英ガラス板を用いて下容器に対応する上容器を形成した。
Example 4-2-1
A quartz glass plate having a thickness of 8.0 mm was subjected to grooving and outer diameter processing, and then the processed surface was polished with an oxyhydrogen flame to obtain a semicircular, quartz glass lower container having an outer diameter of 240 mm. Moreover, the upper container corresponding to a lower container was formed using the quartz glass plate of thickness 8.0mm.

下容器にはガス導入用の石英ガラス管と端子用の石英ガラス管を下容器に溶接した。前者の外径は6.5mm、後者の外径は25.4mmであった。   A quartz glass tube for introducing gas and a quartz glass tube for terminals were welded to the lower container. The outer diameter of the former was 6.5 mm, and the outer diameter of the latter was 25.4 mm.

下容器の溝内及び端子用ガラス管内にヒータ部材と端子一式を配置して上容器を被せ、ガス導入用ガラス管から窒素ガスを導入しながら、上下容器の外周を溶接した。なお、開先はC5とし、溶接肉盛りは1mmとした。これ以降の工程も、原則として、窒素ガスを導入しながら行った。   A heater member and a set of terminals were placed in the groove of the lower container and in the terminal glass tube, and the upper container was covered. The groove was C5 and the weld overlay was 1 mm. The subsequent steps were also performed while introducing nitrogen gas in principle.

ヒータ部材の他端の端子部本体を石英ガラス管の解放端に配置して封着した。   The terminal body of the other end of the heater member was placed at the open end of the quartz glass tube and sealed.

そして、アニール処理を行った。 Then, an annealing process was performed.

最後に、ガス導入用の石英ガラス管から窒素ガスを排気し、容器内圧力を180Torrに設定して、ガス導入用管を封着除去した。   Finally, nitrogen gas was exhausted from the quartz glass tube for gas introduction, the pressure in the container was set to 180 Torr, and the gas introduction tube was sealed and removed.

以上の手順で製作した断面T字形のカーボンヒータを用いて、加熱試験を行った。   A heating test was performed using a carbon heater having a T-shaped cross section manufactured by the above procedure.

発熱体に電流を流し、ヒータ温度が放射温度計で1100℃になった時点でヒータ内圧力を測定したところ、約1気圧であった。また、複数本のカーボンワイヤ束部の温度は、105℃であった。   When a current was passed through the heating element and the heater temperature reached 1100 ° C. with a radiation thermometer, the pressure inside the heater was measured and found to be about 1 atmosphere. In addition, the temperature of the plurality of carbon wire bundle portions was 105 ° C.

室温からヒータ温度が1100℃になるまでに要した時間は、約10秒であった。   The time required for the heater temperature to reach 1100 ° C. from room temperature was about 10 seconds.

ヒータ温度1100℃で1000時間継続使用したが、異常は認められなかった。   Although it was continuously used at a heater temperature of 1100 ° C. for 1000 hours, no abnormality was observed.

また、ヒータ温度1300℃の加熱も問題なく行うことができた。   Further, heating at a heater temperature of 1300 ° C. could be performed without any problem.

以下、図76〜図86を参照して第4−2グループの発明のより好適な実施例を説明する。   Hereinafter, a more preferred embodiment of the invention of the 4-2th group will be described with reference to FIGS.

図76は、本発明のカーボンヒータ用端子装置を適用したカーボンヒータを示す斜視図である。図77は、その上面図である。   FIG. 76 is a perspective view showing a carbon heater to which the terminal device for carbon heater of the present invention is applied. FIG. 77 is a top view thereof.

カーボンヒータ601は、半ドーナツ形の石英ガラス製容器602を有し、その下部に石英ガラス管603が垂直に接続されている。   The carbon heater 601 has a semi-doughnut-shaped quartz glass container 602, and a quartz glass tube 603 is vertically connected to the lower part thereof.

石英ガラス製容器602は容器本体とフタ部材から構成され、容器本体にはヒータ部材612を配置するための溝604が形成されている。溝604の両端には、端子装置を配置するための端子用凹所606が設けてある。また、容器602内を非酸化性雰囲気にするためのガス導入・排出口608とガス通路607も形成されている。   The quartz glass container 602 includes a container main body and a lid member, and a groove 604 for arranging the heater member 612 is formed in the container main body. At both ends of the groove 604, terminal recesses 606 for arranging the terminal device are provided. In addition, a gas inlet / outlet 608 and a gas passage 607 for making the inside of the container 602 into a non-oxidizing atmosphere are also formed.

カーボンヒータ601を2個組合わせて円形のヒータ面を形成し、半導体製造装置用ヒータとして用いることができる。   Two carbon heaters 601 can be combined to form a circular heater surface and used as a semiconductor manufacturing apparatus heater.

端子用凹所606及び石英ガラス管603内には、本発明における端子装置が配置される。   In the terminal recess 606 and the quartz glass tube 603, the terminal device according to the present invention is arranged.

本発明の端子装置には、ヒータ部材612と複数のワイヤー状カーボン端子線613を接続するための第1の端子装置610及び600、複数のワイヤー状カーボン端子線613と金属製端子線641を接続するための第2の端子装置640、石英ガラス管603の内側の金属端子線(内接線)641と電源側の金属製端子線(外接線)653を接続するための第3の端子装置650の3種類がある。   In the terminal device of the present invention, the first terminal devices 610 and 600 for connecting the heater member 612 and the plurality of wire-like carbon terminal wires 613, and the plurality of wire-like carbon terminal wires 613 and the metal terminal wires 641 are connected. Of the second terminal device 640 for connecting the metal terminal wire (inscribed line) 641 inside the quartz glass tube 603 and the metal terminal wire (outer tangent wire) 653 on the power source side of the third terminal device 650 There are three types.

先ず、図78〜図83を参照して、第1の端子装置について説明する。   First, the first terminal device will be described with reference to FIGS. 78 to 83.

この端子装置620は、中間部材634を用いて端子部材611と端子線接続部材616を接続する構成になっている。   The terminal device 620 is configured to connect the terminal member 611 and the terminal wire connecting member 616 using an intermediate member 634.

棒状端子部材611の外形は、全体的に円柱棒状である。棒状端子部材611の一端側には、ヒータ部材612を挿入するための貫通穴614が端面と平行に形成されている。この貫通穴614に通じるように、ねじ穴623が設けてある。貫通穴614とねじ穴623は、図80に示すように、貫通穴614の中央でT字形に交差している。   The external shape of the rod-shaped terminal member 611 is a cylindrical rod shape as a whole. A through hole 614 for inserting the heater member 612 is formed on one end side of the rod-shaped terminal member 611 in parallel with the end surface. A screw hole 623 is provided so as to communicate with the through hole 614. The through hole 614 and the screw hole 623 intersect with each other in a T shape at the center of the through hole 614 as shown in FIG.

貫通穴614にヒータ部材612を挿入し、ねじ穴623に固定用ねじ619をねじ込んで発熱体612を固定する。このようにすれば、確実にしっかりと固定でき、スパークを発生させずに棒状端子部材611からヒータ部材612に電力を供給することができる。   The heater member 612 is inserted into the through hole 614 and the fixing screw 619 is screwed into the screw hole 623 to fix the heating element 612. If it does in this way, it can fix firmly and can supply electric power to the heater member 612 from the rod-shaped terminal member 611, without generating a spark.

棒状端子部材611の他端側には、端子線ガイド部材616を接続するための大径のめくらねじ穴615が形成されている。めくらねじ穴は軸線上に配置される。端子線ガイド部材616は、中間部材633を介し、端子部材611に連結される。   On the other end side of the rod-shaped terminal member 611, a large-diameter blind screw hole 615 for connecting the terminal wire guide member 616 is formed. The blind screw hole is arranged on the axis. The terminal wire guide member 616 is connected to the terminal member 611 via the intermediate member 633.

中間部材633は、外周におねじ部634を有する円筒形の部材である。   The intermediate member 633 is a cylindrical member having a threaded portion 634 on the outer periphery.

端子線接続部材616は、全体的に円筒状に形成される。その貫通穴は、下端部付近で円錐状に狭くなっている。その反対側の接続端側の内周には、中間部材633のおねじ部634に対応するめねじ部622が形成されている。   The terminal line connecting member 616 is formed in a cylindrical shape as a whole. The through hole is conically narrow near the lower end. A female thread portion 622 corresponding to the male thread portion 634 of the intermediate member 633 is formed on the inner periphery of the opposite connection end side.

端子線接続部材616の貫通穴内には中子部材635が挿入される。中子部材635はプレーンな円筒状であり、端子線側の端部は円錐状に突出している。   A core member 635 is inserted into the through hole of the terminal line connecting member 616. The core member 635 has a plain cylindrical shape, and an end portion on the terminal wire side projects in a conical shape.

中子部材635は、その一部分のみが端子線接続部材616の貫通穴内に挿入されるようにすることもできる。そのためには、例えば、中間部材633に凹所を形成すれば良い。   Only a part of the core member 635 may be inserted into the through hole of the terminal wire connecting member 616. For this purpose, for example, a recess may be formed in the intermediate member 633.

ワイヤー状カーボンからなる端子線613は、端子線接続部材616と中子部材635の間に挟まれて分配された状態で押圧固定される。中子部材635の外側に浅い溝を複数本設けて、分割したワイヤを案内するようにしても良い。   The terminal wire 613 made of wire-like carbon is pressed and fixed in a state of being sandwiched and distributed between the terminal wire connecting member 616 and the core member 635. A plurality of shallow grooves may be provided outside the core member 635 to guide the divided wires.

組み立てに際しては、図78に示すように、複数のワイヤー状カーボン端子線613を複数のワイヤ613aに適当に分配して中子部材635で軽く押え、ズレないようにして中間部材635のネジ込みを行う。   When assembling, as shown in FIG. 78, the plurality of wire-like carbon terminal wires 613 are appropriately distributed to the plurality of wires 613a and lightly pressed by the core member 635, and the intermediate member 635 is screwed so as not to be displaced. Do.

このように複数のワイヤー状カーボン端子線613を配置した接続部材618と中子部材631のセットを、中間部材633を介して端子部材611に捩じ込むことにより、前記溝状領域に配置された端子線613aを端子部材611に強く接続することができる。従って、良好な導通が保証される。   The set of the connecting member 618 and the core member 631 in which the plurality of wire-like carbon terminal wires 613 are arranged in this way is screwed into the terminal member 611 via the intermediate member 633, and is arranged in the groove-like region. The terminal wire 613a can be strongly connected to the terminal member 611. Therefore, good conduction is guaranteed.

また、形状柔軟性があるヒータ部材を、熱膨張や熱変形に対して、カーボンヒータ内で異常な応力を生じさせずに接続することが可能となる。   Moreover, it becomes possible to connect the heater member having shape flexibility without causing abnormal stress in the carbon heater against thermal expansion and thermal deformation.

上記ワイヤー状カーボン端子線613は、ヒータ部材612と同じ材質である方が良い。また、材質が異なる場合には、複数本のワイヤー状カーボンの端子線613の単位長さ当たりの抵抗値を、ヒータ部材612のそれより相応に小さくして、端子線の発熱を十分に抑えるようにする。   The wire-like carbon terminal wire 613 is preferably made of the same material as the heater member 612. When the materials are different, the resistance value per unit length of the plurality of wire-like carbon terminal wires 613 is made to be correspondingly smaller than that of the heater member 612 so as to sufficiently suppress the heat generation of the terminal wires. To.

ワイヤー状カーボン端子線613とヒータ部材612の温度は、概ね電気的抵抗値比率となった。例えば、ヒータ部材612の抵抗値が10Ω/m・本で端子線613が1Ω/m・本の場合には、ヒータ部材612の温度が1000℃であれば端子線は約100℃であった。   The temperature of the wire-like carbon terminal wire 613 and the heater member 612 was approximately the electrical resistance value ratio. For example, when the resistance value of the heater member 612 is 10 Ω / m and the terminal wire 613 is 1 Ω / m · wire, the terminal wire is about 100 ° C. when the temperature of the heater member 612 is 1000 ° C.

ワイヤー状カーボン端子線613とヒータ部材612が同じ材質の場合には、端子線のワイヤ本数をヒータ本数の5倍以上にすることが好ましい。仮に、ヒータ1本に対して端子線のワイヤ本数が4本とすると、通常の半導体処理工程におけるようにヒータ温度が1100℃となった場合に、端子線温度は約275℃であった。275℃は、バイトン等の真空シール材が劣化する温度である。これに対し、ワイヤ本数を5本にすることによって、端子線温度が約220℃となり、耐熱温度230℃以下にすることができた。   When the wire-like carbon terminal wire 613 and the heater member 612 are made of the same material, it is preferable that the number of wires of the terminal wire is five times or more the number of heaters. Assuming that the number of terminal wires is four for one heater, the terminal wire temperature was about 275 ° C. when the heater temperature reached 1100 ° C. as in a normal semiconductor processing process. 275 ° C. is a temperature at which a vacuum sealing material such as Viton deteriorates. On the other hand, by setting the number of wires to five, the terminal wire temperature was about 220 ° C., and the heat resistant temperature was 230 ° C. or lower.

このように、電力を伝達する上記ワイヤー状カーボン端子線613の温度をさげることにより、バイトン等の真空シール材の劣化を防止することができる。また、ワイヤー状カーボン自体がカーボンファイバから構成されているため、ヒータからの熱伝導を抑えることができる。例えば、通常の特殊炭素材料の熱伝導率が100W/mKであるのに対し、ワイヤー状カーボンでは1W/mK以下である。   In this way, by reducing the temperature of the wire-like carbon terminal wire 613 that transmits electric power, it is possible to prevent deterioration of the vacuum sealing material such as Viton. Moreover, since wire-like carbon itself is comprised from the carbon fiber, the heat conduction from a heater can be suppressed. For example, the thermal conductivity of a normal special carbon material is 100 W / mK, while that of wire-like carbon is 1 W / mK or less.

次に、図84と図85を参照して、第2の端子装置について説明する。   Next, the second terminal device will be described with reference to FIGS.

この端子装置640は、ワイヤー状カーボンからなる端子線613と金属製の端子線641とを、上記ワイヤー状カーボン接続部材643と、端子部本体642と、金属線接続部材645を用いて接続する構成になっている。   This terminal device 640 is configured to connect a terminal wire 613 made of wire-like carbon and a metal terminal wire 641 using the wire-like carbon connecting member 643, the terminal portion main body 642, and the metal wire connecting member 645. It has become.

上記ワイヤー状カーボン接続部材643は、前述した第1の端子装置における端子線接続部材616と大体同じ形状であり、その作用も同様である。   The wire-like carbon connecting member 643 has substantially the same shape as the terminal wire connecting member 616 in the first terminal device described above, and the operation thereof is also the same.

端子部本体642は全体的に円筒型の部材であり、その一端側にはワイヤ束ガイド手段643を接続するための接続部644が設けてある。他端側には金属線接続部材645を接続するための接続部646が設けてある。   The terminal portion main body 642 is a cylindrical member as a whole, and a connection portion 644 for connecting the wire bundle guide means 643 is provided on one end side thereof. A connecting portion 646 for connecting a metal wire connecting member 645 is provided on the other end side.

接続部644は、めねじ部を有する大径のねじ穴である。   The connection portion 644 is a large-diameter screw hole having a female screw portion.

接続部646には、中子部材647を収容するためのテーパ部(穴)642bが形成されている。このテーパ穴と前記大径ねじ穴は貫通している。また、接続部646の外周には、おねじ部が形成されている。   The connecting portion 646 is formed with a tapered portion (hole) 642 b for accommodating the core member 647. The tapered hole and the large diameter screw hole penetrate. A male thread portion is formed on the outer periphery of the connection portion 646.

金属線接続部材645はカップ状部材として構成され、端子部本体642の接続部46に被せてねじ込むようになっている。   The metal wire connecting member 645 is configured as a cup-shaped member, and is screwed over the connecting portion 46 of the terminal portion main body 642.

図85に示すように、中子部材647は2つの割型になっており、合わせると円錐台形状となる。外周のテーパ面は、端子部本体642のテーパ部642bに対応している。各割型の対向面には、金属線を保持するための溝状保持部647aが設けてある。   As shown in FIG. 85, the core member 647 has two split shapes, and when combined, it has a truncated cone shape. The outer tapered surface corresponds to the tapered portion 642 b of the terminal body 642. A groove-shaped holding portion 647a for holding a metal wire is provided on the opposing surface of each split mold.

端子部本体642の接続部644と複数のワイヤー状カーボン接続部材643の底部(ワイヤ束613の反対側)は、外周にネジ部を有する円筒中子648を介して接続される。   The connection part 644 of the terminal part main body 642 and the bottom part (the opposite side of the wire bundle 613) of the plurality of wire-like carbon connection members 643 are connected via a cylindrical core 648 having a screw part on the outer periphery.

図84に示すように、金属線641を保持した状態の端子部本体642の接続部646に、金属線接続部材645をねじ込むことにより、金属線641を確実に端子部本体642に接続することができスパーク発生を防止できる。これは、割型中子647と端子部本体642のテーパ穴とのテーパ係合作用による。   As shown in FIG. 84, the metal wire 641 can be reliably connected to the terminal portion main body 642 by screwing the metal wire connecting member 645 into the connection portion 646 of the terminal portion main body 642 in a state where the metal wire 641 is held. Can prevent the occurrence of sparks. This is due to the taper engagement action between the split core 647 and the tapered hole of the terminal body 642.

金属製端子線641としては、Mo(モリブデン)製金属棒641を用いることが好ましいが、タングステンも使用可能である。   As the metal terminal wire 641, it is preferable to use a metal rod 641 made of Mo (molybdenum), but tungsten can also be used.

Moは、炭素材料と極めて近い熱膨脹係数を有するため、炭素製端子本体の熱履歴によりクラック等の割れを防止できる。   Since Mo has a thermal expansion coefficient very close to that of the carbon material, cracks such as cracks can be prevented by the thermal history of the carbon terminal body.

また、Moは、融点が約2100℃と高温であるため、金属不純物の発生を抑えることができる。それゆえ、Mo製金属棒は、石英製の封着端子の内接線(ヒータ側の端子線)として好適である。   Moreover, since Mo has a high melting point of about 2100 ° C., generation of metal impurities can be suppressed. Therefore, the Mo metal rod is suitable as an inscribed line (heater side terminal wire) of the sealing terminal made of quartz.

端子部本体642と中子部材647は炭素材で形成することが好ましい。炭素材は、純化し易く、3000℃の高温まで耐え得るので都合が良い。また、中子部材647はモリブデンロッドを支持するので、クラック防止のため、モリブデンと近似の熱膨張係数を有する炭素材が好適である。なお、Moと炭素材の熱膨張係数は、いずれも4.2〜4.8×10-6/℃である。 The terminal body 642 and the core member 647 are preferably formed of a carbon material. Carbon materials are convenient because they are easy to purify and can withstand high temperatures of 3000 ° C. Further, since the core member 647 supports the molybdenum rod, a carbon material having a thermal expansion coefficient close to that of molybdenum is suitable for preventing cracks. Note that the thermal expansion coefficients of Mo and the carbon material are both 4.2 to 4.8 × 10 −6 / ° C.

最後に、図86を参照して、第3の端子装置の実施例を説明する。図86は、カーボンヒータの一部と第1〜第3の端子装置を概略的に示している。   Finally, an example of the third terminal device will be described with reference to FIG. FIG. 86 schematically shows a part of the carbon heater and the first to third terminal devices.

第1の端子装置と第2の端子装置は、ガラス管603内で複数のワイヤー状カーボン端子線613によって接続されている。このように導電線として複数のワイヤー状カーボン端子線613を用いることにより、電気抵抗を下げ発熱を押さえることができる。また、ワイヤー状カーボンは熱伝導も極めて小さい利点を有している。   The first terminal device and the second terminal device are connected by a plurality of wire-like carbon terminal wires 613 within the glass tube 603. As described above, by using the plurality of wire-like carbon terminal wires 613 as the conductive wires, the electrical resistance can be reduced and heat generation can be suppressed. Further, wire-like carbon has an advantage that heat conduction is extremely small.

ガラス管603内には、窒素あるいはアルゴンガスをチャージするのが好ましい。これにより、管内に配置した端子装置の高温時耐酸化性を向上できる。   The glass tube 603 is preferably charged with nitrogen or argon gas. Thereby, the oxidation resistance at the time of high temperature of the terminal device arrange | positioned in a pipe | tube can be improved.

第3の端子装置650は、石英ガラス管603の内側に配置された内接線641と電源側の外接線653を接続するためのものである。   The third terminal device 650 is for connecting the internal tangent line 641 disposed inside the quartz glass tube 603 and the external tangent line 653 on the power source side.

この実施例では、内接線641はモリブデン棒641である。モリブデン棒641の一端は第2の端子装置640に接続され、他端はモリブデン箔655に接続されている。モリブデン棒641は、ヒータ部材612とは間接的に接続される。   In this embodiment, the inscribed line 641 is a molybdenum rod 641. One end of the molybdenum rod 641 is connected to the second terminal device 640, and the other end is connected to the molybdenum foil 655. The molybdenum rod 641 is indirectly connected to the heater member 612.

石英ガラス管603の下端部には、石英ガラス製のキャップが接続されており、モリブデン棒641はキャップを通って引き出されている。   A quartz glass cap is connected to the lower end of the quartz glass tube 603, and the molybdenum rod 641 is drawn through the cap.

モリブデン箔655の底部側からは、2本の外接線653が外側に引き出されている。外接線653は一極でも良い。   Two external tangent lines 653 are drawn out from the bottom side of the molybdenum foil 655. The outer tangent line 653 may be a single pole.

そして、モリブデン箔655全体が包み込まれるように、ピンチシール部656が形成されている。ピンチシール部656は、モリブデン箔655を、ガラス管3の内部及び大気から遮断している。ピンチシール部656は、石英ガラス製である。   A pinch seal portion 656 is formed so that the entire molybdenum foil 655 is wrapped. The pinch seal portion 656 blocks the molybdenum foil 655 from the inside of the glass tube 3 and the atmosphere. The pinch seal portion 656 is made of quartz glass.

ピンチシール部656は、例えば石英製のキャップの先端部を加熱軟化させピンチして(はさみ込んで)密封することにより形成できる。   The pinch seal portion 656 can be formed, for example, by heat softening and pinching (pinching) the tip portion of a quartz cap.

以上のように、カーボンファイバー束を編み込んだヒータ部材612は、内接線641に直接接続せず、間接的に接続される。つまり、上記ヒータ部材を熱的に遠ざけて配置することが重要である。   As described above, the heater member 612 in which the carbon fiber bundle is knitted is not directly connected to the inscribed line 641 but is indirectly connected. That is, it is important to arrange the heater member away from the heat.

外接線653としては、直径1.4mm〜2.0mmのモリブデンロッド2本を用いることができる。   As the outer tangent line 653, two molybdenum rods having a diameter of 1.4 mm to 2.0 mm can be used.

内接線641としては、直径1.4mm〜2.0mmのモリブデンロッドを使用できる。   As the inscribed line 641, a molybdenum rod having a diameter of 1.4 mm to 2.0 mm can be used.

石英パイプ603としては、外形15mm以上のパイプを使用できる。   As the quartz pipe 603, a pipe having an outer diameter of 15 mm or more can be used.

モリブデン箔655としては、幅が8mm以上で、厚さが0.2mm〜0.5mmのものを使用できる。   As the molybdenum foil 655, one having a width of 8 mm or more and a thickness of 0.2 mm to 0.5 mm can be used.

エグザンプル4−2−2
エグザンプル4−2−2は第1の端子装置に係る。
Example 4-2-2
Example 4-2-2 relates to the first terminal device.

窒素雰囲気中で純化した端子部材を2個配置し、その間に純化したヒータ部材2本を1mの間隔で固定した。この時の電気抵抗値は5Ωであった。次に、中子部材と端子線接続部材を用いて端子部材に18本のヒータ部材を固定し、図78の端子装置を製作した。そして、このヒータ部材からヒータに電力を供給した。   Two terminal members purified in a nitrogen atmosphere were arranged, and two purified heater members were fixed between them at an interval of 1 m. The electrical resistance value at this time was 5Ω. Next, 18 heater members were fixed to the terminal member using the core member and the terminal wire connecting member, and the terminal device of FIG. 78 was manufactured. And electric power was supplied to the heater from this heater member.

10分後にヒータの温度が1100℃になり、電気抵抗値は2.5Ωになった。また、この時のカーボンワイヤ18本の温度を測定したところ105℃であった。   After 10 minutes, the temperature of the heater reached 1100 ° C. and the electrical resistance value became 2.5Ω. The temperature of the 18 carbon wires at this time was measured and found to be 105 ° C.

この状態で1000時間使用したが、電気抵抗の変化は確認されなかった。   Although it was used for 1000 hours in this state, no change in electrical resistance was confirmed.

使用したカーボンワイヤ、端子部材、及び他の炭素部材は、灰分で5ppm以下に純化したものである。   The used carbon wire, terminal member, and other carbon member are purified with ash to 5 ppm or less.

これに対して、未純化品を使用したところ、16時間で断線した。断線後のカーボンワイヤヒータ部をEPMAで観察したところ、鉄による劣化が認められた。   On the other hand, when an unpurified product was used, it was disconnected in 16 hours. When the carbon wire heater portion after the disconnection was observed with EPMA, deterioration due to iron was observed.

このように、ヒータ部材及びその他の炭素部材は、配分で5ppm以下とするのが好ましい。また、純化した炭素材の鉄濃度は、0.1ppm以下とするのが良い。   Thus, the heater member and other carbon members are preferably 5 ppm or less in distribution. The iron concentration of the purified carbon material is preferably 0.1 ppm or less.

エグザンプル4−2−3
エグザンプル4−2−3は第2の端子装置に係る。
Example 4-2-3
Example 4-2-3 relates to the second terminal device.

直径2mmのMo製金属棒を用いて、図84の端子装置を製作した。石英ガラス製容器にカーボンワイヤヒータを配置して容器内を窒素雰囲気に保ち、エグザンプル4−2−2の端子装置、及びエグザンプル4−2−3の端子装置を接続した。   A terminal device shown in FIG. 84 was manufactured using a Mo metal rod having a diameter of 2 mm. A carbon wire heater was placed in a quartz glass container to keep the inside of the container in a nitrogen atmosphere, and the terminal device of Example 4-2-2 and the terminal device of Example 4-2-3 were connected.

ヒータ部材の長さは1mで、2本の配線とした。ヒータの抵抗値は、室温では5Ωであり、1100℃の加熱時に2.5Ωであった。ヒータが1100℃の時、ワイヤー状カーボン端子線(18本)の温度は、105℃であった。また、Mo製金属端子部は、55℃であった。   The length of the heater member was 1 m, and two wires were used. The resistance value of the heater was 5Ω at room temperature and 2.5Ω when heated at 1100 ° C. When the heater was 1100 ° C., the temperature of the wire-like carbon terminal wires (18 wires) was 105 ° C. Moreover, Mo metal terminal parts were 55 degreeC.

このカーボンヒータを1000時間使用した結果、端子本体にクラック等の損傷は発生せず、その他の問題も生じなかった。   As a result of using this carbon heater for 1000 hours, no damage such as cracks occurred in the terminal body, and other problems did not occur.

なお、エグザンプル4−2−3における端子装置の炭素部材も、エグザンプル4−2−2と同様に純化処理したものを用いた。   In addition, the carbon member of the terminal device in Example 4-2-3 was also used after purification as in Example 4-2-2.

エグザンプル4−2−4
エグザンプル4−2−4は第3の端子装置に係る。
Example 4-2-4
Example 4-2-4 relates to a third terminal device.

直径1.4mmの外接線を2本、外径が15mmの石英パイプ、また幅8mmのMo箔を使用し、図86に示す第3の端子装置を製作した。この端子装置に30Aの電流を流したところ封着部にクラック等の破損が生ずることがないことが確認された。   A third terminal device shown in FIG. 86 was manufactured using two outer tangent lines with a diameter of 1.4 mm, a quartz pipe with an outer diameter of 15 mm, and a Mo foil with a width of 8 mm. When a current of 30 A was passed through the terminal device, it was confirmed that no damage such as cracks occurred in the sealing portion.

第4−2グループの発明の第1のカーボンヒータ用端子装置によれば、ヒータ部材と複数のワイヤー状カーボンからなる端子線とを確実に且つ容易に接続することができる。また、この端子装置はシンプルな構造を有し、寿命も充分に良い。   According to the first carbon heater terminal device of the invention of the 4-2th group, the heater member and the terminal wire made of a plurality of wire-like carbon can be reliably and easily connected. Further, this terminal device has a simple structure and has a sufficiently long life.

第4−2グループの発明の第2のカーボンヒータ用端子装置によれば、複数のワイヤー状カーボンからなる端子線と金属製の端子線とを確実に且つ容易に接続することができる。また、この端子装置はシンプルな構造を有し、寿命も充分に良い。   According to the second carbon heater terminal device of the invention of the 4-2 group, a plurality of wire-like carbon terminal wires and metal terminal wires can be reliably and easily connected. Further, this terminal device has a simple structure and has a sufficiently long life.

第4−2グループの発明の第3のカーボンヒータ用端子装置によれば、30A程度の大電流で使用しても長寿命を享受できる。   According to the third carbon heater terminal device of the invention of the 4th-2 group, a long life can be enjoyed even when used with a large current of about 30A.

なお、第4−2グループの発明は前述の実施例に限定されない。例えば、各部材のめねじとおねじの関係や凹凸の関係を逆にすることは自在にできる。そのような設計変更は、本明細書の記載に基づいて当業者が容易に成し得ることなので、ここでは詳述しない。   The invention of the 4-2th group is not limited to the above-described embodiments. For example, it is possible to freely reverse the relationship between the female screw and the male screw of each member and the relationship between the irregularities. Such design changes can be easily made by those skilled in the art based on the description of the present specification, and thus will not be described in detail here.

第5グループの発明
以下、図41〜図61を参照して第5グループの発明の好適な実施例を説明する。
Fifth Group Invention A preferred embodiment of the fifth group invention will now be described with reference to FIGS.

図41の(A)及び(B)は第5グループの発明を半導体製造装置に用いた第1実施例と第2実施例を示す概略図である。   FIGS. 41A and 41B are schematic views showing a first embodiment and a second embodiment in which the invention of the fifth group is used in a semiconductor manufacturing apparatus.

ヒータユニット210は円筒形の炉芯筒管211を備え、その外側にヒータ部材212が巻かれている。図41の(A)に示す第1実施例では、ヒータ部材212は縦方向に往復するように巻かれているが、図41の(B)に示す第2実施例では、ヒータ部材212は螺旋状に巻かれている。   The heater unit 210 includes a cylindrical furnace core tube 211, and a heater member 212 is wound around the outer side thereof. In the first embodiment shown in FIG. 41A, the heater member 212 is wound so as to reciprocate in the vertical direction. However, in the second embodiment shown in FIG. It is wound into a shape.

また、上記第1実施例及び第2実施例のヒータ部材212は、複数のゾーンに分割して別個に制御すれば、炉内上下の温度分布を均一に制御し易くなる。   Further, if the heater members 212 of the first and second embodiments are divided into a plurality of zones and controlled separately, the temperature distribution in the upper and lower sides of the furnace can be easily controlled uniformly.

ヒータ部材212としては、灰分が10ppm以下の高純度カーボンワイヤーが適している。このような高純度カーボンワイヤーを用いることによって、不純物汚染を防止でき、また、熱伝導が小さく熱容量も少ないので急速昇降温が可能となる。   As the heater member 212, a high purity carbon wire having an ash content of 10 ppm or less is suitable. By using such a high-purity carbon wire, impurity contamination can be prevented, and since the heat conduction is small and the heat capacity is small, rapid temperature rise and fall is possible.

炉芯筒管211の内側下部には、複数本のカーボンファイバーをねたカーボンファイバー束を複数本用いてワイヤー状の長細形状に編み込んだヒータ部材222とする平板容器状のカーボンヒータ220が配置されている。なお、ヒータ部材222としては、長細形状であれば、テープ形状のようなものでも使用できる。また、上記ヒータ部材の具体例としては直径3μmのカーボンファイバーを330本束ねたカーボンファイバー束を9本用いて、直径約2mmのワイヤー形状に編み込んだものである。 Inside the lower portion of the furnace core tube pipe 211, flat container-like carbon heater 220 to the heater member 222 woven into a wire-like long narrow shape using a plurality of the a plurality of carbon fiber bundles proof carbon fiber bundle Has been placed. As the heater member 222, a tape-like member can be used as long as it has an elongated shape. Further, as a specific example of the heater member, nine carbon fiber bundles obtained by bundling 330 carbon fibers having a diameter of 3 μm are used and knitted into a wire shape having a diameter of about 2 mm.

図42と図43はカーボンヒータ220を示す上面図及び断面図である。   42 and 43 are a top view and a cross-sectional view showing the carbon heater 220. FIG.

カーボンヒータ220は、石英ガラス製容器221内で、高純度カーボン製棒状端子部材223及び透光性アルミナ単体からなるワイヤー支え治具224により前記ヒータ部材222を支持した構成になっている。   The carbon heater 220 is configured such that the heater member 222 is supported in a quartz glass container 221 by a wire support jig 224 made of a high-purity carbon rod-shaped terminal member 223 and a translucent alumina alone.

石英ガラス製容器221は透明石英で構成でき、容器本体247とベース248からなる。容器本体247とベース248は、磨りガラスによって接合される。   The quartz glass container 221 can be made of transparent quartz and includes a container body 247 and a base 248. The container body 247 and the base 248 are joined by frosted glass.

容器本体247の外表面露出部、特に発熱面となる上面は断面半円状もしくは台形状の凸部が全体としてストライプ状に、もしくは同心円状に、さらにもしくは格子状に形成されており、この外表面がつや出し処理されていることが好ましい。これにより本発明のヒータ部材の如き線状ヒータ部材によるヒータ面上方への発熱を、光の散乱によって均一化せしめるといったプリズム効果が得られる。   The outer surface exposed portion of the container body 247, particularly the upper surface serving as a heat generating surface, is formed with a semicircular or trapezoidal cross section as a whole in the form of stripes, concentric circles, or in the form of a lattice. The surface is preferably polished. As a result, a prism effect can be obtained in which the heat generated above the heater surface by the linear heater member such as the heater member of the present invention is made uniform by scattering of light.

通常、同様の効果を得るために、ヒータ面をサンドプラスト処理する方法が採用されるが、この場合には、表面が砂目状となっており、この表面からの放熱が抑制され、石英ガラス自身に熱を蓄積してしまいエネルギー効率が低下してしまう。この意味で、上記つや出し処理されていることは、重要な事項である。   Usually, in order to obtain the same effect, a method of sand plasting the heater surface is adopted. In this case, the surface is grainy, and heat radiation from this surface is suppressed, and quartz glass is used. It accumulates heat in itself and reduces energy efficiency. In this sense, it is an important matter that the glossing process is performed.

また、石英ガラス製容器は、多数の微小閉気孔が内在する不透明石英で構成することもできる。   The quartz glass container can also be made of opaque quartz having a large number of minute closed pores.

ベース248には、石英ガラス製の枝管233が接続されている。ヒータ部材であるカーボンの酸化を防止するために、この枝管233から不活性ガスや窒素ガス等を導入できる。また、容器内の排気を行い、例えば10torr以下の真空にする場合にも枝管233を利用できる。   A branch pipe 233 made of quartz glass is connected to the base 248. An inert gas, nitrogen gas, or the like can be introduced from the branch pipe 233 in order to prevent oxidation of carbon as a heater member. Further, the branch pipe 233 can be used also when the inside of the container is evacuated to make a vacuum of, for example, 10 torr or less.

ベース248の上面には、後述するワイヤー支持部材224を設定する多数の挿入穴と、棒状端子部材223を通すための2つの貫通穴が設けてある。   The upper surface of the base 248 is provided with a number of insertion holes for setting a wire support member 224 to be described later and two through holes for allowing the rod-shaped terminal member 223 to pass therethrough.

ベース248は、カーボンヒータ220の下方への熱の逃げを防止するために、不透明石英ガラスで構成される。ベースの上面又は上方には、ガラス状カーボンからなるカーボン製反射板225が配置される。このように、ヒータ部材222の下方に反射板225を置くことにより、下方への熱放射を低減することができる。反射板225の表面には中心線平均粗さRaで0.1μm以下の鏡面加工が施されている。   The base 248 is made of opaque quartz glass in order to prevent heat from escaping below the carbon heater 220. A carbon reflector 225 made of glassy carbon is disposed on or above the base. Thus, by placing the reflector 225 below the heater member 222, downward heat radiation can be reduced. The surface of the reflecting plate 225 is mirror-finished with a center line average roughness Ra of 0.1 μm or less.

上述のとおり、第5グループの発明では、カーボンファイバーを束ねたカーボンファイバー束を複数本用いてワイヤ状の長細形状に編み込んだヒータ部材222をヒータとして使用し、これを複数のワイヤー支え治具224によって屈曲させ、半導体ウエハのような略円板状体をその面内温度分布が均一となるように加熱しようとするものである。   As described above, in the fifth group of the invention, the heater member 222 knitted into a wire-like long shape using a plurality of carbon fiber bundles obtained by bundling carbon fibers is used as a heater, and this is used as a plurality of wire support jigs. It is bent by 224 to heat a substantially disk-like body such as a semiconductor wafer so that its in-plane temperature distribution is uniform.

そのためには、このヒータ部材222をいかに均一な張り状態とするかが重要なポイントとなる。   For that purpose, an important point is how to make the heater member 222 in a uniform tension state.

第5グループの発明では、図42に示す如く、まずヒータ部材222を、すべてのワイヤー支え治具224の貫通孔に通し、また、両端を各々棒状の端子部材223の横穴237に挿通した後、一方の棒状端子部材223に、ボルト状の押え部材228でヒータ部材222を加圧保持し、他の一方側のヒータ部材222を適度な力で引張りながら、同様にボルト状の押え部材228で加圧保持させるのである。   In the invention of the fifth group, as shown in FIG. 42, first, the heater member 222 is passed through the through holes of all the wire support jigs 224, and both ends are respectively inserted into the horizontal holes 237 of the rod-shaped terminal members 223. The heater member 222 is pressed and held on one rod-shaped terminal member 223 by a bolt-shaped pressing member 228, and the other one-side heater member 222 is similarly pulled by a bolt-shaped pressing member 228 while being pulled with an appropriate force. The pressure is maintained.

上記ヒータ部材222としては、灰分10ppm以下のものを用いるのが好ましい。この場合、不純物汚染を防止でき、また、熱伝導が小さく熱容量も少ないので急速な昇降温が可能となる。   As the heater member 222, one having an ash content of 10 ppm or less is preferably used. In this case, impurity contamination can be prevented, and since the heat conduction is small and the heat capacity is small, rapid temperature rise / fall is possible.

上記ヒータ部材222は、ワイヤー支え治具224にガイドされ、容器221の面と平行な面上で複数の屈曲部を有しジグザグに配置される。ヒータ部材222の両端には、棒状の端子部材223が配置されており、これを介して電力が供給される。   The heater member 222 is guided by the wire support jig 224 and has a plurality of bent portions on a surface parallel to the surface of the container 221 and is arranged in a zigzag manner. At both ends of the heater member 222, rod-shaped terminal members 223 are arranged, and electric power is supplied through these.

図44は、端子部材223の組立図である。端子部材223は、端子本体223aと、押え部材228と、キャップ229から構成される。端子部材223は、露出部の少なくとも一部、好ましくは露出部全部がSiCでコーティングされる。このようにSiCコーティングを行えば、大気中でも使用可能となる。   FIG. 44 is an assembly diagram of the terminal member 223. The terminal member 223 includes a terminal body 223a, a pressing member 228, and a cap 229. The terminal member 223 is coated with SiC at least a part of the exposed portion, preferably the entire exposed portion. If SiC coating is performed in this way, it can be used even in the atmosphere.

端子本体223aはカーボン製であり、全体的にボルト型に形成されている。端子本体223aの軸方向の中間付近には、軸と直交する貫通した横穴237が設けてある。端子本体223aの六角状頭部から貫通した横穴237にかけては、押え部材を収容するための軸方向穴236が形成されている。軸方向穴236の内壁にはネジが切ってある。端子本体223aの脚部にもネジが切ってある。図44では、端子本体223の頭部は六角になっているが、スパナ等の工具で回転できる形状であれば他の形状でも良い。   The terminal body 223a is made of carbon and is formed in a bolt shape as a whole. A penetrating horizontal hole 237 perpendicular to the axis is provided in the vicinity of the middle of the terminal body 223a in the axial direction. An axial hole 236 for accommodating the pressing member is formed from the hexagonal head of the terminal body 223a to the horizontal hole 237 penetrating therethrough. The inner wall of the axial hole 236 is threaded. Screws are also cut in the legs of the terminal body 223a. In FIG. 44, the head of the terminal main body 223 is hexagonal, but other shapes may be used as long as the shape can be rotated by a tool such as a spanner.

押え部材228は、端子本体223の軸方向穴236に対応したネジ型に形成されている。その頭部には、マイナスドライバー用の溝235が設けてある。押え部材228は端子本体223の軸方向穴236にねじ込まれ、貫通穴203に挿入されたヒータ部材222を押える。このように、押え部材228を用いてヒータ部材222を端子本体223aにしっかり密着させることにより、ヒータ部材222の抜け落ちとスパーク発生を防止できる。   The holding member 228 is formed in a screw shape corresponding to the axial hole 236 of the terminal body 223. A groove 235 for a flathead screwdriver is provided on the head. The holding member 228 is screwed into the axial hole 236 of the terminal body 223 and holds the heater member 222 inserted into the through hole 203. As described above, the heater member 222 is firmly attached to the terminal main body 223a using the pressing member 228, so that the heater member 222 can be prevented from falling off and sparking.

キャップ229は、端子本体223aの脚部のネジに対応したナット型に形成されている。   The cap 229 is formed in a nut shape corresponding to the screw of the leg portion of the terminal body 223a.

端子本体223a及びキャップ229と石英ガラス表面との接触部に膨張黒鉛シート(図示せず)を挟むことにより、ヒータ内部への空気漏れやダスト発生を防止できる。   By sandwiching an expanded graphite sheet (not shown) in the contact portion between the terminal body 223a and cap 229 and the quartz glass surface, air leakage and dust generation into the heater can be prevented.

図45の(A)は、図42〜図43のワイヤー支え治具224を示す斜視図であり、(B)は、ワイヤー支え治具の変形例を示す断面図である。   45A is a perspective view showing the wire support jig 224 of FIGS. 42 to 43, and FIG. 45B is a cross-sectional view showing a modification of the wire support jig.

ワイヤー支え治具224は、薄肉の円筒型であり、ヒータ部材222を通すための穴224aが開けられている。ワイヤー支え治具224の根元部は、石英ガラス容器221の設定穴内に埋め込まれる。   The wire support jig 224 has a thin cylindrical shape, and has a hole 224a through which the heater member 222 passes. The root portion of the wire support jig 224 is embedded in the setting hole of the quartz glass container 221.

ワイヤー支え治具224でヒータ部材222を支持することによって、ヒータ部材222が石英ガラス容器に触れないように保つ。このようにすれば、ワイヤーの珪化を防ぎ、電気抵抗の変化を防止できる。   By supporting the heater member 222 with the wire support jig 224, the heater member 222 is kept from touching the quartz glass container. If it does in this way, silicidation of a wire can be prevented and a change of electrical resistance can be prevented.

ワイヤー支え治具224を薄肉の円筒型つまりパイプ状にすると、上方への熱放射を大きくすると共に、熱容量を小さくできる。熱容量が小さいと、熱応答が早くなり、サーマルショックに強くなる。   If the wire support jig 224 is formed into a thin cylindrical shape, that is, a pipe shape, the heat radiation upward can be increased and the heat capacity can be decreased. If the heat capacity is small, the thermal response is quick and it is strong against thermal shock.

ワイヤー支え治具224は、透光性の透明アルミナで形成することができる。この場合、透明部からの熱の輻射が多くなるため、被処理物の温度均熱性を向上できる。   The wire support jig 224 can be formed of translucent transparent alumina. In this case, since heat radiation from the transparent portion is increased, the temperature uniformity of the workpiece can be improved.

ワイヤー支え治具224に必要とされる特性は、例えば、1300℃程度の高温下でのヒータ部材222との非反応性であり、また非導電性である。この両条件を満足するのが透光性アルミナであり、これならば単体で形成することができる。ただし、透光性アルミナにおいても、急速昇温の度合いを高めようとすると、熱衝撃のため、ワイヤー支え治具にクラックや破損が生ずるおそれがある。これを解決する構造として、高純度カーボン及び透光性アルミナの組立部材からなる。特に、前記組立部材のヒータ部材に接する部分が、高純度カーボン材料から成り、前記組立部材の、平板状容器221に配置接続する部分が、透光性アルミナ材料から成る構成が最適なものとなる。   The characteristic required for the wire support jig 224 is, for example, non-reactivity with the heater member 222 at a high temperature of about 1300 ° C. and non-conductivity. Translucent alumina satisfies both of these conditions and can be formed as a single substance. However, even in the case of translucent alumina, if an attempt is made to increase the degree of rapid temperature rise, there is a possibility that the wire support jig may be cracked or broken due to thermal shock. As a structure to solve this, it is composed of an assembly member of high-purity carbon and translucent alumina. In particular, a configuration in which a portion of the assembly member that contacts the heater member is made of a high-purity carbon material, and a portion of the assembly member that is arranged and connected to the flat container 221 is made of a translucent alumina material is optimal. .

図45の(B)のワイヤー支え治具241は、カーボン部材242とアルミナパイプ部材243を接続した構成になっている。カーボン部材242には、ヒータ部材222を貫通させるための横穴244が形成されている。   The wire support jig 241 in FIG. 45B has a configuration in which a carbon member 242 and an alumina pipe member 243 are connected. The carbon member 242 is formed with a lateral hole 244 for allowing the heater member 222 to pass therethrough.

図46〜60は、図42〜44の実施例に変更を加えた実施例を示している。   46-60 has shown the Example which added the change to the Example of FIGS. 42-44.

図46はヒータ部材220の主要部を示す断面図である。棒状端子部材や、これとのヒータ部材との接続構造は、図42〜44の実施例と、図46〜60の実施例で、実質的に共通している。以下に、特徴となる構成について説明する。   FIG. 46 is a cross-sectional view showing the main part of the heater member 220. The connection structure between the rod-shaped terminal member and the heater member is substantially the same in the embodiments of FIGS. 42 to 44 and the embodiments of FIGS. Below, the characteristic structure will be described.

ヒータ部材222は、複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いてワイヤー形状に編み込んだものである。このヒータ部材222としては、長細形状であれば、テープ形状のようなものでも使用できる。ヒータ部材222は、ある厚み(約2mm)を有する編み込み式のものが好ましい。ねじり(捩り)ワイヤーは、ほぐれ易く、温度のバラツキがあり、均熱性に劣る傾向がある。すると、電気抵抗にバラツキが生じ、ウエハに対する均熱性が低下する。   The heater member 222 is formed by weaving a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers into a wire shape. As the heater member 222, a tape-like member can be used as long as it has an elongated shape. The heater member 222 is preferably a braided type having a certain thickness (about 2 mm). Twisted (twisted) wires are easy to loosen, have temperature variations, and tend to be inferior in heat uniformity. As a result, the electrical resistance varies, and the thermal uniformity with respect to the wafer decreases.

上記ヒータ部材222としては、灰分3ppm以下のカーボンワイヤーを使用するのが好ましい。この場合、不純物汚染を防止でき、また、熱伝導が小さく熱容量も少ないので急速な昇降温が可能となる。   As the heater member 222, it is preferable to use a carbon wire having an ash content of 3 ppm or less. In this case, impurity contamination can be prevented, and since the heat conduction is small and the heat capacity is small, rapid temperature rise / fall is possible.

ヒータ部材222の両端には、カーボン製端子部材223が配置されており、端子部材223を介して電力が供給される。   Carbon terminal members 223 are disposed at both ends of the heater member 222, and power is supplied through the terminal members 223.

図46〜48に示されているように、端子部材223は、中間部にフランジ223aを有する。そのフランジ223aの一方側にはオネジ223bが形成されており、他方側には軸方向の穴223cと横方向の穴223dが形成されている。ワイヤーのヒータ部材222を端子部材223の横方向の穴223dに挿入してから、カーボン製のボルト状押え部材228を端子部材223の軸方向の穴223cにねじ込んで、ワイヤー223を端子部材223に固定する。   As shown in FIGS. 46 to 48, the terminal member 223 has a flange 223a at the intermediate portion. A male screw 223b is formed on one side of the flange 223a, and an axial hole 223c and a horizontal hole 223d are formed on the other side. After the wire heater member 222 is inserted into the lateral hole 223d of the terminal member 223, the carbon bolt-like holding member 228 is screwed into the axial hole 223c of the terminal member 223, and the wire 223 is attached to the terminal member 223. Fix it.

アルミナ碍子のリング263がワイヤーのヒータ部材222から下方に離れていて、端子部材223のフランジ223aに接して配置してある。これによって、ガラス状カーボンからなるカーボン製反射板225とカーボン製端子部材223の電気的絶縁を図っている。   An alumina insulator ring 263 is spaced downward from the wire heater member 222 and is in contact with the flange 223 a of the terminal member 223. Thus, electrical insulation between the carbon reflector 225 made of glassy carbon and the carbon terminal member 223 is achieved.

ベース248の上側に前述の端子部材223のフランジ223aが設定され、ベース248の下側に炭素と炭素繊維の複合材(C/C)で作られたスプリング・ワッシャー265が設定されている。ナット266を端子本体223のオネジ223bにねじ込むことにより、端子部材223はベース248に固定される。   The flange 223a of the terminal member 223 described above is set on the upper side of the base 248, and a spring washer 265 made of a composite material of carbon and carbon fiber (C / C) is set on the lower side of the base 248. The terminal member 223 is fixed to the base 248 by screwing the nut 266 into the male screw 223 b of the terminal body 223.

付加端子267はその軸方向の穴267bに形成したメネジが端子部材223のオネジ223bにねじ結合されて固定されている。   The additional terminal 267 is fixed by screwing a female screw formed in an axial hole 267 b to a male screw 223 b of the terminal member 223.

カーボン製付加端子267には、ボルト状の押え部材268がネジ込まれている。付加端子267は、露出部の少なくとも一部、好ましくは露出部全部がSiCでコーティングされる。このようにSiCコーティングを行えば、大気中でも使用可能となる。   A bolt-shaped pressing member 268 is screwed into the carbon additional terminal 267. The additional terminal 267 is coated with SiC at least a part of the exposed portion, preferably the entire exposed portion. If SiC coating is performed in this way, it can be used even in the atmosphere.

付加端子267の軸方向の中間付近には、軸と直交する貫通した横穴267aが設けてある。付加端子267の一端部から穴267aにかけては、押え部材268を収容するための軸方向の穴267bが形成されている。軸方向の穴267bの内壁にはネジが切ってある。付加端子267の他端部にもネジが切ってある。   Near the middle of the additional terminal 267 in the axial direction, a penetrating horizontal hole 267a perpendicular to the axis is provided. An axial hole 267b for accommodating the holding member 268 is formed from one end of the additional terminal 267 to the hole 267a. The inner wall of the axial hole 267b is threaded. The other end of the additional terminal 267 is also threaded.

押え部材268は、付加端子267の軸方向穴267bに対応したネジ型に形成されている。押え部材268は付加端子267の軸方向穴267bにねじ込まれ、横穴267aに挿入されたワイヤー状カーボンからなる端子線270を押える。このように、押え部材268を用いてワイヤー状カーボンからなる端子線270を付加端子267にしっかり密着させることにより、ワイヤー状カーボンからなる端子線270の抜け落ちとスパーク発生を防止できる。   The holding member 268 is formed in a screw shape corresponding to the axial hole 267 b of the additional terminal 267. The holding member 268 is screwed into the axial hole 267b of the additional terminal 267 and holds the terminal wire 270 made of wire-like carbon inserted into the lateral hole 267a. As described above, the terminal wire 270 made of wire-like carbon is firmly attached to the additional terminal 267 using the pressing member 268, so that the terminal wire 270 made of wire-like carbon can be prevented from falling off and sparking.

ワイヤー状カーボンからなる端子線270は、複数本のカーボンファイバーを束ねて拠ったカーボンファイバー束を複数本(例えば20本)編み込んだものである。   The terminal wire 270 made of wire-like carbon is obtained by weaving a plurality (for example, 20) of carbon fiber bundles obtained by bundling a plurality of carbon fibers.

ワイヤー状カーボンからなる端子線270の他端は、さらに別の付加端子272に固定されている。この付加端子272の上端には軸方向の穴272aが形成されており、そこにメネジが切ってある。その穴272aの底を貫通するように横方向の穴272bが付加端子272に形成されている。ワイヤー状カーボンからなる端子線270の端部をその横方向の穴272bに挿入してから、止めネジ273を付加端子272の軸方向の穴272aにねじ込んで、ワイヤー状カーボンからなる端子線270を付加端子272に固定する。   The other end of the terminal wire 270 made of wire-like carbon is fixed to another additional terminal 272. An axial hole 272a is formed at the upper end of the additional terminal 272, and a female screw is cut there. A lateral hole 272b is formed in the additional terminal 272 so as to penetrate the bottom of the hole 272a. After inserting the end portion of the terminal wire 270 made of wire-like carbon into the lateral hole 272b, the set screw 273 is screwed into the hole 272a in the axial direction of the additional terminal 272, and the terminal wire 270 made of wire-like carbon is attached. Fix to the additional terminal 272.

付加端子272の下端部には、オネジ部272cが形成してある。そこにナット274をねじ込んで、金属配線275の一端が付加端子272に固定される。この金属配線275の他端は、電源(図示せず)に接続されている。   A male screw portion 272 c is formed at the lower end of the additional terminal 272. A nut 274 is screwed there, and one end of the metal wiring 275 is fixed to the additional terminal 272. The other end of the metal wiring 275 is connected to a power source (not shown).

通常は、上述のナット266に金属配線275を接続するが、この場合には、カーボン製端子本体223の発熱に伴い、金属配線が酸化され劣化するが、特に上記ワイヤー状カーボンからなる端子線を設けた構造によって、このような問題が解消される。   Normally, the metal wiring 275 is connected to the above-described nut 266. In this case, the metal wiring is oxidized and deteriorated as the carbon terminal body 223 generates heat. Such a problem is solved by the provided structure.

図48〜49は、図46の端子部材23の具体例を示している。   48 to 49 show specific examples of the terminal member 23 of FIG.

図50〜51は、図46の付加端子67の具体例を示している。   50 to 51 show specific examples of the additional terminal 67 of FIG.

図52〜53は、図46の押え部材28の具体例を示している。   52 to 53 show specific examples of the pressing member 28 of FIG.

図54〜55は、図46の押え部材68の具体例を示している。   54 to 55 show specific examples of the pressing member 68 of FIG.

図56〜57は、図46の付加端子72の具体例を示している。   56 to 57 show specific examples of the additional terminal 72 of FIG.

図58〜59は、図46のナット74の具体例を示している。   58 to 59 show specific examples of the nut 74 shown in FIG.

ワイヤー支え治具224には、薄肉の円筒型でありヒータ部材222を通すための穴が開けられている。ワイヤー支え治具224の根元部は、石英ガラス容器221の設定穴内に埋め込まれる。   The wire support jig 224 has a thin cylindrical shape and is provided with a hole for allowing the heater member 222 to pass therethrough. The root portion of the wire support jig 224 is embedded in the setting hole of the quartz glass container 221.

ワイヤー支え治具224でヒータ部材を支持することによって、ヒータ部材222が石英ガラス容器に触れないように保つ。このようにすれば、カーボン製のヒータ部材の珪化をより確実に防ぎ、電気抵抗の変化を防止できる。   By supporting the heater member with the wire support jig 224, the heater member 222 is kept from touching the quartz glass container. If it does in this way, silicidation of the heater member made of carbon can be prevented more certainly, and change of electric resistance can be prevented.

ワイヤー支え治具224を薄肉の円筒型つまりパイプ状にすることにより、上方への熱放射を大きくすると共に、熱容量を小さくできる。熱容量が小さいと、熱応答が早くなり、サーマルショックに強くなる。   By making the wire support jig 224 into a thin cylindrical shape, that is, a pipe shape, the heat radiation upward can be increased and the heat capacity can be decreased. If the heat capacity is small, the thermal response is quick and it is strong against thermal shock.

ワイヤー支え治具224は、透光性の透明アルミナで形成することができる。この場合、透明部からの熱の輻射が多くなるため、被処理物の温度均熱性を向上できる。   The wire support jig 224 can be formed of translucent transparent alumina. In this case, since heat radiation from the transparent portion is increased, the temperature uniformity of the workpiece can be improved.

第5グループの発明においては、棒状端子部材223の長さ方向に、ボルト状押え部材228差し込み用の略円筒状の穴223cが形成されており、かつ、少なくともこの穴223cを貫通する略円状横穴223dが形成されており、横穴223dにヒータ部材を差し込み、穴に少なくとも横穴223dの下部に達する長さを有するボルト状押え部材228を回転挿入する構造にしているが、そのとき、図60の(A)に示すように、ボルト状押え部材228差し込み用の略円筒状穴223cの径を略円筒状横穴223dの径より大きくし、図60の(B)に示すように、横穴223dに差し込まれたヒータ部材が、ボルト状押え部材228によって加圧され、穴223dの底に達するように偏平に変形されているのが好ましい。   In the fifth group of the invention, a substantially cylindrical hole 223c for inserting the bolt-shaped pressing member 228 is formed in the length direction of the rod-shaped terminal member 223, and at least a substantially circular shape penetrating through the hole 223c. A horizontal hole 223d is formed, and a heater member is inserted into the horizontal hole 223d, and a bolt-shaped pressing member 228 having a length reaching at least the lower portion of the horizontal hole 223d is rotationally inserted into the hole. As shown in FIG. 60A, the diameter of the substantially cylindrical hole 223c for inserting the bolt-shaped pressing member 228 is made larger than the diameter of the substantially cylindrical side hole 223d, and inserted into the horizontal hole 223d as shown in FIG. It is preferable that the heater member thus pressed is pressed by the bolt-shaped pressing member 228 and is flattened so as to reach the bottom of the hole 223d.

図60の如く、ヒータ部材222を直接ボルト状押え部材228で偏平変形させて保持しようとすると、ボルト状押え部材228を回転挿入している際に、ヒータ部材222を構成するカーボンファイバーを少なからず切断してしまい、その結果、保持強度が低下してしまうおそれがあるが、ボルト状押え部材228とヒータ部材222との間に膨脹黒鉛シート(図示せず)を介在させる構成とすることによって、このような不具合を回避することができる。   As shown in FIG. 60, if the heater member 222 is held flat by being directly deformed by the bolt-shaped pressing member 228, the carbon fiber constituting the heater member 222 is not a little when the bolt-shaped pressing member 228 is rotationally inserted. As a result, the holding strength may decrease, but by adopting a configuration in which an expanded graphite sheet (not shown) is interposed between the bolt-shaped pressing member 228 and the heater member 222, Such a problem can be avoided.

なお、第5グループの発明のカーボンヒータは上述の態様のみならず例えば図61に示すような半導体ウェハの下方にこれを配置し加熱処理を行う半導体製造装置に適用できる。   The carbon heater of the fifth group of the invention can be applied not only to the above-described embodiment, but also to a semiconductor manufacturing apparatus that performs heat treatment by placing it under a semiconductor wafer as shown in FIG.

また、第5グループの発明は前述の実施例に限定されない。例えば、カーボンヒータは円筒形に限らず、六角形や矩形等でも良い。また、カーボンヒータにおけるヒータ部材の配置は、渦巻状やその他の形状でも良い。   The invention of the fifth group is not limited to the above-described embodiments. For example, the carbon heater is not limited to a cylindrical shape, and may be a hexagonal shape or a rectangular shape. In addition, the arrangement of the heater members in the carbon heater may be spiral or other shapes.

第5グループの発明においては、特に半導体製造装置用として有効なカーボンヒーターを複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いてワイヤー状の長細形状に編み込んだヒータ部材を複数の棒状端子部材及びワイヤー支え治具によって平板状容器内に非接触で支持されて封入した構成にすることによって、発熱体の発熱ムラを低減することができ、均熱性が向上する。また、急速昇・降温を可能とすることができる。   In the invention of the fifth group, a heater member knitted into a wire-like long shape using a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers with a carbon heater particularly effective for a semiconductor manufacturing apparatus is formed into a plurality of rod shapes. By adopting a structure in which the terminal member and the wire support jig are supported in a flat container in a non-contact manner and sealed, heat generation unevenness of the heating element can be reduced, and soaking performance is improved. In addition, rapid temperature increase / decrease can be achieved.

また、本カーボンヒータを簡易構造とすることができ、低コスト化が図られ、さらにはその詳細な構造・材質の選択によって、本カーボンヒータ特有の諸問題を解決することができ、同ユニットの耐用寿命を向上することができる。   In addition, the carbon heater can have a simple structure, and the cost can be reduced. Furthermore, by selecting the detailed structure and material, various problems unique to the carbon heater can be solved. The service life can be improved.

第2グループの発明のカーボンヒータの一実施例を示す平面図。The top view which shows one Example of the carbon heater of invention of 2nd group. 図1のカーボンヒータのXX断面図。XX sectional drawing of the carbon heater of FIG. 図1のカーボンヒータを融着処理する前の組み立て状態を示す断面図。Sectional drawing which shows the assembly state before carrying out the melt | fusion process of the carbon heater of FIG. 図1のカーボンヒータの融着処理方法を示す断面図。FIG. 2 is a cross-sectional view showing a method for fusing the carbon heater of FIG. 1. 図1のカーボンヒータの使用状況を示す側面図。The side view which shows the use condition of the carbon heater of FIG. 図7のカーボンヒータを融着処理する前の組み立て状態を示す断面図。Sectional drawing which shows the assembly state before carrying out the melt | fusion process of the carbon heater of FIG. 第2グループの本発明のカーボンヒータの他の実施例を示す断面図。Sectional drawing which shows the other Example of the carbon heater of this invention of a 2nd group. 図9のカーボンヒータを融着処理する前の組み立て状態を示す断面図。Sectional drawing which shows the assembly state before carrying out the melt | fusion process of the carbon heater of FIG. 第2グループの本発明のカーボンヒータのさらに他の実施例を示す断面図。Sectional drawing which shows the further another Example of the carbon heater of this invention of a 2nd group. 第2グループの発明のカーボンヒータのさらに他の実施例を示す断面図。Sectional drawing which shows the further another Example of the carbon heater of invention of 2nd group. 図12のカーボンヒータの組み立て図。The assembly figure of the carbon heater of FIG. 第2グループの発明のカーボンヒータのさらに他の実施例を示す断面図。Sectional drawing which shows the further another Example of the carbon heater of invention of 2nd group. 図12のカーボンヒータの平面図。The top view of the carbon heater of FIG. 図15のカーボンヒータ用反射板の組立図。FIG. 16 is an assembly diagram of the carbon heater reflector of FIG. 15. 第2グループの発明のカーボンヒータ用反射板の一実施例を示す断面図。Sectional drawing which shows one Example of the reflecting plate for carbon heaters of invention of 2nd group. 第2グループの発明のカーボンヒータ用反射板の他の実施例を示す断面図。Sectional drawing which shows the other Example of the reflecting plate for carbon heaters of invention of 2nd group. 第2グループの発明のカーボンヒータ用反射板が隣接配置されたカーボンヒータの実施例を示す断面図。Sectional drawing which shows the Example of the carbon heater by which the reflector for carbon heaters of 2nd group invention was arrange | positioned adjacently. 第2グループの発明のカーボンヒータのさらに他の実施例における融着処理前の状態を示す断面図。Sectional drawing which shows the state before the fusion process in the further another Example of the carbon heater of invention of 2nd group. 図18のカーボンヒータの融着処理後の状態を示す断面図。FIG. 19 is a cross-sectional view showing a state after the fusion treatment of the carbon heater of FIG. 18. 第2グループの発明のカーボンヒータのさらに他の実施例における融着処理前の状態を示す断面図。Sectional drawing which shows the state before the fusion process in the further another Example of the carbon heater of invention of 2nd group. 第2グループの発明のカーボンヒータのさらに他の実施例における融着処理前の状態を示す断面図。Sectional drawing which shows the state before the fusion process in the further another Example of the carbon heater of invention of 2nd group. 第2グループの発明のカーボンヒータのさらに他の実施例を示す図で、(A)が上面図、(B)が断面半円状凸部を有する場合のX−X断面図、(C)が断面台形状凸部を有する場合のX−X断面図。It is a figure which shows the further another Example of the carbon heater of invention of 2nd group, (A) is a top view, (B) is XX sectional drawing in the case of having a cross-sectional semicircle convex part, (C) is XX sectional drawing in case it has a cross-sectional trapezoidal convex part. 第2グループの発明のカーボンヒータのさらに他の実施例を示す図で、(A)が上面図、(B)が断面半円状凸部を有する場合のY−Y断面図、(C)が断面台形状凸部を有する場合のY−Y断面図。It is a figure which shows further another Example of the carbon heater of invention of 2nd group, (A) is a top view, (B) is YY sectional drawing in case a cross-sectional semicircular convex part is provided, (C) is YY sectional drawing in case it has a cross-sectional trapezoidal convex part. 第2グループの発明のカーボンヒータのさらに他の実施例を示す図で、(A)が上面図、(B)が断面半円状凸部を有する場合のZ−Z断面図、(C)が断面台形状凸部を有する場合のZ−Z断面図。It is a figure which shows the further another Example of the carbon heater of invention of 2nd group, (A) is a top view, (B) is ZZ sectional drawing in the case of having a cross-sectional semicircular convex part, (C) is ZZ sectional drawing in the case of having a cross-section trapezoidal convex portion. 第2グループの発明のカーボンヒータの製造方法の一例を示す工程図。Process drawing which shows an example of the manufacturing method of the carbon heater of invention of 2nd group. 第2グループの発明のカーボンヒータ(又はカーボンヒータ用反射板)の製造方法の他の例を示す工程図。Process drawing which shows the other example of the manufacturing method of the carbon heater (or reflector for carbon heaters) of invention of 2nd group. 図26の製造方法で製造したカーボンヒータ(又はカーボンヒータ用反射板)の一例を示す斜視図。The perspective view which shows an example of the carbon heater (or reflector for carbon heaters) manufactured with the manufacturing method of FIG. 図27のカーボンヒータ(又はカーボンヒータ用反射板)の使用状態を示す斜視図。The perspective view which shows the use condition of the carbon heater (or reflector for carbon heaters) of FIG. 第1グループの発明のカーボンヒータの第1実施例を示す斜視図。The perspective view which shows 1st Example of the carbon heater of invention of 1st group. 第1グループの発明のカーボンヒータの第2実施例を示す斜視図。The perspective view which shows 2nd Example of the carbon heater of invention of 1st group. 図30のカーボン電極付近を示す断面図。Sectional drawing which shows the carbon electrode vicinity of FIG. 第1グループの発明のカーボンヒータの第3実施例を示す斜視図。The perspective view which shows 3rd Example of the carbon heater of invention of 1st group. 第1グループの発明のカーボンヒータの第4実施例を示す斜視図。The perspective view which shows the 4th Example of the carbon heater of invention of 1st group. 図33のカーボンヒータの一部を示す平面図。The top view which shows a part of carbon heater of FIG. 図33のカーボンヒータの変形例を示す平面図。The top view which shows the modification of the carbon heater of FIG. 図33のカーボンヒータの別の変形例を示す平面図。The top view which shows another modification of the carbon heater of FIG. 第1グループの発明のカーボンヒータの第5実施例を示す斜視図。The perspective view which shows 5th Example of the carbon heater of invention of 1st group. (A),(B)は図37のカーボンヒータの一部を示す斜視図、(C),(D)はその側面図。(A), (B) is a perspective view which shows a part of carbon heater of FIG. 37, (C), (D) is the side view. 図38のカーボンヒータの変形例を示す斜視図。The perspective view which shows the modification of the carbon heater of FIG. 第1グループの発明の他の実施例を示しており、(A)が横断面図、(B)が縦断面図。The other Example of invention of the 1st group is shown, (A) is a cross-sectional view, (B) is a longitudinal cross-sectional view. (A)と(B)は、それぞれ第5グループの発明を半導体熱処理装置に用いた第1実施例と第2実施例を示す概略図。(A) And (B) is the schematic which shows 1st Example and 2nd Example which respectively used invention of the 5th group for the semiconductor heat processing apparatus. 図41のカーボンヒータを示す平面図。The top view which shows the carbon heater of FIG. 図41のカーボンヒータの断面図。FIG. 42 is a cross-sectional view of the carbon heater of FIG. 41. 図41のカーボン製の棒状端子部材の組立図。FIG. 42 is an assembly diagram of the carbon rod-shaped terminal member of FIG. 41. (A)は図41のワイヤー支持治具を示す斜視図、(B)はその変形例を示す断面図。(A) is a perspective view which shows the wire support jig | tool of FIG. 41, (B) is sectional drawing which shows the modification. 第5グループの発明のカーボンヒータの別の実施例における主要部の概略を示す断面図。Sectional drawing which shows the outline of the principal part in another Example of the carbon heater of invention of 5th group. 図46の端子部材の一例を示す斜視図。The perspective view which shows an example of the terminal member of FIG. 図46の端子部材の一例を示す正面図。The front view which shows an example of the terminal member of FIG. 図46の端子部材の一例を示す上面図。The top view which shows an example of the terminal member of FIG. 図46の付加端子の具体例を示す正面図。The front view which shows the specific example of the additional terminal of FIG. 図46の付加端子の具体例を示す上面図。The top view which shows the specific example of the additional terminal of FIG. 図46の押え部材の具体例を示す正面図。The front view which shows the specific example of the pressing member of FIG. 図46の押え部材の具体例を示す上面図。The top view which shows the specific example of the pressing member of FIG. 図46の押え部材の具体例を示す上面図。The top view which shows the specific example of the pressing member of FIG. 図46の押え部材の具体例を示す上面図。The top view which shows the specific example of the pressing member of FIG. 図46の付加端子の具体例を示す正面図。The front view which shows the specific example of the additional terminal of FIG. 図46の付加端子の具体例を示す上面図。The top view which shows the specific example of the additional terminal of FIG. 図46のナットの具体例を示す正面図。The front view which shows the specific example of the nut of FIG. 図46のナットの具体例を示す上面図。The top view which shows the specific example of the nut of FIG. (A)は第5グループの発明の実施例において、端子部材の横方向の穴にワイヤーの発熱体を入れ、軸方向の穴には押え部材が入っていない状態を示し、(B)は、そのあと、押え部材を端子部材の軸方向の穴に十分にねじ込んだ状態をしており、(A) shows the state which puts the heat generating body of the wire in the horizontal hole of the terminal member in the embodiment of the invention of the 5th group, and does not contain the holding member in the axial hole, (B) After that, the presser member is fully screwed into the axial hole of the terminal member, 第5グループの発明のカーボンヒータの1つの配置例を示す説明図。Explanatory drawing which shows one example of arrangement | positioning of the carbon heater of invention of 5th group. 第3グループの発明のカーボンヒータを示す概略図。Schematic which shows the carbon heater of invention of 3rd group. 第3グループの発明のカーボンヒータの設定部材を示す上面図。The top view which shows the setting member of the carbon heater of invention of 3rd group. 図63の設定部材の端面図。FIG. 64 is an end view of the setting member of FIG. 63. 第3グループの発明の実施例におけるヒータ部材の設定の仕方を示す断面図。Sectional drawing which shows the method of the setting of the heater member in the Example of invention of a 3rd group. 第3グループの発明の実施例におけるカーボンターミナルを示す上面図。The top view which shows the carbon terminal in the Example of invention of a 3rd group. 第3グループの発明の実施例におけるカーボンターミナルを示す断面図。Sectional drawing which shows the carbon terminal in the Example of invention of a 3rd group. 第3グループの発明のカーボンヒータの実施例における外周部付近を示す断面図。Sectional drawing which shows the outer peripheral part vicinity in the Example of the carbon heater of the invention of 3rd group. 第3グループの発明のカーボンヒータの実施例における外周部付近の別の態様を示す断面図。Sectional drawing which shows another aspect of the outer peripheral part vicinity in the Example of the carbon heater of the invention of 3rd group. 第4グループの発明によるカーボンヒータの使用状態を示す斜視図。The perspective view which shows the use condition of the carbon heater by invention of 4th group. 第4グループの発明のカーボンヒータ単体を示す斜視図。The perspective view which shows the carbon heater single-piece | unit of invention of 4th group. 第4−2グループの発明のカーボンヒータの詳細を示す上面図。The top view which shows the detail of the carbon heater of invention of the 4th-2 group. 第4−2グループの発明のカーボンヒータの一部分を省略した側面図。The side view which abbreviate | omitted a part of carbon heater of invention of the 4th-2 group. 第4−2グループの発明のカーボンヒータの外周部を示す部分断面図。The fragmentary sectional view which shows the outer peripheral part of the carbon heater of invention of the 4th-2 group. 第4−2グループの発明のカーボンヒータの外周部を示す部分断面図。The fragmentary sectional view which shows the outer peripheral part of the carbon heater of invention of the 4th-2 group. 第4−2グループの発明の端子装置を適用したカーボンヒータを示す斜視図。The perspective view which shows the carbon heater to which the terminal device of invention of the 4th-2 group is applied. 図76のカーボンヒータの上面図。FIG. 77 is a top view of the carbon heater of FIG. 76. 第4−2グループの発明による第1の端子装置の実施例を示す部分断面図。The fragmentary sectional view which shows the Example of the 1st terminal device by invention of 4th-2 group. 図78の端子装置の端子部材を示す側面図。FIG. 79 is a side view showing a terminal member of the terminal device of FIG. 78. 図79の端子部材A−A断面図。FIG. 80 is a cross-sectional view of the terminal member A-A in FIG. 79. 図78の端子装置に用いるねじを示す側面図。FIG. 79 is a side view showing a screw used in the terminal device of FIG. 78. 図81のねじを示す上面図。The top view which shows the screw of FIG. 図78の端子装置の組立図。FIG. 79 is an assembly diagram of the terminal device of FIG. 78. 第4−2グループの発明による第2の端子装置を示す断面図。Sectional drawing which shows the 2nd terminal device by invention of 4th-2 group. 図84の端子装置の割型中子部材を示す斜視図。FIG. 85 is a perspective view showing a split core member of the terminal device of FIG. 84. 第4−2グループの発明による第1〜第3の端子装置とそれを適用したカーボンヒータの一部を示す概略図。Schematic which shows a 1st-3rd terminal device by invention of 4th-2 group, and a part of carbon heater which applied it. 第4−1グループの発明の別の実施例を示す断面図。Sectional drawing which shows another Example of invention of the 4th-1 group. 第1グループの発明におけるヒータ部材の一例を示しており、カーボンファイバー束3本を3つ編みにした状態を示しており、An example of the heater member in the invention of the first group is shown, showing a state in which three carbon fiber bundles are knitted in three, 第4−1グループの発明による半導体熱処理装置用のカーボンヒータの使用状態を示す斜視図。The perspective view which shows the use condition of the carbon heater for semiconductor heat processing apparatuses by invention of the 4th-1 group. 図89のカーボンヒータの詳細を示す上面図。FIG. 90 is a top view showing details of the carbon heater of FIG. 89. 図89のカーボンヒータを概略的に示す側面図。FIG. 90 is a side view schematically showing the carbon heater of FIG. 図91の符号100の部分の拡大断面図。The expanded sectional view of the part of the code | symbol 100 of FIG.

Claims (3)

複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いて長細形状に編み込むだけで作ったヒータ部材は、含有不純物量が灰分で10ppm以下であるヒータ部材であり、
ヒータ部材が、石英ガラス支持体からなる密封形部材内に支持され封入されているカーボンヒータ。
A heater member made only by weaving a long and narrow shape using a plurality of carbon fiber bundles obtained by bundling a plurality of carbon fibers is a heater member having an ash content of 10 ppm or less,
A carbon heater in which a heater member is supported and enclosed in a sealed member made of a quartz glass support.
複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いて長細形状に編み込むだけで作ったヒータ部材で構成したカーボンヒータであって、ヒータ部材は、含有不純物量が灰分で10ppm以下であるヒータ部材であり、ヒータ部材を配線した石英ガラス板の上下に、この石英ガラス板とは別の石英ガラス板と不透明石英ガラス板を配置し、融着処理により、不透明石英ガラス層を含み、ヒータ部材を1本封入した板状の石英ガラス支持体によって実質的に一体化されていて、この石英ガラス支持体中のヒータ部材の周辺部に中空の空間が形成されているカーボンヒータ。 A carbon heater composed of a heater member made by simply knitting a plurality of carbon fiber bundles into a long and narrow shape using a plurality of carbon fiber bundles, and the heater member has an ash content of 10 ppm or less. A heater member, a quartz glass plate different from the quartz glass plate and an opaque quartz glass plate are arranged above and below the quartz glass plate on which the heater member is wired, and an opaque quartz glass layer is formed by a fusing process. A carbon heater which is substantially integrated by a plate-shaped quartz glass support enclosing one member, and in which a hollow space is formed around the heater member in the quartz glass support. 複数本のカーボンファイバーを束ねたカーボンファイバー束を複数本用いて長細形状に編み込むだけで作ったヒータ部材で構成したカーボンヒータであって、ヒータ部材は、含有不純物量が灰分で10ppm以下であるヒータ部材であり、カーボンファイバーからなるヒータ部材と、少なくともヒータ部材と対向する側の片面が鏡面のカーボン製反射板とを板状の石英ガラス支持体に封入した構成になっており、石英ガラス支持体は、ヒータ部材の周辺部に中空の空間が形成され、それ以外の部分においては実質的に一体化されているカーボンヒータ。 A carbon heater composed of a heater member made by simply knitting a plurality of carbon fiber bundles into a long and narrow shape using a plurality of carbon fiber bundles, and the heater member has an ash content of 10 ppm or less. A heater member comprising a carbon fiber heater member and at least a carbon reflector having a mirror surface on one side facing the heater member enclosed in a plate-like quartz glass support. The body is a carbon heater in which a hollow space is formed in the peripheral portion of the heater member, and the other portions are substantially integrated.
JP2008112653A 1997-07-31 2008-04-23 Carbon heater Expired - Lifetime JP4845146B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008112653A JP4845146B2 (en) 1997-07-31 2008-04-23 Carbon heater

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP1997219018 1997-07-31
JP21901897 1997-07-31
JP21894197 1997-07-31
JP1997218941 1997-07-31
JP1998132630 1998-04-28
JP13263098 1998-04-28
JP2008112653A JP4845146B2 (en) 1997-07-31 2008-04-23 Carbon heater

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001240041A Division JP4198901B2 (en) 1997-07-31 2001-08-08 Carbon heater

Publications (2)

Publication Number Publication Date
JP2008243820A JP2008243820A (en) 2008-10-09
JP4845146B2 true JP4845146B2 (en) 2011-12-28

Family

ID=39914854

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008112653A Expired - Lifetime JP4845146B2 (en) 1997-07-31 2008-04-23 Carbon heater

Country Status (1)

Country Link
JP (1) JP4845146B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8683680B2 (en) 2009-08-18 2014-04-01 Multitest Elektronische Systeme Gmbh Align fixture for alignment of an electronic component

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5544121B2 (en) 2009-07-21 2014-07-09 株式会社日立国際電気 Heating apparatus, substrate processing apparatus, and semiconductor device manufacturing method
US9064912B2 (en) 2009-07-21 2015-06-23 Hitachi Kokusai Electric, Inc. Heating device, substrate processing apparatus, and method of manufacturing semiconductor device
JP5500914B2 (en) * 2009-08-27 2014-05-21 株式会社半導体エネルギー研究所 Laser irradiation device
JP5824082B2 (en) * 2014-02-05 2015-11-25 株式会社日立国際電気 Heating apparatus, substrate processing apparatus, and semiconductor device manufacturing method
JP2016201343A (en) * 2015-04-07 2016-12-01 フィグラ株式会社 Exothermic glass for led traffic signal
KR101930489B1 (en) 2015-08-13 2018-12-19 주식회사 에프에스티 Wafer bonder and coil block apparatus using electromagnetic wave heating
KR101964455B1 (en) * 2018-04-06 2019-04-01 (주)티티에스 A support for supporting substrate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS52119579A (en) * 1976-03-31 1977-10-07 Toray Ind Inc Method of discharging electrode for electric dust-collector device
JPS53145138A (en) * 1977-05-25 1978-12-18 Toray Ind Inc Plane heating element
JPS61124088A (en) * 1984-11-20 1986-06-11 日本電熱株式会社 Manufacture of hot plate
JPH0690946B2 (en) * 1986-09-10 1994-11-14 松下電器産業株式会社 Electric heating unit
JPS63121288A (en) * 1986-11-10 1988-05-25 松下電器産業株式会社 Manufacture of electric heating unit
JPH06746Y2 (en) * 1987-03-09 1994-01-05 日本特殊陶業株式会社 Gasket type pressure sensor
JPH0678193B2 (en) * 1988-03-18 1994-10-05 東洋炭素株式会社 Carbon fiber reinforced carbon composite material excellent in thermal shock resistance and method for producing the same
JPH06168775A (en) * 1992-11-27 1994-06-14 Sumitomo Chem Co Ltd Heater and manufacture thereof
JPH06260430A (en) * 1993-03-08 1994-09-16 Eiko:Kk Plate heater and manufacture thereof
JPH07144371A (en) * 1993-11-24 1995-06-06 Nippon Steel Chem Co Ltd Carbon fiber reinforced resin composite material having high damping capacity
JPH07235371A (en) * 1994-02-23 1995-09-05 Gunze Ltd Complex tubing body resistance heat emission type
JPH0817746A (en) * 1994-06-30 1996-01-19 Toshiba Mach Co Ltd Heater
JPH08315965A (en) * 1994-09-29 1996-11-29 Tokyo Electron Ltd Heating device, its manufacture, and treatment device
JPH08138845A (en) * 1994-11-07 1996-05-31 Hattori Hiiteingu Kogyo Kk Quartz glass heater and its manufacture
JPH08222357A (en) * 1995-02-09 1996-08-30 Tokai Carbon Co Ltd Manufacture of carbon heating element
JPH08232555A (en) * 1995-02-27 1996-09-10 Maeda Corp Window cover
JP3338593B2 (en) * 1995-09-19 2002-10-28 日本碍子株式会社 Semiconductor processing apparatus and method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8683680B2 (en) 2009-08-18 2014-04-01 Multitest Elektronische Systeme Gmbh Align fixture for alignment of an electronic component

Also Published As

Publication number Publication date
JP2008243820A (en) 2008-10-09

Similar Documents

Publication Publication Date Title
JP4185194B2 (en) Carbon heater
KR100274312B1 (en) Carbon heater
JP4845146B2 (en) Carbon heater
KR100334993B1 (en) Heater
KR20010095084A (en) Fluid heating apparatus
JP4198901B2 (en) Carbon heater
KR101139612B1 (en) Planar heater
EP0514407B1 (en) High temperature diffusion furnace
KR100334992B1 (en) Sealing terminal
JP3646912B2 (en) Heater encapsulated heater
EP1494262B1 (en) Feed-throughs and discharge lamp having one of such feed-throughs
JP3372515B2 (en) heater
JPS60245215A (en) Vertical furnace
JP3883003B2 (en) heater
JP3930119B2 (en) Heater unit for semiconductor heat treatment equipment
JP2000173944A (en) Cylindrical heater and its manufacture
JP2023096833A (en) Tabular heater
JP2002015849A (en) Heater and manufacturing method therefor
JP4002961B2 (en) Carbon wire enclosed heater
JP3668703B2 (en) Semiconductor heat treatment method and apparatus used therefor
JPS59176586A (en) Annular electric furnace
JPH0468296A (en) Semiconductor manufacturing device
JP2004311775A (en) Semiconductor processing equipment
JP2013040085A (en) Heating furnace
JPH0766187A (en) Heating furnace

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111004

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111006

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141021

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term