JP4746234B2 - Method for depositing nanolaminate thin films on sensitive surfaces - Google Patents

Method for depositing nanolaminate thin films on sensitive surfaces Download PDF

Info

Publication number
JP4746234B2
JP4746234B2 JP2001531142A JP2001531142A JP4746234B2 JP 4746234 B2 JP4746234 B2 JP 4746234B2 JP 2001531142 A JP2001531142 A JP 2001531142A JP 2001531142 A JP2001531142 A JP 2001531142A JP 4746234 B2 JP4746234 B2 JP 4746234B2
Authority
JP
Japan
Prior art keywords
metal
halide
thin film
reactant
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001531142A
Other languages
Japanese (ja)
Other versions
JP2003524888A (en
JP2003524888A5 (en
Inventor
カイ−エリク エレルス
スビ ペー. ハウッカ
ビッレ アンテロ サニーラ
サリ ヨハンナ カイピオ
ペッカ ユハ ソイニネン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from FI992234A external-priority patent/FI117944B/en
Priority claimed from FI992233A external-priority patent/FI118158B/en
Priority claimed from FI992235A external-priority patent/FI117943B/en
Priority claimed from FI20000564A external-priority patent/FI119941B/en
Application filed by ASM International NV filed Critical ASM International NV
Priority claimed from PCT/US2000/028654 external-priority patent/WO2001029893A1/en
Publication of JP2003524888A publication Critical patent/JP2003524888A/en
Publication of JP2003524888A5 publication Critical patent/JP2003524888A5/ja
Application granted granted Critical
Publication of JP4746234B2 publication Critical patent/JP4746234B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
発明の分野
本発明は、一般的に、交互自己飽和化学反応(alternated self-saturating chemistries)によって基体上に薄膜を堆積することに関する。より詳細には、本発明は、薄膜形成の間に腐食性化学種を使用する一方で基体における材料の腐食を防止することに関する。
【0002】
発明の背景
初めは原子層エピタキシー(Atomic Layer Epitaxy)(ALE)として公知である、原子層堆積(Atomic Layer Deposition)(ALD)は、CVDの進歩したバリエーションである。ALDプロセスは、一連の自己飽和表面反応(sequential self-saturated surface reactions)に基づく。これらのプロセスの例は、米国特許第4,058,430および5,711,811に詳細に記載される。記載される堆積プロセスは、システムを迅速にする、不活性キャリアおよびパージングガスの使用によって利益を得る。該プロセスの自己飽和性質のために、ALDは、原子的に薄いレベルの膜のほぼ完全にコンフォーマルな(conformal)堆積を可能とする。
【0003】
該技術は、初めに、望ましくは高表面積を示すフラットパネルエレクトロルミネッセントディスプレイ用の基体のコンフォーマルなコーティングのために開発された。より最近において、ALDは、集積回路の製造における用途が見出された。該技術によって可能とされる並外れたコンフォーマリティー(conformality)および制御は、当該技術水準の半導体プロセッシングの益々要求される寸法縮小(scaled-down dimensions)に十分適している。
【0004】
ALDは、半導体製造への多くの可能性のある用途を有する一方、これらの新規のプロセスを確立されたプロセスフローへ統合すること(integrating)は、多くの新規の問題をもたらし得る。従って、改善されたALDプロセスについての必要性が存在する。
【0005】
発明の要旨
本発明の1つの局面によれば、原子層堆積(ALD)タイププロセスによって反応空間内の基体上にナノラミネート構造(nanolaminate structure)を形成するための方法が提供される。ナノラミネート構造は、少なくとも1つの金属化合物層を含む、少なくとも2つの隣接する薄膜層を有する。各薄膜層は、隣接する薄膜層とは異なる相である。
【0006】
本発明の別の局面によれば、少なくとも3つの薄膜層を有するナノラミネート構造が、提供される。各層は、約10nm未満の厚みを有する。層の少なくとも1つは、金属炭化物および金属窒化物からなる群から選択される。
【0007】
本発明の別の局面によれば、反応空間中の基体上に材料を堆積させるための方法が提供される。基体は、ハロゲン物攻撃(halide attack)に敏感な表面を有する。該方法は、複数の堆積サイクルにおいて反応物の交互パルス(alternated pulses)を提供することを含み、ここで各サイクルは以下を含む:
第一反応物を供給して、表面上にハロゲン化物終結化学種(halide-terminated species)の約1以下の単層を化学吸着(chemisorb)すること;
反応空間から過剰な第一反応物および反応副生成物を除去すること;および
サイクルを反復する前に、単層からハロゲン化物をゲッタリングすること。
【0008】
好ましい実施形態の詳細な説明
本開示は、ALD堆積の間に感受性表面を保護するための方法を教示する。当業者は、ナノラミネート構築へ適用可能である一方、腐食からの感受性表面の保護は、他のコンテクストにおける適用を有すること、およびその逆も同様であることを理解する。
【0009】
定義
本説明のために、“ALDプロセス”は、表面上への材料の堆積が一連のおよび交互の自己飽和表面反応(sequential and alternating self-saturating surface reaction)に基づくプロセスを意味する。ALDの一般的な原理は、例えば、米国特許第4,058,430および5,711,811に開示されており、その開示は、本明細書中で参考として援用される。
【0010】
“反応空間”は、リアクターまたは反応チャンバ、あるいはその中の任意に規定される容積を意味するために使用され、ここで、条件は、ALDによって薄膜成長をもたらすように調節され得る。
【0011】
“吸着”は、基体上における原子または分子の付着を意味するために使用される。
【0012】
“表面”は、反応空間と基体の形体(feature)との間の境界を意味するために使用される。
【0013】
“ゲッター(getter)”、“ゲッタリング剤(gettering agent)”または“スカベンジャー(scavenger)”は、表面上に吸着されたハロゲンまたはハロゲン化物化学種から、あるいは反応空間中のハロゲン化物(例えば、ハロゲン化水素またはハロゲン化アンモニウム)から、新しい揮発性化合物を形成し得る揮発性化学種を意味するために使用される。典型的に、新しいハロゲン化合物は、ハロゲン化水素またはハロゲン化アンモニウムよりも、ワークピース(workpiece)の露出される形体(features)に対してより腐食性でない。
【0014】
原子へ1つの末端で結合されている記号“−”および“=”は、特定されていない原子またはイオンへの結合数を意味する。
【0015】
金属窒化物(例えば、WNxまたはTiNx)における下付き文字“x”は、種々の金属/窒素比を伴う広範な相を有する、必ずしも化学量論的でない遷移金属窒化物を意味するために使用される。
【0016】
金属炭化物(例えば、WCxまたはTiNx)における下付き文字“x”は、種々の金属/炭素比を伴う広範な相を有する、必ずしも化学量論的でない遷移金属炭化物を意味するために使用される。
【0017】
“ナノラミネート構造”は、ナノラミネートの成長方向に関して異なる相のスタックされた薄膜層を含む層状構造(layered structure)を意味する。"交互の(alternating)"または“スタックされた(stacked)”は、隣接する薄膜層が互いに異なることを意味する。ナノラミネート構造において、常に、分子の少なくとも2つの相が存在する。好ましくは、少なくとも3つの隣接する相が存在する。空間において分子または原子が均等に混合されて、その結果、差異が空間の異なる部分の間で分析法によって見出すことができない場合に、単一相が存在する。異なる相は、当該分野において認識される任意の相違、例えば、相界面(phase interface)のいずれかの面における薄膜の、異なる結晶構造、クリスタリット格子パラメータ、結晶化段階、電気伝導率および/または化学組成に起因し得る。
【0018】
望ましくは、スタック(stack)における各相または層は、薄く、それぞれ、好ましくは約20nm厚未満、より好ましくは約10nm未満、そして最も好ましくは約5nm未満である。“薄膜”は、ソース(source)から基体へ、真空、気相または液相を介して、別個のイオン、原子または分子として輸送される元素または化合物から成長される膜を意味する。膜の厚みは、用途に依存し、そして広範に、好ましくは1原子層〜1,000nmにわたり変わり得る。
【0019】
“金属薄膜”は、本質的に金属からなる薄膜を意味する。還元剤に依存して、金属薄膜は、膜の特徴的な金属特性、またはナノラミネートの特徴的な特性に負の効果を与えることのない量で、いくらかの金属炭化物および/または金属ホウ化物を含み得る。
【0020】
集積化問題( Integration issues
ハロゲン化物は、一般的に、そして特に遷移金属ハロゲン化物は、それらの高い揮発性および熱分解に対する耐久性のために、ALDのための魅力的なソース化学物質(source chemicals)である。これらのハロゲン化物のうち、室温付近で液体または気体である化合物(例えば、TiCl4およびWF6)は、それらがソース容器で固体粒子を発生させないので好ましい。それらの揮発性に加えて、多くのこのようなハロゲン化物化合物は、特にALDプロセッシングのために有用であり、何故ならばそれらは、関心のある化学種(例えば、金属含有化学種)の化学吸着(chemisorption)を可能にし、ハロゲン化物テイル(halide tails)で終結する(terminated)化学種の1以下の単層を残すからである。ハロゲン化物テイルは、関心のある化学種のさらなる化学吸着または反応を防止し、その結果、該プロセスは自己飽和(self-saturating)および自己制限式(self-limiting)である。
【0021】
金属ハロゲン化物は、例えば、ALDプロセスによる金属、金属窒化物および金属炭化物薄膜の形成に使用され得る。しかし、これらのプロセスは、ALDの所望の完璧にコンフォーマルな(conformal)堆積を生じさせなかった。図2ならびに実施例1、2および4の議論は、例えば、アンモニアと交互する金属ハロゲン化物を使用しての金属窒化物および炭化物のALD形成の間に“露出された(exposed)”銅によって持続される腐食性損傷を実証する。実際に、実施例4は、このような損傷は、銅が5nmのタングステン金属によってカバーされた場合でさえ持続され得ることを実証する。
【0022】
金属ハロゲン化物および高水素含量を有するソース化学物質を使用するALDプロセスは、ハロゲン化水素(例えば、HF、HCl)を反応副生成物として放出し得る。これらの反応性副生成物は、特定の金属表面を破壊し、金属において深い窪み(pits)を残すかまたは全ての金属を除去さえし得る。二酸化ケイ素はまた、揮発性ハロゲン化ケイ素の形成に起因して腐食しやすい。これらのハロゲン化水素はまた、ALD相の間に他の反応物と(例えば、窒素相の間に過剰のNH3と)結合して、腐食問題を悪化させるさらなる有害な化学種(例えば、ハロゲン化アンモニウム(例えばNH4F))を形成し得る。従って、交互のハロゲン化物−および水素−保有反応物からの副生成物は、部分的に製造された集積回路の露出された材料(例えば、アルミニウム、銅および二酸化ケイ素)を腐食させる傾向にある。
【0023】
好ましいワークピース( workpiece
好ましい実施形態は、基体の表面上へのALDによる金属、金属炭化物および金属窒化物薄膜の堆積を含む。1つの実施形態において、薄膜は、ナノラミネートを形成する。より詳細には、実施形態は、ハロゲン化物および特にハロゲン化水素の存在下で腐食に敏感である“感受性”表面上への堆積を含む。このような感受性表面は、例えば、アルミニウムおよび銅のような金属、ならび酸化ケイ素および窒化ケイ素のようなケイ素化合物を含む。
【0024】
以下により詳細に記載されるように、このような感受性表面は、一般的に、表面とハロゲン化水素またはハロゲン化アンモニウムとの間の反応について、負またはほぼゼロのギブスの自由エネルギー(ΔGf)を有することを特徴とする。
【0025】
図6は、堆積が同時に複数のこのような材料上にわたって望まれる、デュアルダマシンコンテクスト(dual damascene context)を示す。構造は、テトラエチルオルトシリケート(TEOS)前駆体を使用するプラズマ増強CVD(plasma enhanced CVD)(PECVD)によって特に堆積された、酸化ケイ素の形態である、第一または下部絶縁層50を含む。絶縁層50は、バリア層51(典型的には、窒化ケイ素)上にわたって形成され、これは次に伝導性エレメント52の上になる(overlies)。伝導性エレメント52は、デュアルダマシンコンテクストにおいて、典型的に、高伝導性配線金属からなり、そして最も好ましくは銅からなる。第一絶縁層50上にわたって、下にある絶縁体50と比較して顕著に異なるエッチ速度(etch rate)を有する材料から形成されたエッチストップ(etch stop)54がある。エッチストップ層54(典型的には、窒化ケイ素)は、コンタクトビア(contact vias)を規定する際にハードマスク(hard mask)として役立つワークピースを横切る複数の開口部55を含む。第二または上部絶縁層56(また、PECVD TEOS)が、エッチストップ54上にわたって形成され、そしてポリッシングシールド(polishing shield)58は、後の化学的機械的平坦化(chemical mechanical planarizing)(CMP)工程をストップする。ポリッシングシールド58は、典型的に、比較的硬い材料(例えば、窒化ケイ素またはシリコンオキシニトリド)を含む。
【0026】
当業者に認識されるように、デュアルダマシン構造は、別個の配置でのトレンチフロアーから延びるコンタクトビア62を有する複数のトレンチ60を規定するフォトリソグラフィーおよびエッチ工程によって形成される。トレンチ60は、集積回路設計に従う電気デバイスの相互接続のための配線パターンを規定するに役立つ。コンタクトビア62は、下部電気素子または配線層への電気接続が回路設計に従って望まれる配置を規定する。
【0027】
当業者は、種々の交互材料および構造がこれらの目的を達成するために使用され得ることを理解する。例えば、好ましい絶縁層50、56がPECVD TEOSを含む一方、他のアレンジメントにおいて、これらの層の材料は、任意の多数の他の好適な誘電体を含み得る。例えば、慣用の酸化物と比較した場合に低い誘電率(low k)を示す誘電体が、最近、開発された。これらlow k誘電体は、ポリマー材料、多孔性材料およびフッ素−ドープ(fluoride-doped)酸化物を含む。同様に、バリア51、エッチストップ54およびシールド58は、それらの前述の機能に好適な任意の多数の他の材料を含み得る。さらに、任意のまたは全ての層51、54および58は、デュアルダマシン構造を製造するための他のスキームにおいて省略され得る。
【0028】
図7に示されるように、デュアルダマシントレンチ60およびビア62は、次いで、薄膜150で裏打ちされる(lined)。薄膜150は、構造の特に所望の表面上にわたって選択的に形成され得るが、最も好ましくは、好ましい実施形態に従って、ALDによるブランケット、コンフォーマル堆積(blanket, conformal deposition)で形成される。例示される実施形態において、薄膜は伝導性であり、電気シグナルがそこを通って流れることを可能にする。
【0029】
集積回路は、通常はアルミニウムから作製される相互接続(interconnect)を含む。最近、銅は、当該分野で魅力的な材料となってきた。しかし、銅は、周囲の材料へ拡散しやすい。拡散は、回路の電気的特性に影響し、そしてアクティブ成分(active components)を機能不良にさせ得る。拡散は、電気伝導拡散バリア層によって防止され得る。アモルファス膜は、イオン拡散が薄膜の粒界を好むので、拡散バリアの特性を増強させると考えられる。好ましい拡散バリアは、遷移金属窒化物(例えば、TiNx、TaNxおよびWNx)である。本発明者らはまた、金属炭化物(例えば、WCx)は良好な伝導拡散バリア(conductive diffusion barriers)であると見出した。
【0030】
慣用的に、デュアルダマシン構造における薄いライニング膜(thin lining film)は、伝導性付着サブ−レイヤー(conductive adhesion sub-layer)(例えば、タングステン金属)、バリアサブ−レイヤー(barrier sub-layer)(例えば、窒化チタン)およびシードサブ−レイヤー(seed sub-layer)(例えば、PVD銅)を含む。好ましい薄膜150は、ALDによって形成される1以上のこれらのサブ−レイヤーを含み得、そしてまた、他の方法によって形成される1以上のサブ−レイヤーを含み得る。好ましい実施形態は、例えば、エッチングなしで、酸化物および銅構造上にわたって、ALDによってタングステン金属を形成する方法を含む。しかし、一般的に、ライニング層(lining layers)の厚みを最小化すること、それによって後で堆積される高伝導性金属(好ましくは、銅)によって占有される構造の体積を最大化することが、望ましい。この目的のために、好ましい実施形態はまた、感受性表面をエッチングすることなしに酸化物および銅の両方の表面(または他の感受性表面)の直ぐ上にわたってバリア層を堆積するための、または腐食のない非常に薄い付着層上にわたってバリア層を堆積するための手段を提供する。
【0031】
当業者によって理解されるように、薄膜150の形成に続いて、トレンチ60およびビア62が、高伝導性材料(例えば、電気めっき銅)で充填され得る。次いで、研磨工程が、個々のラインがトレンチ60内で分離されていることを確実にする。
【0032】
ナノラミネート構造
ナノラミネートは、増強された拡散バリア特性(diffusion barrier properties)を有する層状構造である。ナノラミネートは、複数の薄膜からなり、そして堆積の間の通常の結晶成長の中断(disruption)によって不純物についての非常に複雑な拡散経路を作製するように構築される。従って、ナノラミネートは、例えば異なるクリスタリット構造および異なるクリスタリット格子パラメータを有する、異なる相の交互薄膜層を備える。
【0033】
本発明の好ましい実施形態によれば、ナノラミネート構造は、基体上に形成される。ナノラミネート構造は、好ましくは、望ましくは伝導性でそして拡散バリア機能に役立つ、少なくとも1つの遷移金属化合物薄膜層から構成される。金属化合物は、金属窒化物または金属炭化物であり得る。ナノラミネート構造はまた、1以上の元素金属薄膜層を含み得る。
【0034】
ナノラミネート構造は、好ましくは、ナノラミネートの成長方向に関して異なる相を有する材料の交互のスタックされた薄膜層を含む、層状構造である。ナノラミネート構造は、好ましくは、少なくとも2つの異なる相を有する材料を含む。従って、少なくとも2つの隣接する薄膜層は、好ましくは異なる相を有する。例えば、それらは、互いに異なる構造、組成または電気抵抗率を有し得る。3つの層を有するナノラミネートにおいて、層の少なくとも1つは、好ましくは、他の2つの層とは異なる相を有する。
【0035】
ナノラミネート構造は、好ましくは、少なくとも2つの薄膜層を含む。より好ましくは、それらは、少なくとも3つの薄膜層を含む。ナノラミネート構造が3つの膜層を含む場合、それは好ましくは、中間層が外側の2つの層とは異なる相を有する“サンドイッチ”構造である。
【0036】
好ましくは、ナノラミネートは、相が層とともに交互になるように成長される。従って、それぞれの他の層は、好ましくは、同一相である。しかし、1つのナノラミネート構造の全ての薄膜は、例えば各薄膜層が異なる材料で作製される場合、異なる相であり得る。この構造は、構造におけるイオンの拡散を損ない(impair)得る多数の相界面(phase interfaces)を有する。
【0037】
ナノラミネート構造の例は、図9において示され、これは、本発明のALDタイププロセスによって作製された金属窒化物ナノラミネートの第一の4薄膜層の概略図である。各層を得るためのパルシングシークエンス(pulsing sequence)が、図9に示される。層は、図において一定の比率に応じてなく(not to scale)、そして下付文字x、y、aおよびbは整数である。
【0038】
図10は、好ましいナノラミネートにおける層間の均一な成長および鋭い界面を示す。図10は、明らかに別個の窒化チタン30(ライトグレー)の1.8nm薄膜層および窒化タングステン40(ダークグレー)の4.5nm薄膜層を示す、窒化物ナノラミネート構造の透過型電子顕微鏡(TEM)写真である。
【0039】
ナノラミネート構造にスタックされる層の数は変化し得、しかし2〜500、好ましくは3〜300、より好ましくは4〜250、そしてなおより好ましくは4〜20に変化し得る。ナノラミネート構造の厚みは、好ましくは、2分子層〜1,000nm、より好ましくは5nm〜200nm、そしてなおより好ましくは10nm〜100nmである。望ましくは、各層は薄く、各々好ましくは20nm未満厚、より好ましくは約10nm未満、そして最も好ましくは約5nm未満である。
【0040】
本発明のナノラミネート構造を作製する薄膜層は、好ましくは、各隣接する層とは異なる相および特性を有する。これらの差異は以下の特性にあり得るが、当業者は、他の特性が熟考されること、および特性はラミネート構造における薄膜のタイプに依存して変化することを認識する:
1.クリスタリット構造 クリスタリット構造は、堆積される化学種に従って、ならびに窒化物薄膜層の金属/窒素比に従って変化する。結晶構造におけるバリエーション(variation)は、空間群、単位胞寸法(unit cell dimensions)および薄膜層におけるクリスタリットの配向を含む多数の詳細(details)において生じ得る。
【0041】
面心、立方および六方のような230空間群が存在する。従って、ナノラミネート構造は、それぞれクリスタリットの六方および立方空間群を有する交互薄膜層を基体上に堆積させることによって作製され得る。空間群におけるバリエーションは、単位胞寸法を変化させ得る。
【0042】
単位胞は、クリスタリット内部の最も小さな繰り返し原子配置であり、そして単位胞のサイズは変化し得る。例えば、ナノラミネートは、小さな単位胞および大きな単位胞を有する材料を含む交互薄膜層を堆積させることによって作製され得る。
【0043】
ミラー指数に従う薄膜層における結晶配向もまた、変化し得る。例えば、ナノラミネートは、以下の構造を有し得る:(100)/(111)/(100)/(111)/...。
【0044】
2.組成 組成は、金属窒化物を含む例示されるナノラミネートにおける金属/窒素比、または金属炭化物を含む例示されるナノラミネートにおける金属/炭素比のような、原子構成(atomic make-up)を言う。金属/窒素比に起因して異なる相を含むナノラミネート構造の例は、以下である:Ta35/TaN/Ta35/TaN/...。別の例は、いくつかの薄膜層が窒素を含みそして他が含まない構造であり、例えばW/WN/W/WN/...である。
【0045】
3.電気抵抗 電気抵抗はまた、金属/窒素比に従って変化する。アモルファスまたは近アモルファス(near-amorphous)構造は、互いに比較した場合、明らかに異なる抵抗率を有し得る。一般的に、より多くの窒素が薄膜層に存在するほど、より高い抵抗率となる。可能なナノラミネート構造の例は、低い抵抗率および非常に低い抵抗率を有する材料の交互の薄膜層を含むものである。
【0046】
本発明のナノラミネートは、例えば、集積回路における拡散バリア(diffusion barriers)として使用され得る。それらはまた、x線のためのリフレクター(reflector)として使用され得る。このような用途に好適なナノラミネート構造は、好ましくは、高原子番号遷移金属または高原子番号遷移金属窒化物および低原子番号元素もしくは窒化物からなる薄膜層を含む。本発明の文脈において、原子番号は、それが少なくとも約15以上である場合に“高い”と、そしてそれが約14以下である場合に“低い”と考えられる。高原子番号窒化物は、好ましくは、タングステンまたはタンタルを含むソース材料を使用して調製される。低原子番号窒化物は、好ましくは、無機窒化物、特にベリリウム、ホウ素、マグネシウム、アルミニウムおよびケイ素窒化物である。好ましくは、薄膜層は、高原子番号窒化物を含む層が低原子番号窒化物を含む層と交互にされるように、ナノラミネート中に配置される。
【0047】
他の伝導バリア層上に金属窒化物または炭化物を含む、ここで記載されるナノラミネート構造は、図7に関して記載されるように、特に相互接続バリアに好適である。その上、これらの材料は、堆積のプロセスにおいて、ハロゲン化水素およびハロゲン化アンモニウムからの攻撃(attack)に感受性である。従って、以下に記載される堆積の方法は、良質のナノラミネート構造を可能にする。
【0048】
好ましいALD法
本明細書中で示される方法は、化学的感受性表面における攻撃的化学物質からのコンフォーマルな薄膜およびナノラミネートの堆積を可能にする。幾何学的に難しい応用が、自己制限式(self-limited)表面反応の使用のために、可能である。
【0049】
好ましい実施形態によれば、薄膜、特にナノラミネート構造は、原子層堆積(Atomic Layer Deposition)(ALD)タイププロセスによって、ハロゲン化物攻撃(halide attack)に敏感な表面を含む集積回路ワークピース(workpieces)または基体上に形成される。このような感受性表面は、種々の形態をとり得る。例としては、ケイ素、酸化ケイ素(SiO2)、被覆ケイ素(coated sillicon)、low−k材料、金属(例えば、銅およびアルミニウム)、合金、金属酸化物および種々の窒化物(例えば、遷移金属窒化物および窒化ケイ素)または該材料の組み合わせが挙げられる。図6および7を参照して上述したように、好ましいダマシンおよびデュアルダマシンコンテクストは、コンタクトビアの下部に、酸化ケイ素ベースの絶縁体および露出された銅ラインを含む。
【0050】
反応チャンバに配置される基体またはワークピースは、薄膜を成長させるために、ソース化学物質の交互に反復される表面反応に供される。特に、薄膜は、各サイクルが自己制限(self-limiting)様式でワークピース上に層を堆積、反応または吸着させる周期的プロセスによって、形成される。好ましくは、各サイクルは、少なくとも2つの異なる相を含み、ここで、各相は、自己制限(self-limiting)効果を伴う飽和的(saturative)反応である。従って、反応物は、好ましい条件下で、表面へ結合され得る反応物の量が利用可能な部位の数によってそして付随的には化学吸着される化学種(リガンドを含む)の物理的サイズによって決定されるように、選択される。パルスによって残される層は、そのパルスの残存する成分(chemistry)とは非反応性である表面で自己終結(self-terminated)される。この現象は、本明細書中で、“自己飽和(self-saturation)”として言及される。
【0051】
ワークピース表面における最大ステップカバレージ(Maximum step coverage)は、ソース化学分子の約1以下の単一分子層が各パルスにおいて化学吸着される場合に、得られ得る。各次のパルスは、前のパルスによって残された表面と、同様に自己制限的(self-limiting)または自己終結的(self-terminating)様式で反応する。パルシングシークエンスが、所望の厚みの薄膜、または所望の構造を有するナノラミネートが成長するまで反復される。
【0052】
好ましい実施形態によれば、パルスの反応物は、ワークピース表面へのエッチ損傷を回避するように選択される。以下の実施例8は、反応物が顕著には表面をエッチングしない1つの実施形態を提供する。
【0053】
より好ましくは、反応物は、基体に有害である化学種を含む。しかし、各ALDサイクルの間のゲッター相は、有害な化学種をスカベンジし(scavenge)、それによって各相における自己飽和の助けとなる有利な揮発性反応物の使用を可能とすると同時に、感受性表面を保護する。例えば、実施例3、および5〜7は、各サイクルの間のスカベンジ(scavenging)またはゲッタリング(gettering)相を含む堆積プロセスを開示する。金属薄膜堆積(実施例3)の場合、少なくとも2つの異なるソース化学物質が、交互に使用され、この1つは、他の化学物質からのハロゲン化物をゲッターする。金属窒化物薄膜堆積(実施例5〜7)の場合、少なくとも3つの異なるソース化学物質が交互に使用される:ハロゲンリガンドで終結する約1以下の単層を形成し、そして層が堆積する際に望ましい化学種を含む、第一反応物;単層からハロゲン化物をスカベンジするためのゲッター;ならびに層が堆積する際に望ましい別の化学種(特に、窒素)を含む、第二反応物。
【0054】
図8は、一般的に、二元(binary)材料を堆積するための3相サイクルを例示する。しかし、当業者は、容易に、ここで開示される原理は三元(ternary)以上の複雑な材料をALDによって堆積することに容易に応用され得ることを理解する。
【0055】
感受性表面を含む半導体ワークピースを、半導体プロセッシングリアクター中へロードする(load)。ALDプロセスを増強するために特に設計された例示的リアクターは、フィンランドのASM Microchemistryから商品名Pulsar2000TMで市販されている。
【0056】
必要ならば、ワークピースの露出された表面(例えば、図6に示される、トレンチおよびビア側壁表面ならびに金属フロア(metal floor))が、終結され(terminated)、ALDプロセスの第一相と反応する。好ましい実施形態の第一相は、例えば、ヒドロキシル(OH)またはアンモニア(NH3)終端(termination)と反応性である。以下に議論される実施例において、デュアルダマシン構造の酸化ケイ素および窒化ケイ素表面は、別々の終結を必要としない。ある金属表面、例えばビア61の底部(図9A)は、例えばアンモニア処理によって終結され得る。
【0057】
最初の表面終結の後、必要ならば、第一反応物パルスを、次いで、ワークピースへ供給する102。好ましい実施形態によれば、第一反応物パルスは、キャリアガスフローおよび関心のあるワークピース表面と反応性である揮発性ハロゲン化物化学種を含み、そしてさらに、堆積される層の一部を形成する化学種を含む。従って、ハロゲン含有化学種は、ワークピース表面上で吸着される。例示される実施形態において、第一反応物は金属ハロゲン化物であり、そして形成される薄膜は、金属性材料、好ましくは金属窒化物を含む。第一反応物パルスは、ワークピース表面を自己飽和させ、その結果、第一反応物パルスの過剰な構成成分はいずれも、このプロセスによって形成された単層とさらに反応しない。自己飽和は、ハロゲン化物テイル(tail)のために、単層を終結させ、さらなる反応から層を保護する結果となる。
【0058】
第一反応物パルスは、好ましくは、ガス状形態で供給され、そして従ってハロゲン化物ソースガスと言及される。いくつかの場合において、反応性化学種は、プロセス温度を超える融点を有し得る(例えば、CuClは430℃で融解し、一方プロセスは約350℃で行われる)。にもかかわらず、該化学種が、プロセス条件下で、露出された表面を飽和するに十分な濃度でワークピースへ該化学種を運搬するに十分な蒸気圧を示す場合に、ハロゲン化物ソースガスは、本明細書の目的について、“揮発性”と考えられる。
【0059】
次いで、第一反応物は、反応空間から除去される104。好ましくは、工程104は、好ましくは約2より多い反応チャンバ容量のパージガスを用いて、より好ましくは約3より多いチャンバ容量を用いて、過剰な反応物および反応物副生成物を反応空間から拡散またはパージするに十分な時間の間キャリアガスを流し続けながら、第一化学反応のフローを停止させることだけを必要とする。例示される実施形態において、除去102は、第一反応物パルスのフローを停止させた後約0.1秒から20秒の間、パージガスを流し続けることを含む。パルス間パージング(inter-pulse purging)は、シリアル番号第09/392,371を有し1999年9月8日に出願されそしてIMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILMという表題の、同時係属中のUS特許出願に記載され、この開示は本明細書中で参考として援用される。他のアレンジメントにおいて、チャンバは、交互の化学反応の間で、完全に真空にされ得る。例えば、METHOD AND APPARATUS FOR GROWING THIN FILMSという表題の1996年6月6日に公開されたPCT公開番号WO 96/17107を参照のこと。この開示は本明細書中で参考として援用される。吸着102および反応物除去104は、一緒になって、ALDサイクルにおける第一相105を示す。第一相はまた、ハロゲン化物相として言及され得る。
【0060】
第一反応物パルスの反応物がチャンバから除去されると104、ゲッターパルスがワークピースへ供給される。ゲッターパルスは、工程102で形成された吸着された複合単層のリガンド終端を(例えば、リガンド−交換、昇華または還元によって)捕捉するか除去する106。ゲッター化学種は、好ましくはキャリアフローと共に、ワークピース表面を飽和し、さらなるパルスの前にハロゲン化物テイル(halide tails)の除去を確実にする。温度および圧力条件は、好ましくは、単層を通って下にある(underlying)材料へのゲッターの拡散を回避するようにアレンジされる。
【0061】
以下のより詳細な議論からより良く理解されるように、吸着された単層におけるハロゲン化物テイルとゲッター化学種との間の反応は、熱力学的に好ましい。より詳細には、ゲッターとハロゲン化物−終端単層との間の反応は、一般的に、負のギブスの自由エネルギーによって特徴付けられる。従って、ハロゲン化物化学種は、第一相105において形成される吸着化複合体の残部へよりも容易に、ゲッター化学種へ(または、リガンド−交換によるスカベンジングの場合、その反応副生成物へ)結合する。同様に、ゲッターは、反応空間における遊離のハロゲン化物に結合し得る。
【0062】
次いで、ゲッター−ハロゲン化物複合体(望ましくはまた揮発性)はまた、反応空間から、好ましくはパージガスパルスによって、除去される108。除去は、工程104について記載される通りであり得る。スカベンジャーパルス106および除去108は、一緒になって、例示されるALDプロセスの第二相109を示し、これはまた、スカベンジャーまたはゲッター相として言及され得る。
【0063】
第一の2つの相は、ナノラミネート構造における金属膜層のような金属膜の形成に十分である。しかし、二元金属層(例えば、金属窒化物層)の形成について、1つのさらなる相が、好ましくは使用される。他のアレンジメントにおいて、ゲッターは、ハロゲン化物の代わりに成分を残し得る。例えば、トリエチルボロンゲッターは、タングステン複合体からフッ素をスカベンジする場合、炭素を残し得る。
【0064】
例示される実施形態において、第二反応物パルスが、次いで、ワークピースへ供給される110。第二化学反応は、望ましくは、ゲッター相109によって残された単層と反応するかまたはこの上に吸着される。ゲッター相は、第二反応物がハロゲン化水素を形成する傾向にあるような水素保有化合物を含む場合に、特に有用である。例示される実施形態において、第二反応物パルス110は、キャリアガスを水素保有窒素(例えば、NH3)ソースガスと共にワークピースへ供給することを含む。第二反応物由来の窒素または窒素含有化学種は、好ましくは、前に吸着された単層と反応して、窒素化合物を残す。特に、第一反応物が金属ハロゲン化物を含む場合、第二反応物は、金属窒化物の約1以下の単層を残す。第二反応物パルス110はまた、飽和的反応相における堆積を制限する(limit)ように作用する表面終端(surface termination)を残す。金属窒化物単層を終結する窒素およびNHxテイル(tails)は、第二反応物パルスのNH3と非反応性である。
【0065】
第二反応物パルス110で単層を完全に飽和および反応させるに十分な時間後、第二反応物をワークピースから除去する112。第一反応物の除去104およびゲッター化学種の除去108と同様に、この工程112は、好ましくは、第2化学のフローを停止すること、ならびに第二反応物パルス由来の過剰な反応物および反応副生成物が反応空間から外へ拡散しそしてパージされるに十分な時間の間キャリアガスを流し続けることを含む。第二反応物パルス110および除去112は、一緒になって、例示されるプロセスにおける第三相113を示し、そしてまた窒素または水素相と考えられ得、何故ならば、窒素が成長膜の一部と反応し、及びこれを形成すると同時に、水素が反応において放出されるからである。
【0066】
3つの相が交互される例示される実施形態において、一旦第二化学反応の過剰な反応物および副生成物が反応空間から除去されると、ALDプロセスの第一相が反復される。従って、再度第一反応物パルスをワークピースへ供給すること102は、別の自己終結単層を形成する。
【0067】
従って、3つの相105、109、113は、一緒になって、ALDプロセスにおいて金属窒化物単層を形成するために反復される1つのサイクル115を示す。第一反応物パルス102は、一般的に、前のサイクルにおける第二反応パルス110によって残された終端(termination)と反応する。このサイクル115は、その所望の機能を行うに十分な厚みの膜を作製するに十分な回数反復される。
【0068】
中間ゲッター相と共に第一および第二反応物のみで図8に例示されるが、他のアレンジメントにおいて、さらなる化学反応がまた各サイクルに含まれ得ることが理解される。例えば、必要ならば、サイクル115は、異なる表面調製を含むように延長され得る。その上、第二ゲッター相が、窒素相112の後に各サイクルにおいて行われ得る。次いで、サイクル115は、工程102〜112までを続ける。さらに、以下の実施例において最初の金属相および次の窒素相で例示されるが、サイクルは、露出される基体表面および相化学に依存して、窒素相で開始され得ることが理解される。
【0069】
ナノラミネートの製造において、金属、金属炭化物または金属窒化物の第一単層が堆積された後、出発材料、パルシングパラメータおよびサイクルは、好ましくは、次の単層の相が異なりかつ相界面が任意の2つの膜層の間に形成されるように、変化される。例えば、2相および3相サイクルを交互させることは、交互の金属および金属窒化層を有するナノラミネート構造を作製する。別の実施例において、金属ソース化学物質は、3相サイクルの各反復において交互にされ、金属窒化物の交互の層を作製する。
【0070】
例示される金属窒化物実施形態(実施例5〜7)において、第一反応物は、成長層へ金属を供給する金属ハロゲン化物(例えば、WF6またはTiCl4)を含み;ゲッターはトリエチルボロン(TEB)を含み;そして第二反応物は、成長層へ窒素を与えるアンモニア(NH3)を含む。
【0071】
以下に示される実施例は、薄膜堆積のためのハロゲン−ゲッターを使用することの利点を実証する。実施例1、2および4は、銅金属表面の腐食が見られた場合を例示し、そして他の実施例は、腐食が好ましい実施形態に従って排除された場合を例示する。腐食の程度は、定量しなかった。腐食は、存在するかまたは存在しないかのいずれかであり、光学およびSEM画像によって測定した。実際には、腐食についての耐性は、用途に依存する。
【0072】
ソース材料
一般的に、ソース材料、(例えば、金属ソース材料、ハロゲンゲッターおよび窒素ソース材料)は、好ましくは、ALDによる堆積を行うための化合物の、十分な蒸気圧、基体温度での十分な熱的安定性および十分な反応性を提供するように選択される。“十分な蒸気圧”は、気相の十分なソース化学物質分子を基体表面へ供給し、所望の速度での表面での自己飽和反応を可能にする。“十分な熱的安定性”は、ソース化学物質自体が表面において成長妨害凝縮性(growth-disturbing condensable)相を形成しないこと、または熱分解によって基体表面に有害なレベルの不純物を残さないことを意味する。1つの目的は、基体における分子の制御されない凝縮(condensation)を回避することである。“十分な反応性”は、商業的に許容可能なスループット時間を可能にするに十分に短いパルスにおいて自己飽和を生じさせる。さらなる選択基準は、高純度な化学物質の入手可能性および化学物質のハンドリングの容易性を含む。
【0073】
薄膜遷移金属窒化物層は、好ましくは金属ソース材料から、そしてより好ましくは、元素周期表の3、4、5、6、7、8、9、10、11および/または12族の遷移金属の揮発性またはガス状化合物から調製される。元素金属薄膜層はまた、好ましくは、これらの化合物から、またはCu、Ru、Pt、Pd、Ag、Auおよび/またはIrを含む出発材料から作製される。より好ましくは、金属および金属窒化物ソース材料は、遷移金属ハロゲン化物を含む。
【0074】
1.ハロゲン化物ソース材料
第一反応物は、好ましくは、特に第二反応物と合わされる場合、堆積の間に露出されるワークピースの表面に対して腐食性の化学種を含む。例示される実施形態において、第一反応物の腐食性化学種は、それが所望の堆積化学種を送達するための揮発性ソースガスを提供する点で、有利である。その上、腐食性化学種は、第一パルスの間にさらなる成長を阻害するリガンドの一部を形成することによって、自己制限堆積(self-limited deposition)を促進する。
【0075】
特に、好ましい実施形態の第一反応物は、ハロゲン化物、そしてより好ましくは金属ハロゲン化物を含む。上述のように、金属ハロゲン化物は揮発性であり、そして従ってワークピースへの金属の送達のために優れたビヒクル(vehicles)である。その上、ハロゲンテイルは、化学吸着された単層の表面を終結させ、さらなる反応を阻害する。表面は、このように自己飽和されて、均一な膜成長を促進する。
【0076】
例示される実施形態(以下の実施例3および5〜7を参照のこと)において、ハロゲン化物ソース材料の各々は、ALD反応の間のエッチングまたは腐食を誘発する傾向にある金属ハロゲン化物を含む。例えば、実施例1、2および4は、各々、TiCl4またはWF6パルスを含むALDプロセスへの露出(exposure)からの銅の腐食を示す。
【0077】
しかし、実施例8によって示されるように、TaF5は、窒化タンタル堆積の間に銅をエッチングしない。熱力学計算(図5を参照のこと)は、実験結果を支持し、そしてまた臭化ハフニウムおよびフッ化ニオブは、金属窒化物を堆積する場合に銅を腐食しないことを示す(図11を参照のこと)。低原子価金属ハロゲン化物は、供与するより少ないハロゲン原子を有しそして高原子価金属ハロゲン化物よりもより少なく感受性表面を腐食すると予想され得る。金属ハロゲン化物ソース化学物質は、金属ハロゲン化物中の金属の原子価または酸化状態を低下させるために、基体空間前に還元剤上に移され得、従って、金属ハロゲン化物のハロゲン化物含量を減少しそして基体表面の腐食可能性を減少させる。基体空間前に固体または液体還元剤を使用する方法は、本発明者らの係属中のフィンランド特許出願FI 19992235に記載される。従って、TaF5、臭化ハフニウムおよびフッ化ニオブのような金属ソースは、問題のALDプロセスにおいて腐食性でないと考えられる。従って、このような金属ソース材料は、以下に開示されるゲッタリング方法なしで使用され得る。
【0078】
ゲッタリング方法は、遷移金属ハロゲン化物を用いて、特に元素周期表のIV族(Ti、ZrおよびHf)、V族(V、NbおよびTa)およびVI族(Cr、MoおよびW)から選択される元素のハロゲン化物を用いて、首尾よく使用されている。族の名称は、IUPACによって推奨されるシステムに従う。遷移金属のフッ化物、塩化物、臭化物およびヨウ化物は、特定の金属に依存して、使用され得る。いくつかの金属−ハロゲン化合物、例えばZrF4は、ALDプロセスのために十分には揮発性でない。
【0079】
2.ゲッタリングまたはスカベンジング剤
2.1 ホウ素化合物
実施例において、ゲッタリング剤トリエチルボロン(TEB)を使用して腐食から銅表面を保護した。可能な反応生成物の中で、以下のものがゲッタリング効果(gettering effect)のために有利である:
ハロゲン(例えば、金属ハロゲン化物、ハロゲン化水素またはハロゲン化アンモニウム由来)とTEB分子の中心ホウ素原子との反応によって形成される、ハロゲン化ホウ素;
ハロゲン(例えば、金属ハロゲン化物、ハロゲン化水素またはハロゲン化アンモニウム由来)とTEB分子のエチル基との反応によって形成される、ハロゲン化エチル;
あるいは
水素(例えば、ハロゲン化水素分子由来)とTEB分子のエチル基との反応によって形成される、エタン。
【0080】
当業者によって、ここで示されるゲッタリング効果はTEBに限定されないことが理解される。ホウ素化合物の1つのクラスは、ボラン(Bxy)である。
【0081】
少なくとも1つのホウ素−炭素結合を有する揮発性ホウ素化合物は、ある金属のためにより好ましく、そしてホウ素へ結合された炭化水素基がより好ましい。ホウ素に結合された非常に長いかまたは嵩高な(bulky)基は、該分子の中心原子をシールドし得(shield)、その結果、好ましい反応が非常に時間がかかるか、または非常に高い基体温度のような許容されないプロセス条件を必要とし得る。従って、ゲッター化合物は、好ましくは、少なくとも1つのホウ素−炭素結合を有する揮発性ホウ素化合物から選択される。
【0082】
2.2 ケイ素化合物
例えばケイ素に結合されたアルキル基を有する、ケイ素化合物は、反応式R1およびR2において示されるように、ハロゲンまたはハロゲン化水素をゲッタリングするために使用され得る。ハロゲン化水素分子との各反応は、1つのケイ素−炭素結合を消費すると考えられる。従って、ゲッター化合物は、少なくとも1つのケイ素−炭素結合を有する揮発性ケイ素化合物から選択され得る。
【0083】
【数1】

Figure 0004746234
【0084】
2.3 ゲルマニウムおよびスズ化合物
ゲルマニウムへ結合されたアルキル基を有するゲルマニウム化合物、ならびにアルキルスズ化合物は、ハロゲンまたはハロゲン化水素をゲッタリングすることが必要である場合、可能な範囲内にある。従って、ゲッター化合物は、少なくとも1つの金属−炭素結合を有する揮発性ゲルマニウムおよびスズ化合物から選択され得る。
【0085】
2.4 アルミニウム、ガリウムおよびインジウム化合物
アルキルアルミニウム、ガリウムまたはインジウム化合物の場合、反応は、いくらか有害な複雑性(complexity)を示す。例として、トリメチルアルミニウム(TMA)は、金属ハロゲン化物の存在下で分解し、表面上に炭素を残す。ハロゲンまたはハロゲン化水素をゲッタリングするためのこれら化合物の使用は、ALDプロセスパラメータの注意深いセットアップを必要とする。しかし、あまり好ましくないアレンジメントにおいて、ゲッター化合物は、少なくとも1つの金属−炭素結合を有する揮発性アルミニウム、ガリウムまたはインジウム化合物から選択され得る。
【0086】
2.5 炭素化合物
炭素化合物の場合、分子中に二重または三重結合された炭素が存在する場合、ハロゲン化水素についての結合場所見出すことが可能である(R3およびR4)。反応についての熱力学好適性(favorability)を計算することは困難であり、何故ならば、表面化学は、例えば吸収および脱着(desorption)エネルギーに例えば起因して、気相化学とは異なるからである。揮発性炭素化合物から選択されるゲッター化合物について、化合物は、好ましくは、炭素原子間に少なくとも1つの二重または三重結合を有する。
【0087】
【数2】
Figure 0004746234
【0088】
2.6 窒素化合物
窒素化合物の場合、問題は、通常ハロゲン化窒素が熱的に不安定であることである。任意のハロゲン化窒素を形成する、アルキル−窒素とハロゲン化水素化合物との間の反応は、おそらく好ましくない。しかし、アルキルアミンからの塩化アルキルの形成は、理論的に可能である(R5)。自由ギブスエネルギー(ΔGf)が計算された。反応速度に影響を与える動力学的因子(kinetic factor)は、解明されていない。揮発性アミンから選択されるゲッター化合物は、好ましくは、ハロゲン化炭素化合物の形成へ導く、アミンとハロゲン保有化学種(例えば、ハロゲン化水素またはハロゲン化アンモニウムまたは遊離ハロゲン)との間の反応について、負またはほぼゼロ値の自由ギブスエネルギーを有する。
【0089】
【数3】
Figure 0004746234
【0090】
あるアミンは、アンモニア(NH3)よりも強い塩基である。このようなアミンは、それを破壊することなしに酸性ハロゲン化水素分子との塩様(salt-like)化合物を形成し得る。結合は、腐食が生じる前に、銅金属表面からのハロゲン化水素の除去を増強する。揮発性アミンから選択されるゲッター化合物は、好ましくはハロゲン化水素との十分に安定な塩を形成するか、揮発性アミン−塩化水素塩の形成へ導く揮発性アミンとハロゲン化水素と間の反応について、負またはほぼゼロの値の自由ギブスエネルギーを有する。
【0091】
2.7 リン化合物
ハロゲン化リンは、非常に安定であり、そしてハロゲンまたはハロゲン化水素をゲッタリングするために有機リン化合物を使用することが、可能である。金属リン化物の形成は、競合反応であり、そして適用に依存して、リン化合物は許容され得ない。リン化合物から選択されるゲッター化合物は、好ましくは、少なくとも1つのリン−炭素結合を有する。
【0092】
2.8 亜鉛化合物
アルキル亜鉛化合物は市販されている。現在、亜鉛は、集積回路についての当該技術水準プロセスフローと適合性でない。亜鉛露出(exposure)が許容される環境下で、ゲッター化合物は、少なくとも1つの亜鉛−炭素結合を有する亜鉛化合物から選択され得る。
【0093】
2.9 鉄および鉛化合物
有機−鉄および有機−鉛化合物は、揮発性金属ハロゲン化物を形成する。ゲッター化合物は、少なくとも1つの金属−炭素結合を有する鉄または鉛化合物から選択され得る。
【0094】
2.10 メタロセン化合物
ゲッター化合物は、揮発性メタロセン(例えば、フェロセン、ジシクロペンタジエニル鉄)、またはメタロセンの揮発性誘導体(例えば、1,1’−ジ(トリメチルシリル)フェロセン)から選択され得、該金属は、揮発性金属ハロゲン化物を形成し得る。
【0095】
2.11 ホウ素−ケイ素化合物
ゲッター化合物はまた、少なくとも1つのホウ素−ケイ素結合を有する、揮発性ホウ素−ケイ素化合物(例えば、トリス(トリメチルシリル)ボラン)から選択され得る。ケイ素およびホウ素の両方は、揮発性ハロゲン化物を形成し得る。
【0096】
2.12 金属カルボニル化合物
ゲッター化合物は、揮発性金属カルボニルまたは金属カルボニルの揮発性誘導体(例えば、シクロヘキサジエン鉄トリカルボニル)から選択され得、ここでこのような金属は、揮発性金属ハロゲン化物を形成し得る。
【0097】
2.13 有機ゲッタリング剤のための一般反応式
揮発性E(−CL3mn化合物を用いてのハロゲンのゲッタリングについての一般的な反応式は、R6に示される。Eは周期表における元素であり;Lは炭素Cに結合された分子であり;Xはハロゲンであり;GはEへ結合された不特定(unspecified)の分子または原子であり;そしてmおよびnは整数であり、ここでmとnの合計はEの原子価に依存する。EとCとの間に化学結合が存在する。
【0098】
【数4】
Figure 0004746234
【0099】
揮発性E(−CL3mn化合物を用いてのハロゲン化水素のゲッタリングについての一般的な反応式は、R7に示される。EとCとの間に化学結合が存在する。Eは周期表における元素であり;Lは炭素Cに結合された分子であり;Xはハロゲンであり;GはEへ結合された不特定の分子または原子であり;そしてmおよびnは整数であり、ここでmとnの合計はEの原子価に依存する。反応式は簡略化される。実際には、表面と化学吸着(chemisorbing)E化合物との間のさらなる反応が存在する。
【0100】
【数5】
Figure 0004746234
【0101】
ゲッター化合物E(−CL3mnは、ハロゲンまたはハロゲン化水素を結合し得るかあるいはハロゲン化水素またはハロゲン化アンモニウムを解離し(dissociate)て非腐食揮発性ハロゲン化合物を形成し得る化合物から選択される。
【0102】
2.14 シラン、ボランおよびゲルマニウム化合物
シラン(Sixy)およびボラン(Bmn)(ここで、x、y、mおよびnは正の整数である)に関して、R8〜R10は、より腐食性の低い化合物へハロゲン化水素を結合し得る熱力学的に好ましい反応を示す。
【0103】
【数6】
Figure 0004746234
【0104】
ハロゲン化アンモニウムは、シランおよびボランと反応する(R11〜R14)が、それらはまた、窒化ケイ素またはホウ素を形成することによって遷移金属窒化物の成長を妨害し得る(R15〜R18)。ハロゲン化アンモニウムの反応性は、加熱されるとそれらはアンモニア(NH3)およびハロゲン化水素へ解離し始めるという周知の事実に基づく。
【0105】
【数7】
Figure 0004746234
【0106】
ハロゲン化アンモニウム分子(NH4F、NH4Cl、NH4Br、NH4I)が反応チャンバ表面に存在する場合、不揮発性窒化ケイ素または窒化ホウ素の形成を防止するために可能な限り少ないシランまたはボランを使用することが有利である。ハロゲン化水素分子(HF、HCl、HBr、HI)が反応チャンバ表面に存在する場合、シランまたはボランの用量(dosage)は、酸性ハロゲン化水素がハロゲン化ケイ素またはハロゲン化ホウ素を形成するように、しかし金属または金属窒化物表面上へ結合し得、そして金属または金属窒化物成長を妨害し得る余分のシランまたはボラン分子が実質的に存在しないように、調節される。
【0107】
ゲルマン(germanes)(Gert、ここでrおよびtは正の整数である)は、特にハロゲン化水素を用いて、揮発性ハロゲン化ゲルマニウムを形成し得る。
【0108】
実施例においてちょうど純粋な(just pure)ケイ素−水素、ホウ素−水素およびゲルマニウム−水素化合物が存在するが、当業者は、ゲッタリング剤として有用な一連の同様な化合物が存在することを容易に文献中に見出すであろう。シラン(Sixy)、ボラン(Bmn)およびゲルマン(Gert)において、水素原子は、1つずつ、ハロゲン原子によって置換され得る。例えば、SiH4→SiH3F→SiH22→SiHF3。SiH2FClのような混合ハロゲン化合物もまた可能である。これらの化合物は、ケイ素、ホウ素またはゲルマニウムへ結合された少なくとも1つの水素原子が存在する限りにおいて、ゲッタリング剤として役立ち得る。
【0109】
原則として、ゲッター化合物は、ケイ素、ホウ素またはゲルマニウムへ結合された少なくとも1つの水素原子を有するシラン、ボランまたはゲルマンから選択され得る。
【0110】
3.第二反応物のためのソース材料
第二反応物はまた、一般的に、特に第二反応物と合わされた場合、堆積の間に露出される(exposed)ワークピースの表面に腐食性の化学種を含む。例示される実施形態において、第一反応物の腐食性化学種は、それが所望の堆積化学種を送達するための揮発性ソースガスを提供するという点で、有利である。
【0111】
ALDによる“純粋な(pure)”金属堆積において、第二反応物は、第一反応物の別のパルスで置換される。例えば、実施例3において、第一および第二反応物パルスは両方とも、WF6を含む。従って、たった1つの反応物が、ゲッター相で交互にされる。各WF6パルスは、潜在的に、銅、アルミニウムまたは酸化ケイ素のような感受性表面を腐食し得る、揮発性ハロゲン化物化合物または遊離の励起された(excited)ハロゲン化物化学種を生成し得る。例えば、ハロゲン化物−終端金属をアンモニアへ曝すことは、フッ化水素酸(HF)およびフッ化アンモニウム(NH4F)を生成する傾向にある。
【0112】
二元、三元またはそれ以上の複雑な材料を形成するために、次の反応物は、好ましくは、水素含有化合物を含み、そして金属窒化物堆積の例示される場合においてまた、金属窒化物堆積プロセスへ窒素を提供する。窒素ソース材料として使用される第二反応物は、好ましくは、揮発性またはガス状である。例えば、アンモニアは、揮発性および高反応性の両方であり、第一反応物からの化学吸着された化学種との迅速な反応を促進する。好ましくは、第二反応物は、以下の群から選択される:
・アンモニア(NH3);
・アンモニアの塩、好ましくはハロゲン化物塩、特に、フッ化アンモニウムまたは塩化アンモニウム;
・アジ化水素(HN3)および該化合物のアルキル誘導体(例えば、CH33);
・ヒドラジン(N24)およびヒドラジンの塩(例えば、ヒドラジンヒドロクロリド);
・ヒドラジンの有機誘導体(例えば、ジメチルヒドラジン);
・フッ化窒素(NF3);
・第1級、第2級および第3級アミン(例えば、メチルアミン、ジエチルアミンおよびトリエチルアミン);
・窒素ラジカル(例えば、NH2 *、NH**およびN***、ここで“*”は、結合を形成し得る自由電子を意味する);ならびに
・窒素(N)を含む他の励起化学種。
【0113】
ゲッター相は水素保有反応物と組み合わせて特に有用である一方、それは、他の反応物(例えば、列挙されるNF3および水素なし(hydrogen-free)窒素ラジカル)の前に使用される場合に有益のままである。
【0114】
あるいは、この第二反応物は、炭素を提供し、金属炭化物を形成し得る。例えば、WF6パルス後、TEBはハロゲン化物テイルをゲッターするだけでなく、しかしむしろリガンド交換反応(ligand exchange reaction)においていくらかの炭素を残すことが見出された。金属炭化物は、ナノラミネート内の金属窒化物の代わりにまたはこれに加えて、優れたバリア材料として役立つ。
【0115】
4.ソース材料に関する選択基準
金属腐食は、ギブスのエネルギー(ΔGf)が以下の間の反応について負またはほぼゼロである場合に、予想される:
・金属ハロゲン化物と金属;
・ハロゲン化水素と金属;または
・ハロゲン化アンモニウムと金属。
ここで、金属は、反応の間の感受性表面を示し、そしてハロゲン化水素および/またはハロゲン化アンモニウムは、表面反応の副生成物として形成される。
【0116】
ケイ素化合物(例えば、酸化ケイ素または窒化ケイ素)腐食は、ギブスの自由エネルギー(ΔGf)が以下の間の反応について負またはほぼゼロである場合、表面において予想される:
・ハロゲン化水素とケイ素化合物;
・ハロゲン化アンモニウムとケイ素化合物。
ここで、ケイ素化合物は、反応の間の感受性表面を示し、そしてハロゲン化水素および/またはハロゲン化アンモニウムは、表面反応の副生成物として形成される。
【0117】
理論的計算が腐食が可能であると示唆する場合、プロセスへゲッターを添加することが推奨される。ゲッター分子は、腐食性分子と混合し、そして感受性表面の腐食を防止する。
【0118】
ゲッター化合物の選択は、分子シミュレーションに基づき得る。例示的シミュレーションプログラムは、Hypercube Inc.,Florida,USAから市販される、HyperChem release4.5である。該プログラムは、ゲッター分子候補物の物理的外観および静電気ポテンシャルジオメトリー(electrostatic potential geometry)を視覚化するため、そして分子(例えば、トリエチルボロン)が腐食性分子との反応にアクセス可能な領域を有するかどうかを評価するために役立つ。潜在的に有害な化学物質との反応から物理的または静電的にシールドされた(shielded)構造を有する分子は、それらが反応回数を増加させそしてリアクターの処理量が経験するにつれて、弱いゲッターを作製する。分子と表面との間の反応のシミュレーションは、より複雑なソフトウェアを必要とする。Molecular Simulation Inc.(MSI),USAから市販されるCerius2は、化学反応の結果を予想し得るプログラムの例である。
【0119】
化学反応
遷移金属窒化物薄膜成長における化学反応をさらに例示するために、複数の例がここで提供される。一般的に、コンフォーマルかつ均一な厚さの金属窒化物が望ましい。ALDは、金属単層が交互パルスにおいて窒素と反応されることを可能にする。
【0120】
第一スキームにおいて、四塩化チタン(TiCl4)は、金属ソース材料の例と考えられ、そしてアンモニア(NH3)は、窒素含有化合物の例である。基体は、表面上に自然酸化物(native oxide)を有するシリコンウエハーである。TiCl4は、基体のOH含有表面部位と反応する。
【0121】
【数8】
Figure 0004746234
【0122】
還元剤Rが、TiCl3をTiCl2へ還元するために使用される。
【0123】
【数9】
Figure 0004746234
【0124】
窒素含有化合物(この例において、NH3)との間の可能な反応機構は、多数かつ複雑である。例えば:
【0125】
【数10】
Figure 0004746234
【0126】
反応式R21〜R28は、還元されていないチタンを言及する。
【0127】
次のTiCl4パルスは、反応29または30によってのように、活性部位と反応する。
【0128】
【数11】
Figure 0004746234
【0129】
金属含有成分(特に、金属ハロゲン化物)を化学吸着するための最も好ましい窒化物表面部位は、=NHまたは−NH2基を有する部位である。=NHおよび−NH2基の表面密度は、使用される窒素ソース化学物質に従って変化し得る。
【0130】
より低い抵抗率を得ようとする場合、3つの結合を有するチタンが好ましく、何故ならば、TiNは、窒素に富む窒化チタンよりもより低い抵抗率を有するからである。最終的な窒化物結晶格子において、結合状態は、上記で単純化されたスキームにおいてよりもより複雑であり、何故ならば、イオンが異なるタイプの部位を占有し、そして結合は、イオンまたは共有結合性質、ならびに結晶欠陥および粒界付近に可能なダングリング(dangling)結合を有し得るからである。各パルシングサイクルは窒化物格子を形成する化学種を含むチタン又は窒素の分子層にまで加える。しかし、吸着された金属原子周りの嵩高いリガンドまたは少数の活性表面部位のために、成長速度は、1サイクル当たり1未満の分子層であり得る。
【0131】
第二スキームにおいて、タングステンヘキサフルオリド(WF6)は、金属ソース材料の例と考えられ、そしてアンモニア(NH3)は、水素に富む窒素化合物の例である。基体は、二酸化ケイ素(SiO2)コーティングを有するシリコンウエハーである。SiO2上に−OH基を有する表面部位が存在する。金属パルスにおいて、これらの部位は、WF6分子と反応する(R19)。次のアンモニアパルスは、なおより多いHFガスを発生させる(R20)。W−N結合の存在は、単純化されたものであることに注意されなければならない。実際には、WおよびNは、格子を形成しており、そしてそれらは、いくつかの隣接原子と電子を共有する。
【0132】
【数12】
Figure 0004746234
【0133】
高いHF生成のために、腐食性副反応が、表面において生じ得る(R21)。全ての反応生成物は、非常に揮発性であり、そしてそれらは、基体を残す。結果として、SiO2はエッチングされる。一般化として、不適合性問題が、金属フッ化物および水素に富む(hydrogen-rich)窒素化合物が酸化ケイ素と接触する場合に、可能性がある。
【0134】
【数13】
Figure 0004746234
【0135】
第三スキームにおいて、基体の表面上に銅金属コーティングが存在する。四塩化チタン(TiCl4)は、金属塩化物ソース化学物質の例と考えられ、そしてアンモニア(NH3)は、水素に富む窒素化合物の例である。
【0136】
【数14】
Figure 0004746234
【0137】
実施例1に関して、以下に議論されるように、銅表面の腐食が観察される。
【0138】
【数15】
Figure 0004746234
【0139】
実施例
好ましい実施形態を行うことにおいて、反応空間における条件は、好ましくは、凝縮(condensed)材料の形成へ導き得る気相反応を最小化するようにアレンジされる。表面上に化学吸着される化学種とガス状反応物との間の反応は、自己飽和する。副生成物とガス状ゲッターとの間の反応は、揮発性化合物を形成する。
【0140】
堆積は、広範な圧力条件で行われ得るが、減圧で該方法を操作することが好ましい。リアクターにおける圧力は、好ましくは約0.01mbar〜50mbar、より好ましくは約0.1mbar〜10mbarに維持される。
【0141】
基体温度は、表面下の薄膜原子間の結合をインタクトに維持するために、そしてガス状ソース化学物質の熱分解を防止するために十分に低く維持される。他方で、基体温度は、表面反応のために活性化エネルギーバリアを提供するために、ソース材料の物理吸着(phsisorption)を防止しそして反応空間中のガス状反応物の凝縮を最小化するために十分に高く維持される。反応物に依存して、基体の温度は、典型的に、100℃〜700℃、好ましくは約250℃〜400℃である。
【0142】
ソース温度は、好ましくは、基体温度未満に設定される。これは、ソース化学物質蒸気の分圧が基体温度で凝縮限界(condensation limit)を超える場合に、薄膜の制御される層ごとの成長(controlled layer-by-layer growth)が弱められる(compromised)という事実に基づく。
【0143】
成長反応は自己飽和表面反応に基づくので、パルスおよびパージ時間について厳密な境界(tight boundaries)を設定する必要性はない。パルシングサイクルについて利用可能な時間の量は、主として、経済的因子、例えばリアクターからの生成物の所望の処理量によって制限される。非常に薄い膜の層が、比較的少ないパルシングサイクルによって形成され得、そしていくつかの場合において、これは、比較的長いパルス時間での低蒸気圧ソース材料の使用を可能にする。
【0144】
実施例1:TiCl 4 およびNH 3 からのTiNの堆積
PVD銅でコーティングされた200-mmシリコンウエハーを、フィンランド、エスポーのASM Microchemistry Oyから市販される、Pulsar 2000TMALDリアクター中へロードした(loaded)。基体を、流動窒素雰囲気中で400℃まで加熱した。リアクターの圧力を、窒素ラインにおけるマスフローコントローラー(mass flow controller)および真空ポンプによって約5mbarへ調節した。次に、TiNx層を、不活性窒素ガスによって分離されたTiCl4およびNH3の連続パルスからALDによって成長させた。
【0145】
1つの堆積サイクルは、以下の工程からなった:
・TiCl4パルス、0.05s
・N2パージ、1.0s
・NH3パルス、0.75s
・N2パージ、1.0s。
【0146】
このサイクルを、300回反復し、約5-nm TiNx膜を形成した。TiNx膜の成長速度は、約0.17Å/サイクルであった。次いで、ウエハーを、リアクターから分析のために取り出した(unloaded)。4点プローブおよびエネルギー分散型分光学(Four-point probe and Energy Dispersive Spectroscopy)(EDS)測定によって、150μΩcmの抵抗率を得た。
【0147】
【数16】
Figure 0004746234
【0148】
式R37は、反応の単純化された提示である。表面上に、TiCl4分子を引きつける反応部位(例えば、−NHおよび=NH)が存在すると仮定される。TiCl4パルス後、表面上に、おそらく−TiCl3および=TiCl2基が存在し、これは次のパルスのNH3分子と反応し得る。
【0149】
式R37の理論的結果は、銅表面上にわたる均一な厚みのTiNx膜である。しかし、図2は、銅膜上に孔食(pitting corrosion)が存在したことを示す。腐食は、窒化物成長(R37)において副生成物として形成されるHClが銅と反応する場合に、開始される。HClは容易に余分のNH3と反応し、塩化アンモニウム(NH4Cl)を形成し、NH4Clが塩化銅のための気相キャリアとして作用することもまた可能である。
【0150】
実施例2:WF 6 およびNH 3 からのWNの堆積
PVD銅でコーティングされた200-mmシリコンウエハーを、Pulsar 2000ALDリアクター中へロードした(load)。基体を、流動窒素雰囲気中で400℃まで加熱した。リアクターの圧力を、窒素ラインにおけるマスフローコントローラーおよび真空ポンプによって約5mbarへ調節した。次に、WNx層を、不活性窒素ガスによって分離されたWF6およびNH3の連続パルスからALDによって成長させた。
【0151】
1つの堆積サイクルは、以下の工程からなった:
・WF6パルス、0.25s
・N2パージ、1.0s
・NH3パルス、0.75s
・N2パージ、1.0s。
【0152】
このサイクルを、70回反復し、約5-nm WNx膜を形成した。WNx膜の成長速度は、約0.6Å/サイクルであった。次いで、ウエハーを、リアクターから分析のために取り出した。
【0153】
銅膜へのエッチ損傷は、窒化物プロセスのために、光学顕微鏡下でさえ可視であった。多量のHFが、プロセスから導かれた(R38)。HFは銅表面を攻撃し得る(R39)。銅の腐食は、フッ化銅の蒸気圧が基体温度で低いので、予想されなかった。しかし、HFはまた、アンモニアパルスの間に余分のNH3と容易に反応し、フッ化アンモニアを形成する。従って、NH4Fは、CuFのための気相キャリアとして作用して、腐食を生じさせ得る。
【0154】
【数17】
Figure 0004746234
【0155】
実施例3:ゲッタリング化合物を用いてのWC x の堆積
PVD銅でコーティングされた200-mmシリコンウエハーを、Pulsar 2000TMALDリアクター中へロードした。基体を、流動窒素雰囲気中で約400℃まで加熱した。リアクターの圧力を、窒素ラインにおけるマスフローコントローラーおよび真空ポンプによって約5mbarへ調節した。タングステン金属に富む薄膜を、不活性窒素ガスによって分離されたWF6およびトリエチルボロン(TEB)の連続パルスからALDによって成長させた。
【0156】
1つの堆積サイクルは、以下の工程からなった:
・WF6パルス、0.25s
・N2パージ、1.0s
・TEBパルス、0.05s
・N2パージ、1.0s。
【0157】
このサイクルを、70回反復し、約5-nm W−リッチ(W-rich)炭化タングステン膜を形成した。薄膜の成長速度は、約0.6Å/サイクルであった。次いで、ウエハーを、リアクターから分析のために取り出した。銅の腐食は、走査型電子顕微鏡(以下、SEMとして言及される)によって観察されなかった。WF6パルスによって残された単層とTEBパルスとの間の正確な反応機構は、知られていない。TEBがハロゲンゲッターとして機能し、フッ化ホウ素およびフッ化エチルガスを形成し、膜にいくらかの炭素を残すと思われる。
【0158】
実施例4:銅金属上へのW/TiNの堆積
PVD銅でコーティングされた200-mmシリコンウエハーを、Pulsar 2000TMALDリアクター中へロードする。基体を、流動窒素雰囲気中で350℃まで加熱する。リアクターの圧力を、窒素ラインにおけるマスフローコントローラーおよび真空ポンプによって約5mbar〜10mbarへ調節する。タングステン金属に富む薄膜を、不活性窒素ガスによって分離されるWF6およびニド−ペンタボラン(B59)の連続パルスからALDによって成長させる。
【0159】
1つの堆積サイクルは、以下の工程からなる:
・WF6パルス、1.0s
・N2パージ、1.0s
・B59パルス、3.0s
・N2パージ、1.0s。
【0160】
この堆積サイクルを、十分な回数反復し、約5-nm W−リッチ膜を形成する。その後、TiNx層を、不活性窒素ガスによって分離されるTiCl4およびNH3の連続パルスからALDによって成長させる。
【0161】
1つの堆積サイクルは、以下の工程からなった:
・TiCl4パルス、0.05s
・N2パージ、1.0s
・NH3パルス、0.75s
・N2パージ、1.0s。
【0162】
この堆積サイクルを、200回反復し、タングステン膜上にわたって約5-nm TiNx膜を形成する。最後に、ウエハーを、リアクターから分析のために取り出す。銅の腐食が、光学顕微鏡により観察される。従って、5nmのWは、ALDによるTiNx堆積の間、腐食性反応から銅表面を保護するに十分でない。
【0163】
実施例5:銅金属上へのゲッタリング化合物を用いてのWNの堆積
PVD銅でコーティングされた200-mmシリコンウエハーを、Pulsar 2000TMALDリアクター中へロードした。基体を、流動窒素雰囲気中で400℃まで加熱した。リアクターの圧力を、窒素ラインにおけるマスフローコントローラーおよび真空ポンプによって約5mbarへ調節した。窒化タングステン薄膜を、不活性窒素ガスによって分離されたWF6、TEBおよびNH3の連続パルスからALDによって成長させた。
【0164】
1つの堆積サイクルは、以下の工程からなった:
・WF6パルス、0.25s
・N2パージ、1.0s
・TEBパルス、0.05s
・N2パージ、1.0s
・NH3パージ、0.75s
・N2パージ、1.0s。
【0165】
このサイクルを、70回反復し、約5-nm W−リッチ膜を形成した。薄膜の成長速度は、約0.6Å/サイクルであった。次いで、ウエハーを、リアクターから分析のために取り出した。
【0166】
銅の腐食は、SEMによって観察されなかった。WF6とTEBとの間の正確な反応機構は、知られていない。TEBがフッ化ホウ素およびフッ化エチルガスを形成し、表面に無視できる(negligible)残渣を残すと思われる。
【0167】
実施例6:ゲッタリング化合物を用いてのWN/TiNナノラミネートの堆積
2つの異なるタイプの200-mmウエハーを、この実験のために使用した。一方のウエハーはPVD銅コーティングを有し、一方、他方のウエハーは、電気化学的に堆積された(electrochemically deposited)(ECD)銅膜を有した。銅コーティングされたウエハーを、1つずつ、Pulsar 2000TMALDリアクター中へロードした。基体を、流動窒素雰囲気中で400℃まで加熱した。リアクターの圧力を、窒素ラインにおけるマスフローコントローラーおよび真空ポンプによって約5mbarへ調節した。
【0168】
まず、WNx層を、不活性窒素ガスパルスによって分離されたWF6、トリエチルボロン(TEB)およびNH3の連続パルスからALDによって成長させた。
【0169】
1つの堆積サイクルは、以下の工程からなった:
・WF6パルス、0.25s
・N2パージ、1.0s
・TEBパルス、0.05s
・N2パージ、0.3s
・NH3パルス、0.75s
・N2パージ、1.0s。
【0170】
TEBは、表面からハロゲンを除去し得るゲッター化合物として機能する。堆積サイクルを、70回反復し、約5-nm WNx層を形成した。WNxの成長速度は、約0.6Å/サイクルであった。
【0171】
次に、TiNx層を、WNx層上に、不活性窒素ガスパルスによって分離されたTiCl4およびNH3の連続パルスからALDによって成長させた。1つの堆積サイクルは、以下の工程からなった:
・TiCl4パルス、0.05s
・N2パージ、1.0s
・NH3パルス、0.75s
・N2パージ、1.0s。
【0172】
このサイクルを、300回反復し、約5-nm TiNx膜をWNx膜上にわたって形成した。TiNx膜の成長速度は、約0.17Å/サイクルであった。次いで、ウエハーを、リアクターから分析のために取り出した。4点プローブおよびエネルギー分散型分光学(EDS)測定によって、約140μΩcmの抵抗率を得た。
【0173】
同一の堆積プログラムを、両タイプの銅コーティングしたシリコンのために使用した。図3および4は、堆積の間に銅のピッティング(pitting)または腐食がなかったことを示す。従って、5nmのWNxは、TiNx堆積の間、下にあるPVDまたはECD銅を腐食から保護するに十分であった。
【0174】
実施例7:銅金属上へのゲッタリング化合物を用いてのTiNの堆積
PVD銅でコーティングされた200-mmシリコンウエハーを、Pulsar 2000TMALDリアクター中へロードした。基体を、流動窒素雰囲気中で400℃まで加熱した。リアクターの圧力を、窒素ラインにおけるマスフローコントローラーおよび真空ポンプによって約5mbarへ調節した。TiN層を、不活性窒素ガスパルスによって分離されたTiCl4、TEBおよびNH3の連続パルスからALDによって成長させた。
【0175】
1つの堆積サイクルは、以下の工程からなった:
・TiCl4パルス、0.05s
・N2パージ、1.0s
・TEBパルス、0.05s
・N2パージ、1.0s
・NH3パルス、0.75s
・N2パージ、1.0s。
【0176】
このサイクルを、300回反復し、約5-nm TiNx膜を形成した。TiNx膜の成長速度は、約0.17Å/サイクルであった。ウエハーを、リアクターから検査のために取り出した。光学顕微鏡によって、×40倍率で使用した場合、腐食の兆候はないことが明らかとなった。含まれる表面反応の正確な性質は、知られていない。理論によって制限されることを望まないが、TiCl4分子は、好ましくは表面上の=NHおよび−NH2基へ結合すると考えられる。HClを遊離するいくつかの実行可能な反応が、(R28)および(R29)に示される。TEBが、遊離されたHClをスカベンジしたと思われる。
【0177】
【数18】
Figure 0004746234
【0178】
さらなるプロセス改良点は、表面反応において形成され得る残りのHCl分子を吸収するためのNH3パルスに続いてTEBパルスを添加することである。より多くのHClを遊離するいくつかの可能な反応が、式R42およびR43に示される。
【0179】
【数19】
Figure 0004746234
【0180】
実施例8:窒化タンタルの堆積
50mm×50mm片の銅コーティングしたシリコンウエハーを、フィンランド,エスポーのASM Microchemistry,Oyから市販されるF−120TMALDリアクターへロードした。基体を、流動窒素雰囲気中で400℃まで加熱した。リアクターの圧力を、窒素マスフローコントローラーおよび真空ポンプによって約5mbarへ調節した。窒化タンタル層を、不活性窒素ガスによって分離されたTaF5およびNH3の連続パルスからALDによって成長させた。
【0181】
1つの堆積サイクルは、以下の工程からなった:
・TaF5パルス、0.2s
・N2パージ、1.0s
・NH3パルス、1.0s
・N2パージ、2.0s。
【0182】
このサイクルを、2000回反復し、約16-nm Taxy膜を形成した。膜の成長速度は、約0.08Å/サイクルであった。ウエハーを、リアクターから検査のために取り出した。光学顕微鏡またはSEMはいずれも、銅腐食の兆候を示さなかった。
【0183】
実施例9:ナノラミネート構造の堆積
シリコン基体を、フィンランド,エスポーのASM Microchemistryから市販される、F−200TMALDリアクターへロードした。リアクター圧力を、真空ポンプおよび流動窒素によって5mbar絶対(absolute)へ調節した。基体を、360℃まで加熱した。まず、窒化タンタル膜を、パルシングシークエンスを反復することによって基体上に成長させた。不活性窒素ガスは、反応チャンバ中へ四塩化チタン蒸気を運んだ。余分のTiCl4および反応副生成物を、N2ガスでパージ除去した。パージング後、N2ガスは、反応チャンバへアンモニア蒸気を運んだ。余分のNH3および反応副生成物を、N2ガスによってパージ除去した:
・TiCl4パルス、0.05s
・N2パージ、1.0s
・NH3パルス、0.75s
・N2パージ、1.0s。
【0184】
窒化タングステン薄膜を、別のパルシングシークエンスを反復することによって、窒化チタン膜の上部に成長させた:
・WF6パルス、0.25s
・N2パージ、1.0s
・TEBパルス、0.05s
・N2パージ、0.3s
・NH3パルス、0.75s
・N2パージ、1.0s。
【0185】
プロセッシングを、チタンおよびタングステン窒化物の交互の薄膜層を堆積することによって続けた。サンプルに依存して、全部で6〜18窒化物薄膜層を堆積させた。ALDナノラミネートの全厚みは、約70nmであった。膜は、暗い、光反射ミラー(dark, light reflecting mirror)として現れた。色は、チタンまたはタングステン窒化物とは異なって、僅かに赤みを帯びていた。膜を、透過型電子顕微鏡(TEM)、エネルギー分散型分光学(EDS)および4点プローブ測定によって分析した。TEM写真(図10)は、別個のチタンおよびタングステン窒化物薄膜層を有するクリアな(clear)ナノラミネート構造を示した。EDSによれば、膜にチタン、タングステンおよび窒素分子が存在した。不純物の量は、1at.-%未満であると概算された。膜は、電気伝導性であった。抵抗率を、厚み(EDS)および4点プローブ結果を組み合わせることによって計算した。非最適化(non-optimized)サンプルの抵抗率は、約400μΩ-cmであった。
【0186】
実施例10:2つの遷移金属ソースを使用しての金属/金属窒化物ナノラミネートの堆積
ナノラミネートを、上述のALDプロセスを使用して、金属および金属窒化物の交互の薄膜層を用いて作製した。2つの異なる遷移金属ソースを、薄膜層のために使用した。
【0187】
薄膜層4:窒化タンタル
薄膜層3:タングステン金属
薄膜層2:窒化タンタル
薄膜層1:タングステン金属
基体。
【0188】
奇数の薄膜層(1,3,5など...)を、タングステンソース化学物質および還元ソース化学物質から堆積させた。偶数の薄膜層(2,4,6など...)を、タンタルソース化学物質、任意の還元ソース化学物質および窒素ソース化学物質から堆積させた。全てのソース化学物質パルスは、不活性パージガスを用いて互いに分離させた。
【0189】
実施例11:1つの遷移金属を使用しての金属/金属窒化物ナノラミネートの堆積
ナノラミネートを、金属窒化物および金属の交互の薄膜層を用いて作製した。1つの遷移金属ソースを、薄膜層のために使用した。
【0190】
薄膜層4:タングステン金属
薄膜層3:窒化タングステン
薄膜層2:タングステン金属
薄膜層1:窒化タングステン
基体。
【0191】
奇数の薄膜層(1,3,5など...)を、タングステンソース化学物質、任意の還元ソース化学物質および窒素ソース化学物質から堆積させた。偶数の薄膜層(2,4,6など...)を、タングステンソース化学物質および還元化学物質から堆積させた。全てのソース化学物質パルスは、不活性パージガスを用いて互いに分離させた。
【0192】
上述の発明は特定の好ましい実施形態によって記載されたが、他の実施形態が、本明細書中の開示を考慮して、当業者に明らかである。従って、本発明は、好ましい実施形態の記載によって限定されることを意図せず、しかしむしろ添付の特許請求の範囲を参照することによって規定される。
【図面の簡単な説明】
本発明のこれらおよび他の局面は、本発明を例示することを意味しそして制限しない上記の説明および添付の図面を考慮して、当業者に容易に明らかである。
【図1】 図1は、物理蒸着(physical vapor deposited)(PVD)によって形成された銅膜から撮られた走査型電子顕微鏡写真(SEM)である。測定電圧は、10kVであった。
【図2】 図2は、ゲッターまたはスカベンジャーパルスを使用しなかったALDプロセスに従うTiNでカバーしたPVD銅から撮られたSEMである。写真のブラック領域は、TiNプロセッシングの間にエッチングされた銅の領域を示す。
【図3】 図3は、本発明の好ましい実施形態(実施例6)に従ってまずWNでそして次いでTiNでカバーされたPVD銅から撮られたSEMである。
【図4】 図4は、本発明の好ましい実施形態(実施例6)に従って、まずWNでそして次いでTiNでカバーされた電気化学的に堆積された(electrochemically deposited)(ECD)銅から撮られたSEMである。
【図5】 図5は、温度の関数としての、タンタル、フッ素および銅の間の化合物の平衡状態を表すグラフである。計算についてのソース化学物質は、10モルTaF5および1モルCuであった。
【図6】 図6は、露出された銅および絶縁酸化物表面を有する、部分的に製造された集積回路においけるデュアルダマシン構造からなる、その上にわたって金属および金属化合物堆積が望まれる例示的ワークピースの断面図である。
【図7】 図7は、好ましい実施形態に従うコンフォーマルな薄膜でデュアルダマシントレンチおよびコンタクトビアをライニングした後の図6のワークピースを示す。
【図8】 図8は、好ましい実施形態のいくつかに従う、原子層堆積(ALD)によって二元化合物を形成するための方法を一般的に例示するフローチャートである。
【図9】 図9は、ALD窒化物ナノラミネートの第一の4つの薄膜層および各薄膜層についてのパルシングシークエンスの概略図である。
【図10】 図10は、窒化物ナノラミネート構造の透過型電子顕微鏡(TEM)写真である。
【図11】 図11は、銅金属と反応する(X)または反応しない(○)、屈折性(refractive)金属ハロゲン化物を示す表である。(X)は、ゲッタリングなしでこれらの反応物を使用する能力に関する結論を導くに十分なデータの不足を意味する。金属は、それらの最も高い可能な酸化状態にある。反応のギブスの自由エネルギーは、コンピュータプログラム(HSC Chemistry,version3.02,Outokumpu Resarch Oy,Pori,Finrand)によって計算された。[0001]
Field of Invention
The present invention generally relates to depositing a thin film on a substrate by alternate self-saturating chemistries. More particularly, the present invention relates to preventing corrosion of materials in a substrate while using corrosive species during thin film formation.
[0002]
Background of the Invention
Atomic Layer Deposition (ALD), initially known as Atomic Layer Epitaxy (ALE), is an advanced variation of CVD. The ALD process is based on a series of sequential self-saturated surface reactions. Examples of these processes are described in detail in US Pat. Nos. 4,058,430 and 5,711,811. The described deposition process benefits from the use of inert carriers and purging gases, which speeds up the system. Due to the self-saturating nature of the process, ALD allows an almost completely conformal deposition of atomically thin levels of film.
[0003]
The technology was first developed for conformal coating of substrates for flat panel electroluminescent displays that desirably exhibit high surface areas. More recently, ALD has found use in the manufacture of integrated circuits. The extraordinary conformality and control enabled by the technology is well suited to the increasingly required scaled-down dimensions of state-of-the-art semiconductor processing.
[0004]
While ALD has many potential uses for semiconductor manufacturing, integrating these new processes into an established process flow can lead to many new problems. Thus, there is a need for an improved ALD process.
[0005]
Summary of the Invention
According to one aspect of the invention, a method is provided for forming a nanolaminate structure on a substrate in a reaction space by an atomic layer deposition (ALD) type process. The nanolaminate structure has at least two adjacent thin film layers including at least one metal compound layer. Each thin film layer is in a different phase from the adjacent thin film layer.
[0006]
According to another aspect of the invention, a nanolaminate structure having at least three thin film layers is provided. Each layer has a thickness of less than about 10 nm. At least one of the layers is selected from the group consisting of metal carbide and metal nitride.
[0007]
According to another aspect of the invention, a method is provided for depositing material on a substrate in a reaction space. The substrate has a surface that is sensitive to a halide attack. The method includes providing alternate pulses of reactants in a plurality of deposition cycles, wherein each cycle includes:
Supplying a first reactant to chemisorb about 1 or less monolayer of halide-terminated species on the surface;
Removing excess first reactant and reaction byproducts from the reaction space; and
Getter halide from a monolayer before repeating the cycle.
[0008]
Detailed Description of the Preferred Embodiment
The present disclosure teaches a method for protecting sensitive surfaces during ALD deposition. One skilled in the art will understand that while applicable to nanolaminate construction, protection of sensitive surfaces from corrosion has application in other contexts and vice versa.
[0009]
Definition
For purposes of this description, “ALD process” means a process in which the deposition of material on a surface is based on a sequential and alternating self-saturating surface reaction. The general principles of ALD are disclosed, for example, in US Pat. Nos. 4,058,430 and 5,711,811, the disclosure of which is hereby incorporated by reference.
[0010]
“Reaction space” is used to mean a reactor or reaction chamber, or an arbitrarily defined volume therein, where conditions can be adjusted to effect thin film growth by ALD.
[0011]
“Adsorption” is used to mean the attachment of atoms or molecules on a substrate.
[0012]
“Surface” is used to mean the boundary between the reaction space and the feature of the substrate.
[0013]
“Getters”, “gettering agents” or “scavengers” are derived from halogens or halide species adsorbed on the surface, or halides (eg, halogens) in the reaction space. Used to mean volatile species that can form new volatile compounds from hydrogen halides or ammonium halides). Typically, new halogen compounds are less corrosive to the exposed features of the workpiece than hydrogen halide or ammonium halide.
[0014]
The symbols “-” and “=” attached to an atom at one end refer to the number of bonds to an unspecified atom or ion.
[0015]
Metal nitride (eg WNxOr TiNxThe subscript “x” in) is used to mean non-stoichiometric transition metal nitrides with a wide range of phases with various metal / nitrogen ratios.
[0016]
Metal carbide (eg WCxOr TiNxThe subscript “x” in) is used to mean non-stoichiometric transition metal carbides having a wide range of phases with various metal / carbon ratios.
[0017]
“Nanolaminate structure” means a layered structure comprising stacked thin film layers of different phases with respect to the growth direction of the nanolaminate. “Alternating” or “stacked” means that adjacent thin film layers are different from each other. In a nanolaminate structure, there are always at least two phases of molecules. Preferably there are at least three adjacent phases. A single phase exists when molecules or atoms are evenly mixed in space so that no differences can be found by analytical methods between different parts of the space. Different phases may be any difference recognized in the art, for example, different crystal structures, crystallite lattice parameters, crystallization stages, electrical conductivities and / or thin films on either side of the phase interface. It can be attributed to the chemical composition.
[0018]
Desirably, each phase or layer in the stack is thin, each preferably less than about 20 nm thick, more preferably less than about 10 nm, and most preferably less than about 5 nm. “Thin film” means a film grown from an element or compound that is transported as a discrete ion, atom, or molecule from a source to a substrate via vacuum, gas phase, or liquid phase. The thickness of the film depends on the application and can vary widely, preferably from 1 atomic layer to 1,000 nm.
[0019]
“Metal thin film” means a thin film consisting essentially of metal. Depending on the reducing agent, the metal thin film may contain some metal carbide and / or metal boride in an amount that does not negatively affect the characteristic metal properties of the film or the characteristic properties of the nanolaminate. May be included.
[0020]
Integration problem ( Integration issues )
Halides are common and especially transition metal halides are attractive source chemicals for ALD because of their high volatility and durability against thermal decomposition. Of these halides, compounds that are liquids or gases near room temperature (for example, TiClFourAnd WF6) Are preferred because they do not generate solid particles in the source vessel. In addition to their volatility, many such halide compounds are particularly useful for ALD processing because they chemisorb chemical species of interest (eg, metal-containing species). This is because it allows for (chemisorption) and leaves no more than one monolayer of species that terminate with halide tails. The halide tail prevents further chemisorption or reaction of the species of interest so that the process is self-saturating and self-limiting.
[0021]
Metal halides can be used, for example, in the formation of metal, metal nitride and metal carbide thin films by ALD processes. However, these processes did not produce the desired perfectly conformal deposition of ALD. The discussion of FIG. 2 and Examples 1, 2 and 4 continues, for example, with “exposed” copper during ALD formation of metal nitrides and carbides using metal halides alternating with ammonia. To demonstrate corrosive damage. Indeed, Example 4 demonstrates that such damage can be sustained even when copper is covered by 5 nm tungsten metal.
[0022]
ALD processes using metal halides and source chemicals with high hydrogen content can release hydrogen halides (eg, HF, HCl) as reaction byproducts. These reactive by-products can destroy certain metal surfaces, leave deep pits in the metal or even remove all metal. Silicon dioxide is also prone to corrosion due to the formation of volatile silicon halides. These hydrogen halides can also react with other reactants during the ALD phase (eg, excess NH during the nitrogen phase).ThreeAdditional harmful species that combine to exacerbate corrosion problems (eg, ammonium halides (eg NHFourF)) may be formed. Thus, by-products from alternating halide- and hydrogen-carrying reactants tend to corrode exposed materials (eg, aluminum, copper and silicon dioxide) of partially fabricated integrated circuits.
[0023]
Preferred workpiece ( workpiece )
Preferred embodiments include the deposition of metal, metal carbide and metal nitride thin films by ALD on the surface of the substrate. In one embodiment, the thin film forms a nanolaminate. More particularly, embodiments include deposition on “sensitive” surfaces that are sensitive to corrosion in the presence of halides and particularly hydrogen halides. Such sensitive surfaces include, for example, metals such as aluminum and copper, and silicon compounds such as silicon oxide and silicon nitride.
[0024]
As described in more detail below, such sensitive surfaces generally have a negative or near-zero Gibbs free energy (ΔG for reaction between the surface and hydrogen halide or ammonium halide.f).
[0025]
FIG. 6 shows a dual damascene context where deposition is desired over multiple such materials simultaneously. The structure includes a first or lower insulating layer 50, in the form of silicon oxide, specifically deposited by plasma enhanced CVD (PECVD) using a tetraethylorthosilicate (TEOS) precursor. Insulating layer 50 is formed over barrier layer 51 (typically silicon nitride), which then overlies conductive element 52. Conductive element 52 typically consists of a highly conductive interconnect metal and most preferably copper in a dual damascene context. Over the first insulating layer 50 is an etch stop 54 formed from a material having a significantly different etch rate as compared to the underlying insulator 50. The etch stop layer 54 (typically silicon nitride) includes a plurality of openings 55 across the workpiece that serve as a hard mask in defining contact vias. A second or top insulating layer 56 (also PECVD TEOS) is formed over etch stop 54 and polishing shield 58 is a subsequent chemical mechanical planarization (CMP) step. To stop. Polishing shield 58 typically comprises a relatively hard material (eg, silicon nitride or silicon oxynitride).
[0026]
As will be appreciated by those skilled in the art, the dual damascene structure is formed by a photolithography and etch process that defines a plurality of trenches 60 having contact vias 62 extending from the trench floor in separate locations. The trench 60 serves to define a wiring pattern for interconnection of electrical devices according to the integrated circuit design. Contact via 62 defines the arrangement in which electrical connection to the lower electrical element or wiring layer is desired according to the circuit design.
[0027]
Those skilled in the art will appreciate that a variety of alternating materials and structures can be used to accomplish these objectives. For example, while the preferred insulating layers 50, 56 include PECVD TEOS, in other arrangements, the material of these layers can include any number of other suitable dielectrics. For example, dielectrics have recently been developed that exhibit a low dielectric constant (low k) when compared to conventional oxides. These low k dielectrics include polymeric materials, porous materials, and fluoride-doped oxides. Similarly, barrier 51, etch stop 54, and shield 58 may include any number of other materials suitable for their aforementioned functions. Furthermore, any or all layers 51, 54 and 58 may be omitted in other schemes for fabricating dual damascene structures.
[0028]
As shown in FIG. 7, dual damascene trench 60 and via 62 are then lined with thin film 150. The thin film 150 can be selectively formed over a particularly desired surface of the structure, but is most preferably formed by ALD blanket, conformal deposition according to a preferred embodiment. In the illustrated embodiment, the thin film is conductive and allows electrical signals to flow therethrough.
[0029]
Integrated circuits typically include an interconnect made from aluminum. Recently, copper has become an attractive material in the field. However, copper tends to diffuse into the surrounding material. Diffusion affects the electrical characteristics of the circuit and can cause active components to malfunction. Diffusion can be prevented by an electrically conductive diffusion barrier layer. Amorphous films are thought to enhance the properties of diffusion barriers because ion diffusion favors thin film grain boundaries. Preferred diffusion barriers are transition metal nitrides (eg, TiNx, TaNxAnd WNx). We also have metal carbides (eg WCx) Has been found to be good conductive diffusion barriers.
[0030]
Conventionally, a thin lining film in a dual damascene structure is a conductive adhesion sub-layer (eg, tungsten metal), a barrier sub-layer (eg, Titanium nitride) and a seed sub-layer (eg, PVD copper). A preferred thin film 150 can include one or more of these sub-layers formed by ALD, and can also include one or more sub-layers formed by other methods. Preferred embodiments include a method of forming tungsten metal by ALD, for example, over oxide and copper structures without etching. However, in general, minimizing the thickness of the lining layers and thereby maximizing the volume of the structure occupied by the highly conductive metal (preferably copper) that is subsequently deposited. ,desirable. For this purpose, the preferred embodiment is also for depositing a barrier layer directly over both oxide and copper surfaces (or other sensitive surfaces) without etching the sensitive surface, or for corrosion. A means for depositing a barrier layer over a very thin adhesion layer is provided.
[0031]
As will be appreciated by those skilled in the art, following formation of thin film 150, trench 60 and via 62 may be filled with a highly conductive material (eg, electroplated copper). A polishing process then ensures that the individual lines are separated within the trench 60.
[0032]
Nanolaminate structure
Nanolaminates are layered structures with enhanced diffusion barrier properties. Nanolaminates consist of multiple thin films and are constructed to create very complex diffusion paths for impurities by disruption of normal crystal growth during deposition. Thus, the nanolaminate comprises alternating thin film layers of different phases, for example having different crystallite structures and different crystallite lattice parameters.
[0033]
According to a preferred embodiment of the present invention, the nanolaminate structure is formed on a substrate. The nanolaminate structure is preferably composed of at least one transition metal compound thin film layer that is desirably conductive and serves a diffusion barrier function. The metal compound can be a metal nitride or a metal carbide. The nanolaminate structure can also include one or more elemental metal thin film layers.
[0034]
The nanolaminate structure is preferably a layered structure comprising alternating stacked thin film layers of materials having different phases with respect to the growth direction of the nanolaminate. The nanolaminate structure preferably comprises a material having at least two different phases. Thus, at least two adjacent thin film layers preferably have different phases. For example, they can have different structures, compositions or electrical resistivity. In a nanolaminate having three layers, at least one of the layers preferably has a different phase than the other two layers.
[0035]
The nanolaminate structure preferably includes at least two thin film layers. More preferably they comprise at least three thin film layers. Where the nanolaminate structure comprises three membrane layers, it is preferably a “sandwich” structure in which the intermediate layer has a different phase than the outer two layers.
[0036]
Preferably, the nanolaminate is grown so that the phases alternate with the layers. Thus, each other layer is preferably in the same phase. However, all thin films of one nanolaminate structure can be in different phases, for example when each thin film layer is made of different materials. This structure has a number of phase interfaces that can impair ion diffusion in the structure.
[0037]
An example of a nanolaminate structure is shown in FIG. 9, which is a schematic illustration of the first four thin film layers of a metal nitride nanolaminate made by the ALD type process of the present invention. The pulsing sequence for obtaining each layer is shown in FIG. The layers are not to scale in the figure, and the subscripts x, y, a and b are integers.
[0038]
FIG. 10 shows the uniform growth and sharp interface between the layers in the preferred nanolaminate. FIG. 10 shows a transmission electron microscope (TEM) of a nitride nanolaminate structure that clearly shows a 1.8 nm thin film layer of titanium nitride 30 (light gray) and a 4.5 nm thin film layer of tungsten nitride 40 (dark gray). It is a photograph.
[0039]
The number of layers stacked in the nanolaminate structure can vary, but can vary from 2 to 500, preferably from 3 to 300, more preferably from 4 to 250, and even more preferably from 4 to 20. The thickness of the nanolaminate structure is preferably from a bilayer to 1,000 nm, more preferably from 5 nm to 200 nm, and even more preferably from 10 nm to 100 nm. Desirably, each layer is thin, each preferably less than 20 nm thick, more preferably less than about 10 nm, and most preferably less than about 5 nm.
[0040]
The thin film layers that make up the nanolaminate structure of the present invention preferably have different phases and properties than each adjacent layer. These differences may be in the following properties, but those skilled in the art will recognize that other properties are contemplated and that the properties will vary depending on the type of thin film in the laminate structure:
1.Crystallite structure  The crystallite structure varies according to the chemical species being deposited as well as according to the metal / nitrogen ratio of the nitride film layer. Variations in the crystal structure can occur in numerous details, including space groups, unit cell dimensions, and crystallite orientation in thin film layers.
[0041]
There are 230 space groups such as face, cubic and hexagonal. Thus, nanolaminate structures can be made by depositing alternating thin film layers on the substrate, each having hexagonal and cubic space groups of crystallites. Variations in space groups can change unit cell dimensions.
[0042]
The unit cell is the smallest repeating atomic arrangement inside the crystallite and the size of the unit cell can vary. For example, nanolaminates can be made by depositing alternating thin film layers comprising materials having small unit cells and large unit cells.
[0043]
The crystal orientation in the thin film layer according to the Miller index can also change. For example, the nanolaminate may have the following structure: (100) / (111) / (100) / (111) /. . . .
[0044]
2.composition  Composition refers to an atomic make-up, such as the metal / nitrogen ratio in an exemplary nanolaminate comprising a metal nitride, or the metal / carbon ratio in an exemplary nanolaminate comprising a metal carbide. An example of a nanolaminate structure containing different phases due to the metal / nitrogen ratio is the following: TaThreeNFive/ TaN / TaThreeNFive/ TaN /. . . . Another example is a structure in which some thin film layers contain nitrogen and others do not, for example W / WN / W / WN /. . . It is.
[0045]
3.Electrical resistance  The electrical resistance also varies according to the metal / nitrogen ratio. Amorphous or near-amorphous structures can have distinctly different resistivities when compared to each other. In general, the more nitrogen present in the thin film layer, the higher the resistivity. Examples of possible nanolaminate structures include alternating thin film layers of materials having low and very low resistivity.
[0046]
The nanolaminates of the present invention can be used, for example, as diffusion barriers in integrated circuits. They can also be used as a reflector for x-rays. Nanolaminate structures suitable for such applications preferably comprise a thin film layer consisting of a high atomic number transition metal or high atomic number transition metal nitride and a low atomic number element or nitride. In the context of the present invention, an atomic number is considered “high” if it is at least about 15 or more and “low” if it is about 14 or less. High atomic number nitrides are preferably prepared using a source material comprising tungsten or tantalum. Low atomic number nitrides are preferably inorganic nitrides, especially beryllium, boron, magnesium, aluminum and silicon nitride. Preferably, the thin film layers are disposed in the nanolaminate such that layers containing high atomic number nitride alternate with layers containing low atomic number nitride.
[0047]
The nanolaminate structures described herein comprising metal nitrides or carbides on other conductive barrier layers are particularly suitable for interconnect barriers as described with respect to FIG. In addition, these materials are sensitive to attack from hydrogen halides and ammonium halides in the process of deposition. Thus, the method of deposition described below allows for good quality nanolaminate structures.
[0048]
Preferred ALD method
The methods presented herein allow the deposition of conformal thin films and nanolaminates from aggressive chemicals on chemically sensitive surfaces. Geometrically difficult applications are possible due to the use of self-limited surface reactions.
[0049]
According to a preferred embodiment, the thin film, in particular the nanolaminate structure, is integrated circuit workpieces comprising a surface sensitive to a halide attack by an atomic layer deposition (ALD) type process. Alternatively, it is formed on a substrate. Such sensitive surfaces can take a variety of forms. Examples include silicon, silicon oxide (SiO2), Coated sillicon, low-k materials, metals (eg, copper and aluminum), alloys, metal oxides and various nitrides (eg, transition metal nitrides and silicon nitrides) or combinations of these materials Can be mentioned. As described above with reference to FIGS. 6 and 7, preferred damascene and dual damascene contexts include a silicon oxide-based insulator and exposed copper lines below the contact vias.
[0050]
The substrate or workpiece placed in the reaction chamber is subjected to alternating surface reactions of the source chemical to grow a thin film. In particular, the thin film is formed by a periodic process in which each cycle deposits, reacts or adsorbs a layer on the workpiece in a self-limiting manner. Preferably, each cycle includes at least two different phases, where each phase is a saturative reaction with a self-limiting effect. Thus, the reactants are determined by the number of available sites, and concomitantly by the physical size of the chemisorbed species (including ligand), under favorable conditions, the amount of reactant that can be bound to the surface. To be selected. The layer left by the pulse is self-terminated with a surface that is non-reactive with the remaining chemistry of the pulse. This phenomenon is referred to herein as “self-saturation”.
[0051]
Maximum step coverage at the workpiece surface can be obtained when no more than about one monolayer of source chemical molecules is chemisorbed in each pulse. Each subsequent pulse reacts with the surface left by the previous pulse in a self-limiting or self-terminating manner as well. The pulsing sequence is repeated until a thin film of the desired thickness or a nanolaminate having the desired structure is grown.
[0052]
According to a preferred embodiment, the pulsed reactants are selected to avoid etch damage to the workpiece surface. Example 8 below provides one embodiment where the reactants do not significantly etch the surface.
[0053]
More preferably, the reactant includes a chemical species that is detrimental to the substrate. However, the getter phase during each ALD cycle scavenges harmful species, thereby allowing the use of advantageous volatile reactants that help self-saturation in each phase, while at the same time sensitive surfaces. Protect. For example, Examples 3 and 5-7 disclose deposition processes that include a scavenging or gettering phase during each cycle. For metal thin film deposition (Example 3), at least two different source chemicals are used alternately, one of which gets the halide from the other chemical. In the case of metal nitride thin film deposition (Examples 5-7), at least three different source chemistries are used alternately: forming about 1 or less monolayer terminated with a halogen ligand, and as the layers are deposited A second reactant comprising a first reactant; a getter for scavenging the halide from the monolayer; and another species (especially nitrogen) desirable when the layer is deposited.
[0054]
FIG. 8 generally illustrates a three phase cycle for depositing binary material. However, one of ordinary skill in the art will readily appreciate that the principles disclosed herein can be readily applied to deposit ternary or more complex materials by ALD.
[0055]
A semiconductor workpiece containing a sensitive surface is loaded into a semiconductor processing reactor. An exemplary reactor specifically designed to enhance the ALD process is trade name Pulsar 2000 from ASM Microchemistry, Finland.TMIs commercially available.
[0056]
If necessary, the exposed surface of the workpiece (eg, trench and via sidewall surfaces and metal floor as shown in FIG. 6) is terminated and reacted with the first phase of the ALD process. . The first phase of preferred embodiments is, for example, hydroxyl (OH) or ammonia (NHThree) Termination and reactivity. In the examples discussed below, the dual damascene silicon oxide and silicon nitride surfaces do not require separate termination. Certain metal surfaces, such as the bottom of via 61 (FIG. 9A), can be terminated, for example, by ammonia treatment.
[0057]
After initial surface termination, a first reactant pulse is then delivered 102 to the workpiece, if necessary. According to a preferred embodiment, the first reactant pulse includes a volatile halide species that is reactive with the carrier gas flow and the workpiece surface of interest, and further forms part of the deposited layer. Including chemical species. Thus, halogen-containing species are adsorbed on the workpiece surface. In the illustrated embodiment, the first reactant is a metal halide and the thin film formed comprises a metallic material, preferably a metal nitride. The first reactant pulse self-saturates the workpiece surface so that any excess component of the first reactant pulse does not react further with the monolayer formed by this process. Self-saturation results in the termination of the monolayer and protection of the layer from further reaction due to the halide tail.
[0058]
The first reactant pulse is preferably supplied in gaseous form and is therefore referred to as the halide source gas. In some cases, the reactive species may have a melting point that exceeds the process temperature (eg, CuCl melts at 430 ° C., while the process is performed at about 350 ° C.). Nevertheless, if the species exhibits a vapor pressure sufficient to carry the species to the workpiece at a concentration sufficient to saturate the exposed surface under process conditions, the halide source gas. Are considered "volatile" for purposes of this specification.
[0059]
The first reactant is then removed 104 from the reaction space. Preferably, step 104 diffuses excess reactants and reactant by-products from the reaction space, preferably using a purge gas with a reaction chamber volume of greater than about 2, more preferably with a chamber volume of greater than about 3. Alternatively, it is only necessary to stop the flow of the first chemical reaction while continuing to flow the carrier gas for a time sufficient to purge. In the illustrated embodiment, removal 102 includes continuing to flow the purge gas for about 0.1 to 20 seconds after stopping the flow of the first reactant pulse. Inter-pulse purging is a co-pending US patent with serial number 09 / 392,371, filed September 8, 1999 and entitled IMPROVED APPARATUS AND METHOD FOR GROWTH OF A THIN FILM. This disclosure is incorporated herein by reference. In other arrangements, the chamber can be completely evacuated between alternating chemical reactions. See, for example, PCT Publication No. WO 96/17107 published June 6, 1996 entitled METHOD AND APPARATUS FOR GROWING THIN FILMS. This disclosure is incorporated herein by reference. Adsorption 102 and reactant removal 104 together represent the first phase 105 in the ALD cycle. The first phase can also be referred to as the halide phase.
[0060]
Once the reactants of the first reactant pulse have been removed from the chamber 104, getter pulses are delivered to the workpiece. The getter pulse captures or removes the ligand termination of the adsorbed composite monolayer formed in step 102 (eg, by ligand-exchange, sublimation or reduction) 106. The getter species saturates the workpiece surface, preferably with carrier flow, to ensure the removal of halide tails before further pulses. Temperature and pressure conditions are preferably arranged to avoid diffusion of the getter through the monolayer into the underlying material.
[0061]
As will be better understood from the more detailed discussion below, the reaction between the halide tail and the getter species in the adsorbed monolayer is thermodynamically favorable. More particularly, the reaction between the getter and the halide-terminated monolayer is generally characterized by a negative Gibbs free energy. Thus, the halide species is more easily converted into the getter species (or, in the case of ligand-exchange scavenging, into its reaction byproducts) than to the rest of the adsorbed complex formed in the first phase 105. )Join. Similarly, getters can bind to free halide in the reaction space.
[0062]
The getter-halide complex (desirably also volatile) is then also removed 108 from the reaction space, preferably by a purge gas pulse. Removal may be as described for step 104. The scavenger pulse 106 and removal 108 together represent the second phase 109 of the illustrated ALD process, which can also be referred to as the scavenger or getter phase.
[0063]
The first two phases are sufficient for the formation of a metal film, such as a metal film layer in a nanolaminate structure. However, one additional phase is preferably used for the formation of a binary metal layer (eg a metal nitride layer). In other arrangements, the getter may leave a component in place of the halide. For example, a triethyl boron getter can leave carbon when scavenging fluorine from a tungsten complex.
[0064]
In the illustrated embodiment, a second reactant pulse is then delivered 110 to the workpiece. The second chemical reaction desirably reacts with or is adsorbed onto the monolayer left by the getter phase 109. The getter phase is particularly useful when the second reactant contains a hydrogen-bearing compound that tends to form hydrogen halide. In the illustrated embodiment, the second reactant pulse 110 uses a carrier gas as hydrogen-carrying nitrogen (eg, NHThree) Including supplying the workpiece with the source gas. The nitrogen or nitrogen-containing species from the second reactant preferably reacts with the previously adsorbed monolayer leaving a nitrogen compound. In particular, if the first reactant includes a metal halide, the second reactant leaves no more than about 1 monolayer of metal nitride. The second reactant pulse 110 also leaves a surface termination that acts to limit deposition in the saturated reaction phase. Nitrogen and NH to terminate the metal nitride monolayerxThe tails are the NH of the second reactant pulse.ThreeAnd non-reactive.
[0065]
After sufficient time to fully saturate and react the monolayer with the second reactant pulse 110, the second reactant is removed 112 from the workpiece. Similar to the first reactant removal 104 and getter species removal 108, this step 112 preferably stops the flow of the second chemistry, and excess reactants and reactions from the second reactant pulse. Including continuing to flow the carrier gas for a time sufficient to allow the by-product to diffuse out of the reaction space and be purged. The second reactant pulse 110 and removal 112 together represent the third phase 113 in the illustrated process and can also be considered a nitrogen or hydrogen phase because nitrogen is part of the growth film. This is because hydrogen is released in the reaction at the same time as it reacts with and forms.
[0066]
In the illustrated embodiment in which the three phases are alternated, once the excess reactants and by-products of the second chemical reaction are removed from the reaction space, the first phase of the ALD process is repeated. Thus, again supplying the first reactant pulse 102 to the workpiece forms another self-terminating monolayer.
[0067]
Thus, the three phases 105, 109, 113 together represent one cycle 115 that is repeated to form a metal nitride monolayer in an ALD process. The first reactant pulse 102 generally reacts with the termination left by the second reaction pulse 110 in the previous cycle. This cycle 115 is repeated a sufficient number of times to produce a film of sufficient thickness to perform its desired function.
[0068]
Although only the first and second reactants with an intermediate getter phase are illustrated in FIG. 8, it is understood that in other arrangements, additional chemical reactions may also be included in each cycle. For example, if necessary, cycle 115 can be extended to include different surface preparations. Moreover, a second getter phase can be performed in each cycle after the nitrogen phase 112. The cycle 115 then continues to steps 102-112. Furthermore, although illustrated in the following examples with an initial metal phase and a subsequent nitrogen phase, it is understood that the cycle can be initiated with the nitrogen phase, depending on the exposed substrate surface and phase chemistry.
[0069]
In the manufacture of nanolaminates, after the first monolayer of metal, metal carbide or metal nitride is deposited, the starting materials, pulsing parameters and cycles are preferably different in the phase of the next monolayer and the phase interface. It is changed to be formed between any two membrane layers. For example, alternating two-phase and three-phase cycles creates a nanolaminate structure with alternating metal and metal nitride layers. In another embodiment, the metal source chemistry is alternated at each iteration of the three phase cycle to create alternating layers of metal nitride.
[0070]
In the illustrated metal nitride embodiments (Examples 5-7), the first reactant is a metal halide (e.g., WF) that provides metal to the growth layer.6Or TiClFourThe getter includes triethylboron (TEB); and the second reactant is ammonia (NH) that provides nitrogen to the growth layer.Three)including.
[0071]
The examples shown below demonstrate the advantages of using a halogen-getter for thin film deposition. Examples 1, 2 and 4 illustrate the case where corrosion of the copper metal surface was found, and the other examples illustrate the case where corrosion was eliminated according to the preferred embodiment. The degree of corrosion was not quantified. Corrosion was either present or absent and was measured by optical and SEM images. In practice, the resistance to corrosion depends on the application.
[0072]
Source material
In general, the source material (eg, metal source material, halogen getter and nitrogen source material) is preferably sufficient thermal stability of the compound for deposition by ALD at sufficient vapor pressure, substrate temperature. Selected to provide sufficient sexiness and reactivity. “Sufficient vapor pressure” provides sufficient source chemical molecules in the gas phase to the substrate surface, allowing self-saturation reactions at the surface at the desired rate. “Sufficient thermal stability” means that the source chemical itself does not form a growth-disturbing condensable phase at the surface, or does not leave harmful levels of impurities on the substrate surface due to thermal decomposition. means. One purpose is to avoid uncontrolled condensation of molecules in the substrate. “Sufficient reactivity” causes self-saturation in pulses short enough to allow commercially acceptable throughput times. Further selection criteria include the availability of high purity chemicals and the ease of handling of chemicals.
[0073]
The thin film transition metal nitride layer is preferably from a metal source material, and more preferably from a group 3, 4, 5, 6, 7, 8, 9, 10, 11 and / or 12 transition metal of the periodic table. Prepared from volatile or gaseous compounds. Elemental metal thin film layers are also preferably made from these compounds or from starting materials comprising Cu, Ru, Pt, Pd, Ag, Au and / or Ir. More preferably, the metal and metal nitride source material comprises a transition metal halide.
[0074]
1.Halide source material
The first reactant preferably includes a species that is corrosive to the surface of the workpiece exposed during deposition, particularly when combined with the second reactant. In the illustrated embodiment, the corrosive species of the first reactant is advantageous in that it provides a volatile source gas for delivering the desired deposition species. Moreover, the corrosive species promotes self-limited deposition by forming part of the ligand that inhibits further growth during the first pulse.
[0075]
In particular, the first reactant of the preferred embodiment comprises a halide, and more preferably a metal halide. As mentioned above, metal halides are volatile and are therefore excellent vehicles for delivery of metal to the workpiece. In addition, the halogen tail terminates the surface of the chemisorbed monolayer and inhibits further reactions. The surface is thus self-saturated to promote uniform film growth.
[0076]
In the illustrated embodiment (see Examples 3 and 5-7 below), each of the halide source materials includes a metal halide that tends to induce etching or corrosion during the ALD reaction. For example, Examples 1, 2, and 4 are each TiCl.FourOr WF6Fig. 6 shows copper corrosion from exposure to an ALD process involving pulses.
[0077]
However, as shown by Example 8, TaFFiveDoes not etch copper during tantalum nitride deposition. Thermodynamic calculations (see FIG. 5) support the experimental results and also show that hafnium bromide and niobium fluoride do not corrode copper when depositing metal nitrides (see FIG. 11). ) Low valent metal halides can be expected to have fewer halogen atoms to donate and corrode less sensitive surfaces than high valent metal halides. The metal halide source chemical can be transferred onto the reducing agent before the substrate space to reduce the valence or oxidation state of the metal in the metal halide, thus reducing the halide content of the metal halide. This reduces the possibility of corrosion on the substrate surface. A method of using a solid or liquid reducing agent in front of the substrate space is described in our pending Finnish patent application FI 19992235. Therefore, TaFFiveMetal sources such as hafnium bromide and niobium fluoride are not considered corrosive in the ALD process in question. Accordingly, such metal source materials can be used without the gettering method disclosed below.
[0078]
The gettering method is selected from transition metal halides, in particular from group IV (Ti, Zr and Hf), group V (V, Nb and Ta) and group VI (Cr, Mo and W) of the periodic table of elements. It has been used successfully with elemental halides. Family names follow the system recommended by IUPAC. Transition metal fluorides, chlorides, bromides and iodides may be used depending on the particular metal. Some metal-halogen compounds such as ZrFFourIs not volatile enough for ALD processes.
[0079]
2.Gettering or scavenging agent
2.1Boron compounds
In the examples, the gettering agent triethylboron (TEB) was used to protect the copper surface from corrosion. Among possible reaction products, the following are advantageous for the gettering effect:
A boron halide formed by the reaction of a halogen (eg, derived from a metal halide, hydrogen halide or ammonium halide) with the central boron atom of the TEB molecule;
An ethyl halide formed by reaction of a halogen (eg, derived from a metal halide, hydrogen halide or ammonium halide) with an ethyl group of a TEB molecule;
Or
Ethane formed by the reaction of hydrogen (eg, derived from a hydrogen halide molecule) and the ethyl group of a TEB molecule.
[0080]
It will be appreciated by those skilled in the art that the gettering effect shown here is not limited to TEB. One class of boron compounds is borane (BxHy).
[0081]
Volatile boron compounds having at least one boron-carbon bond are more preferred for certain metals, and hydrocarbon groups bonded to boron are more preferred. Very long or bulky groups attached to the boron can shield the central atom of the molecule so that the preferred reaction is very time consuming or has a very high substrate temperature Unacceptable process conditions such as Accordingly, the getter compound is preferably selected from volatile boron compounds having at least one boron-carbon bond.
[0082]
2.2Silicon compounds
For example, silicon compounds having an alkyl group bonded to silicon can be used to getter halogens or hydrogen halides, as shown in Schemes R1 and R2. Each reaction with a hydrogen halide molecule is thought to consume one silicon-carbon bond. Thus, the getter compound can be selected from volatile silicon compounds having at least one silicon-carbon bond.
[0083]
[Expression 1]
Figure 0004746234
[0084]
2.3Germanium and tin compounds
Germanium compounds having an alkyl group attached to germanium, as well as alkyl tin compounds, are within the possible range when it is necessary to getter a halogen or hydrogen halide. Thus, the getter compound may be selected from volatile germanium and tin compounds having at least one metal-carbon bond.
[0085]
2.4Aluminum, gallium and indium compounds
In the case of alkylaluminum, gallium or indium compounds, the reaction exhibits some detrimental complexity. As an example, trimethylaluminum (TMA) decomposes in the presence of a metal halide, leaving carbon on the surface. Use of these compounds to getter halogens or hydrogen halides requires careful setup of ALD process parameters. However, in a less preferred arrangement, the getter compound may be selected from volatile aluminum, gallium or indium compounds having at least one metal-carbon bond.
[0086]
2.5Carbon compound
In the case of carbon compounds, if there is a double or triple bonded carbon in the molecule, it is possible to find the bonding site for the hydrogen halide (R3 and R4). It is difficult to calculate the thermodynamic preference for the reaction because the surface chemistry is different from the gas phase chemistry, for example due to absorption and desorption energies, for example. For getter compounds selected from volatile carbon compounds, the compounds preferably have at least one double or triple bond between carbon atoms.
[0087]
[Expression 2]
Figure 0004746234
[0088]
2.6Nitrogen compounds
In the case of nitrogen compounds, the problem is usually that the nitrogen halide is thermally unstable. Reactions between alkyl-nitrogens and hydrogen halide compounds that form any nitrogen halide are probably not preferred. However, the formation of alkyl chlorides from alkylamines is theoretically possible (R5). Free Gibbs energy (ΔGf) Was calculated. The kinetic factors that affect the reaction rate have not been elucidated. Getter compounds selected from volatile amines are preferably for reactions between amines and halogen-bearing species (eg, hydrogen halides or ammonium halides or free halogens) leading to the formation of halogenated carbon compounds. Has a free or nearly zero free Gibbs energy.
[0089]
[Equation 3]
Figure 0004746234
[0090]
One amine is ammonia (NHThree) Is a stronger base. Such amines can form salt-like compounds with acidic hydrogen halide molecules without destroying them. Bonding enhances the removal of hydrogen halide from the copper metal surface before corrosion occurs. Getter compounds selected from volatile amines preferably form a sufficiently stable salt with hydrogen halide or react between volatile amine and hydrogen halide leading to the formation of volatile amine-hydrochloride Has a free Gibbs energy of negative or near zero value.
[0091]
2.7Phosphorus compounds
Phosphorus halides are very stable and it is possible to use organophosphorus compounds to getter halogens or hydrogen halides. The formation of metal phosphides is a competitive reaction and, depending on the application, phosphorus compounds are not acceptable. A getter compound selected from phosphorus compounds preferably has at least one phosphorus-carbon bond.
[0092]
2.8Zinc compounds
Alkyl zinc compounds are commercially available. Currently, zinc is not compatible with the state of the art process flow for integrated circuits. Under circumstances where zinc exposure is acceptable, the getter compound can be selected from zinc compounds having at least one zinc-carbon bond.
[0093]
2.9Iron and lead compounds
Organic-iron and organic-lead compounds form volatile metal halides. The getter compound may be selected from iron or lead compounds having at least one metal-carbon bond.
[0094]
2.10Metallocene compounds
The getter compound may be selected from a volatile metallocene (eg, ferrocene, dicyclopentadienyl iron), or a volatile derivative of a metallocene (eg, 1,1′-di (trimethylsilyl) ferrocene), wherein the metal is volatile Metal halides can be formed.
[0095]
2.11Boron-silicon compound
The getter compound may also be selected from volatile boron-silicon compounds (eg, tris (trimethylsilyl) borane) having at least one boron-silicon bond. Both silicon and boron can form volatile halides.
[0096]
2.12Metal carbonyl compounds
Getter compounds may be selected from volatile metal carbonyls or volatile derivatives of metal carbonyls (eg, cyclohexadiene iron tricarbonyl), where such metals may form volatile metal halides.
[0097]
2.13General reaction formula for organic gettering agents
Volatile E (-CLThree)mGnThe general reaction scheme for halogen gettering with compounds is shown in R6. E is an element in the periodic table; L is a molecule bonded to carbon C; X is a halogen; G is an unspecified molecule or atom bonded to E; and m and n Is an integer, where the sum of m and n depends on the valence of E. There is a chemical bond between E and C.
[0098]
[Expression 4]
Figure 0004746234
[0099]
Volatile E (-CLThree)mGnThe general reaction scheme for gettering of hydrogen halides with compounds is shown in R7. There is a chemical bond between E and C. E is an element in the periodic table; L is a molecule bonded to carbon C; X is a halogen; G is an unspecified molecule or atom bonded to E; and m and n are integers Yes, where the sum of m and n depends on the valence of E. The reaction equation is simplified. In fact, there is a further reaction between the surface and the chemisorbing E compound.
[0100]
[Equation 5]
Figure 0004746234
[0101]
Getter compound E (-CLThree)mGnIs selected from compounds that can bind halogens or hydrogen halides or dissociate hydrogen halides or ammonium halides to form non-corrosive volatile halogen compounds.
[0102]
2.14Silane, borane and germanium compounds
Silane (SixHy) And borane (BmHn) (Where x, y, m and n are positive integers), R8 to R10 represent thermodynamically favorable reactions that can bind hydrogen halides to less corrosive compounds.
[0103]
[Formula 6]
Figure 0004746234
[0104]
Ammonium halides react with silane and borane (R11-R14), but they can also interfere with the growth of transition metal nitrides by forming silicon nitride or boron (R15-R18). The reactivity of ammonium halides is that when heated they are ammonia (NHThree) And the well-known fact that it begins to dissociate into hydrogen halides.
[0105]
[Expression 7]
Figure 0004746234
[0106]
Ammonium halide molecule (NHFourF, NHFourCl, NHFourBr, NHFourIf I) is present at the reaction chamber surface, it is advantageous to use as little silane or borane as possible to prevent the formation of non-volatile silicon nitride or boron nitride. When hydrogen halide molecules (HF, HCl, HBr, HI) are present on the reaction chamber surface, the dose of silane or borane is such that the acidic hydrogen halide forms silicon halide or boron halide. However, it is adjusted to be substantially free of extra silane or borane molecules that can bind onto the metal or metal nitride surface and interfere with metal or metal nitride growth.
[0107]
Germanes (GerHt, Where r and t are positive integers) can form volatile germanium halides, particularly using hydrogen halides.
[0108]
While there are just pure silicon-hydrogen, boron-hydrogen and germanium-hydrogen compounds in the examples, those skilled in the art readily recognize that there are a series of similar compounds useful as gettering agents. You will find it inside. Silane (SixHy), Borane (BmHn) And germane (GerHt), The hydrogen atoms may be replaced one by one with a halogen atom. For example, SiHFour→ SiHThreeF → SiH2F2→ SiHFThree. SiH2Mixed halogen compounds such as FCl are also possible. These compounds can serve as gettering agents as long as there is at least one hydrogen atom bonded to silicon, boron or germanium.
[0109]
In principle, the getter compound can be selected from silane, borane or germane having at least one hydrogen atom bonded to silicon, boron or germanium.
[0110]
3.Source material for the second reactant
The second reactant also typically includes a corrosive species on the surface of the workpiece that is exposed during deposition, particularly when combined with the second reactant. In the illustrated embodiment, the corrosive species of the first reactant is advantageous in that it provides a volatile source gas for delivering the desired deposition species.
[0111]
In “pure” metal deposition by ALD, the second reactant is replaced with another pulse of the first reactant. For example, in Example 3, the first and second reactant pulses are both WF6including. Thus, only one reactant is alternated in the getter phase. Each WF6Pulses can potentially generate volatile halide compounds or free excited halide species that can corrode sensitive surfaces such as copper, aluminum or silicon oxide. For example, exposure of halide-terminated metals to ammonia can be achieved by hydrofluoric acid (HF) and ammonium fluoride (NHFourF) tend to generate.
[0112]
In order to form binary, ternary or more complex materials, the following reactants preferably contain hydrogen-containing compounds and also in the illustrated case of metal nitride deposition, also metal nitride deposition Provides nitrogen to the process. The second reactant used as the nitrogen source material is preferably volatile or gaseous. For example, ammonia is both volatile and highly reactive, facilitating rapid reaction with chemisorbed species from the first reactant. Preferably, the second reactant is selected from the following group:
・ Ammonia (NHThree);
A salt of ammonia, preferably a halide salt, in particular ammonium fluoride or ammonium chloride;
・ Hydrogen azide (HNThree) And alkyl derivatives of the compounds (eg, CHThreeNThree);
・ Hydrazine (N2HFour) And a salt of hydrazine (eg, hydrazine hydrochloride);
-Organic derivatives of hydrazine (eg dimethylhydrazine);
・ Nitrogen fluoride (NFThree);
Primary, secondary and tertiary amines (eg methylamine, diethylamine and triethylamine);
Nitrogen radicals (eg NH2 *, NH**And N***,here"*"Means a free electron that can form a bond); and
Other excited species including nitrogen (N).
[0113]
While the getter phase is particularly useful in combination with hydrogen-carrying reactants, it can be used for other reactants (eg NF listedThreeAnd remains free when used before hydrogen-free nitrogen radicals).
[0114]
Alternatively, the second reactant can provide carbon and form a metal carbide. For example, WF6After the pulse, TEB was found not only to getter the halide tail, but rather to leave some carbon in the ligand exchange reaction. Metal carbide serves as an excellent barrier material in lieu of or in addition to metal nitride within the nanolaminate.
[0115]
4).Selection criteria for source materials
Metal corrosion is the Gibbs energy (ΔGf) Is expected if it is negative or nearly zero for reactions between:
Metal halides and metals;
Hydrogen halides and metals; or
-Ammonium halides and metals.
Here, the metal represents a sensitive surface during the reaction and hydrogen halide and / or ammonium halide is formed as a by-product of the surface reaction.
[0116]
Corrosion of silicon compounds (eg, silicon oxide or silicon nitride) can cause Gibbs free energy (ΔGf) Is expected at the surface if it is negative or nearly zero for reactions between:
-Hydrogen halides and silicon compounds;
-Ammonium halides and silicon compounds.
Here, the silicon compound exhibits a sensitive surface during the reaction and hydrogen halide and / or ammonium halide is formed as a by-product of the surface reaction.
[0117]
If theoretical calculations suggest that corrosion is possible, it is recommended to add a getter to the process. Getter molecules mix with corrosive molecules and prevent corrosion of sensitive surfaces.
[0118]
Selection of the getter compound can be based on molecular simulation. An exemplary simulation program is Hypercube Inc. , Florida, USA, HyperChem release 4.5. The program visualizes the physical appearance and electrostatic potential geometry of getter molecule candidates and has areas where molecules (eg, triethylboron) are accessible to react with corrosive molecules Useful for assessing whether or not. Molecules with a physically or electrostatically shielded structure from reaction with potentially harmful chemicals can cause weak getters as they increase the number of reactions and experience reactor throughput. Make it. Simulation of the reaction between molecules and surfaces requires more complex software. Molecular Simulation Inc. (MSI), Cerius commercially available from USA2Is an example of a program that can predict the results of a chemical reaction.
[0119]
Chemical reaction
Several examples are provided here to further illustrate the chemical reactions in transition metal nitride thin film growth. In general, a conformal and uniform thickness metal nitride is desirable. ALD allows a metal monolayer to be reacted with nitrogen in alternating pulses.
[0120]
In the first scheme, titanium tetrachloride (TiClFour) Is considered an example of a metal source material, and ammonia (NHThree) Is an example of a nitrogen-containing compound. The substrate is a silicon wafer having a native oxide on the surface. TiClFourReacts with the OH-containing surface sites of the substrate.
[0121]
[Equation 8]
Figure 0004746234
[0122]
Reducing agent R is TiClThreeTiCl2Used to reduce to
[0123]
[Equation 9]
Figure 0004746234
[0124]
Nitrogen-containing compounds (in this example NHThreeThe possible reaction mechanisms between these are numerous and complex. For example:
[0125]
[Expression 10]
Figure 0004746234
[0126]
Reaction formulas R21-R28 refer to unreduced titanium.
[0127]
Next TiClFourThe pulse reacts with the active site, such as by reaction 29 or 30.
[0128]
[Expression 11]
Figure 0004746234
[0129]
The most preferred nitride surface sites for chemisorbing metal-containing components (particularly metal halides) are ═NH or —NH2A site having a group. = NH and -NH2The surface density of the groups can vary according to the nitrogen source chemical used.
[0130]
When trying to obtain a lower resistivity, titanium with three bonds is preferred, because TiN has a lower resistivity than nitrogen-rich titanium nitride. In the final nitride crystal lattice, the bonding state is more complex than in the simplified scheme above, because the ions occupy different types of sites, and the bonds are ionic or covalent bonds This is because it can have properties and possible dangling bonds near crystal defects and grain boundaries. Each pulsing cycle adds up to a molecular layer of titanium or nitrogen containing the species that form the nitride lattice. However, because of the bulky ligands or few active surface sites around the adsorbed metal atoms, the growth rate can be less than 1 molecular layer per cycle.
[0131]
In the second scheme, tungsten hexafluoride (WF6) Is considered an example of a metal source material, and ammonia (NHThree) Is an example of a nitrogen compound rich in hydrogen. The substrate is silicon dioxide (SiO2) A silicon wafer with a coating. SiO2There are surface sites with -OH groups on top. In a metal pulse, these sites are WF6Reacts with molecules (R19). The next ammonia pulse still generates more HF gas (R20). It should be noted that the presence of W-N bonds is a simplification. In practice, W and N form a lattice, and they share electrons with several neighboring atoms.
[0132]
[Expression 12]
Figure 0004746234
[0133]
Due to high HF production, corrosive side reactions can occur at the surface (R21). All reaction products are very volatile and they leave the substrate. As a result, SiO2Is etched. As a generalization, incompatibility issues are possible when metal fluorides and hydrogen-rich nitrogen compounds come into contact with silicon oxide.
[0134]
[Formula 13]
Figure 0004746234
[0135]
In the third scheme, there is a copper metal coating on the surface of the substrate. Titanium tetrachloride (TiClFour) Is considered an example of a metal chloride source chemical, and ammonia (NHThree) Is an example of a nitrogen compound rich in hydrogen.
[0136]
[Expression 14]
Figure 0004746234
[0137]
With respect to Example 1, corrosion of the copper surface is observed as discussed below.
[0138]
[Expression 15]
Figure 0004746234
[0139]
Example
In carrying out the preferred embodiment, the conditions in the reaction space are preferably arranged to minimize gas phase reactions that can lead to the formation of condensed material. The reaction between the chemical species chemisorbed on the surface and the gaseous reactant is self-saturating. The reaction between the by-product and the gaseous getter forms a volatile compound.
[0140]
Deposition can be performed over a wide range of pressure conditions, but it is preferred to operate the process at reduced pressure. The pressure in the reactor is preferably maintained from about 0.01 mbar to 50 mbar, more preferably from about 0.1 mbar to 10 mbar.
[0141]
The substrate temperature is kept low enough to keep the bonds between the subsurface thin film atoms intact and to prevent thermal decomposition of the gaseous source chemical. On the other hand, the substrate temperature provides an activation energy barrier for surface reactions, to prevent physisorption of the source material and to minimize the condensation of gaseous reactants in the reaction space. Maintained high enough. Depending on the reactants, the temperature of the substrate is typically from 100 ° C to 700 ° C, preferably from about 250 ° C to 400 ° C.
[0142]
The source temperature is preferably set below the substrate temperature. This means that the controlled layer-by-layer growth of the thin film is compromised when the partial pressure of the source chemical vapor exceeds the condensation limit at the substrate temperature. Based on the facts.
[0143]
Since the growth reaction is based on a self-saturated surface reaction, there is no need to set tight boundaries for the pulse and purge times. The amount of time available for the pulsing cycle is primarily limited by economic factors, such as the desired throughput of product from the reactor. Very thin film layers can be formed with relatively few pulsing cycles, and in some cases this allows the use of low vapor pressure source materials with relatively long pulse times.
[0144]
Example 1: TiCl Four And NH Three Of TiN from
A 200-mm silicon wafer coated with PVD copper is commercially available from Pulsar 2000 from ASM Microchemistry Oy, Espoo, Finland.TMLoaded into the ALD reactor. The substrate was heated to 400 ° C. in a flowing nitrogen atmosphere. The reactor pressure was adjusted to about 5 mbar by a mass flow controller and vacuum pump in the nitrogen line. Next, TiNxThe layers are separated by TiCl separated by inert nitrogen gas.FourAnd NHThreeAnd grown by ALD from successive pulses.
[0145]
One deposition cycle consisted of the following steps:
・ TiClFourPulse, 0.05s
・ N2Purge, 1.0s
・ NHThreePulse, 0.75s
・ N2Purge, 1.0 s.
[0146]
This cycle was repeated 300 times to give about 5-nm TiNxA film was formed. TiNxThe growth rate of the film was about 0.17 cm / cycle. The wafer was then unloaded from the reactor for analysis. A resistivity of 150 μΩcm was obtained by four-point probe and energy-dispersive spectroscopy (EDS) measurements.
[0147]
[Expression 16]
Figure 0004746234
[0148]
Formula R37 is a simplified presentation of the reaction. On the surface, TiClFourIt is assumed that there are reactive sites (e.g., -NH and = NH) that attract molecules. TiClFourAfter the pulse, on the surface, perhaps -TiClThreeAnd = TiCl2Group is present, which is the NH of the next pulseThreeCan react with molecules.
[0149]
The theoretical result of equation R37 is that TiN of uniform thickness over the copper surfacexIt is a membrane. However, FIG. 2 shows that there was pitting corrosion on the copper film. Corrosion is initiated when HCl formed as a by-product in nitride growth (R37) reacts with copper. HCl is easily removed with excess NHThreeReacts with ammonium chloride (NHFourCl) to form NHFourIt is also possible for Cl to act as a gas phase carrier for copper chloride.
[0150]
Example 2: WF 6 And NH Three Of WN from water
A 200-mm silicon wafer coated with PVD copper was loaded into a Pulsar 2000 ALD reactor. The substrate was heated to 400 ° C. in a flowing nitrogen atmosphere. The reactor pressure was adjusted to about 5 mbar by a mass flow controller and a vacuum pump in the nitrogen line. Next, WNxWF separated by inert nitrogen gas6And NHThreeAnd grown by ALD from successive pulses.
[0151]
One deposition cycle consisted of the following steps:
・ WF6Pulse, 0.25s
・ N2Purge, 1.0s
・ NHThreePulse, 0.75s
・ N2Purge, 1.0 s.
[0152]
This cycle was repeated 70 times to give about 5-nm WNxA film was formed. WNxThe film growth rate was about 0.6 Å / cycle. The wafer was then removed from the reactor for analysis.
[0153]
Etch damage to the copper film was visible even under an optical microscope due to the nitride process. A large amount of HF was derived from the process (R38). HF can attack the copper surface (R39). Copper corrosion was not expected because the vapor pressure of copper fluoride was low at the substrate temperature. However, HF also has an extra NH during the ammonia pulse.ThreeEasily reacts to form ammonia fluoride. Therefore, NHFourF can act as a gas phase carrier for CuF and cause corrosion.
[0154]
[Expression 17]
Figure 0004746234
[0155]
Example 3: WC with gettering compound x Deposition of
A 200-mm silicon wafer coated with PVD copper was added to a Pulsar 2000TMLoaded into the ALD reactor. The substrate was heated to about 400 ° C. in a flowing nitrogen atmosphere. The reactor pressure was adjusted to about 5 mbar by a mass flow controller and a vacuum pump in the nitrogen line. A thin film rich in tungsten metal separated by inert nitrogen gas.6And grown by ALD from a continuous pulse of triethylboron (TEB).
[0156]
One deposition cycle consisted of the following steps:
・ WF6Pulse, 0.25s
・ N2Purge, 1.0s
・ TEB pulse, 0.05s
・ N2Purge, 1.0 s.
[0157]
This cycle was repeated 70 times to form an approximately 5-nm W-rich tungsten carbide film. The growth rate of the thin film was about 0.6 kg / cycle. The wafer was then removed from the reactor for analysis. Copper corrosion was not observed by a scanning electron microscope (hereinafter referred to as SEM). WF6The exact reaction mechanism between the monolayer left by the pulse and the TEB pulse is not known. It appears that TEB functions as a halogen getter, forming boron fluoride and ethyl fluoride gas, leaving some carbon in the film.
[0158]
Example 4: Deposition of W / TiN on copper metal
A 200-mm silicon wafer coated with PVD copper was added to a Pulsar 2000TMLoad into ALD reactor. The substrate is heated to 350 ° C. in a flowing nitrogen atmosphere. The reactor pressure is adjusted to about 5 mbar to 10 mbar by a mass flow controller and a vacuum pump in the nitrogen line. A thin film rich in tungsten metal is separated by inert nitrogen gas.6And Nido-pentaborane (BFiveH9) By ALD from continuous pulses.
[0159]
One deposition cycle consists of the following steps:
・ WF6Pulse, 1.0s
・ N2Purge, 1.0s
・ BFiveH9Pulse, 3.0s
・ N2Purge, 1.0 s.
[0160]
This deposition cycle is repeated a sufficient number of times to form an approximately 5-nm W-rich film. Then TiNxThe layers are separated by TiCl separated by inert nitrogen gas.FourAnd NHThreeIt is grown by ALD from the continuous pulse of
[0161]
One deposition cycle consisted of the following steps:
・ TiClFourPulse, 0.05s
・ N2Purge, 1.0s
・ NHThreePulse, 0.75s
・ N2Purge, 1.0 s.
[0162]
This deposition cycle is repeated 200 times to approximately 5-nm TiN over the tungsten film.xA film is formed. Finally, the wafer is removed from the reactor for analysis. Copper corrosion is observed with an optical microscope. Therefore, 5nm W is TiN by ALD.xDuring deposition, it is not sufficient to protect the copper surface from corrosive reactions.
[0163]
Example 5: Deposition of WN using gettering compounds on copper metal
A 200-mm silicon wafer coated with PVD copper was added to a Pulsar 2000TMLoaded into the ALD reactor. The substrate was heated to 400 ° C. in a flowing nitrogen atmosphere. The reactor pressure was adjusted to about 5 mbar by a mass flow controller and a vacuum pump in the nitrogen line. WF separated tungsten nitride thin film by inert nitrogen gas6, TEB and NHThreeAnd grown by ALD from successive pulses.
[0164]
One deposition cycle consisted of the following steps:
・ WF6Pulse, 0.25s
・ N2Purge, 1.0s
・ TEB pulse, 0.05s
・ N2Purge, 1.0s
・ NHThreePurge, 0.75s
・ N2Purge, 1.0 s.
[0165]
This cycle was repeated 70 times to form an approximately 5-nm W-rich film. The growth rate of the thin film was about 0.6 kg / cycle. The wafer was then removed from the reactor for analysis.
[0166]
Copper corrosion was not observed by SEM. WF6The exact reaction mechanism between TEB and TEB is not known. TEB appears to form boron fluoride and ethyl fluoride gas, leaving a negligible residue on the surface.
[0167]
Example 6: Deposition of WN / TiN nanolaminate using gettering compounds
Two different types of 200-mm wafers were used for this experiment. One wafer had a PVD copper coating, while the other wafer had an electrochemically deposited (ECD) copper film. Copper coated wafers, one by one, Pulsar 2000TMLoaded into the ALD reactor. The substrate was heated to 400 ° C. in a flowing nitrogen atmosphere. The reactor pressure was adjusted to about 5 mbar by a mass flow controller and a vacuum pump in the nitrogen line.
[0168]
First, WNxWF separated by inert nitrogen gas pulse6, Triethylboron (TEB) and NHThreeAnd grown by ALD from successive pulses.
[0169]
One deposition cycle consisted of the following steps:
・ WF6Pulse, 0.25s
・ N2Purge, 1.0s
・ TEB pulse, 0.05s
・ N2Purge, 0.3s
・ NHThreePulse, 0.75s
・ N2Purge, 1.0 s.
[0170]
TEB functions as a getter compound that can remove halogen from the surface. The deposition cycle was repeated 70 times and about 5-nm WNxA layer was formed. WNxThe growth rate of was about 0.6 kg / cycle.
[0171]
Next, TiNxLayer, WNxTiCl separated by inert nitrogen gas pulse on the layerFourAnd NHThreeAnd grown by ALD from successive pulses. One deposition cycle consisted of the following steps:
・ TiClFourPulse, 0.05s
・ N2Purge, 1.0s
・ NHThreePulse, 0.75s
・ N2Purge, 1.0 s.
[0172]
This cycle was repeated 300 times to give about 5-nm TiNxWN membranexFormed over the membrane. TiNxThe growth rate of the film was about 0.17 cm / cycle. The wafer was then removed from the reactor for analysis. A resistivity of about 140 μΩcm was obtained by a four-point probe and energy dispersive spectroscopy (EDS) measurement.
[0173]
The same deposition program was used for both types of copper coated silicon. 3 and 4 show that there was no copper pitting or corrosion during deposition. Therefore, 5 nm WNxTiNxDuring deposition, it was sufficient to protect the underlying PVD or ECD copper from corrosion.
[0174]
Example 7: TiN deposition using gettering compounds on copper metal
A 200-mm silicon wafer coated with PVD copper was added to a Pulsar 2000TMLoaded into the ALD reactor. The substrate was heated to 400 ° C. in a flowing nitrogen atmosphere. The reactor pressure was adjusted to about 5 mbar by a mass flow controller and a vacuum pump in the nitrogen line. TiN layer separated by inert nitrogen gas pulse TiClFour, TEB and NHThreeAnd grown by ALD from successive pulses.
[0175]
One deposition cycle consisted of the following steps:
・ TiClFourPulse, 0.05s
・ N2Purge, 1.0s
・ TEB pulse, 0.05s
・ N2Purge, 1.0s
・ NHThreePulse, 0.75s
・ N2Purge, 1.0 s.
[0176]
This cycle was repeated 300 times to give about 5-nm TiNxA film was formed. TiNxThe growth rate of the film was about 0.17 cm / cycle. The wafer was removed from the reactor for inspection. An optical microscope revealed no signs of corrosion when used at x40 magnification. The exact nature of the surface reactions involved is not known. Although not wishing to be limited by theory, TiClFourThe molecules are preferably ═NH and —NH on the surface2It is thought to bind to the group. Some feasible reactions that liberate HCl are shown in (R28) and (R29). TEB appears to have scavenged the liberated HCl.
[0177]
[Formula 18]
Figure 0004746234
[0178]
Further process improvements include NH to absorb the remaining HCl molecules that can be formed in the surface reaction.ThreeThe TEB pulse is added following the pulse. Some possible reactions that liberate more HCl are shown in formulas R42 and R43.
[0179]
[Equation 19]
Figure 0004746234
[0180]
Example 8: Deposition of tantalum nitride
A 50 mm x 50 mm piece of copper-coated silicon wafer is commercially available from ASM Microchemistry, Oy, Espoo, Finland, F-120.TMLoaded into ALD reactor. The substrate was heated to 400 ° C. in a flowing nitrogen atmosphere. The reactor pressure was adjusted to about 5 mbar by a nitrogen mass flow controller and a vacuum pump. The tantalum nitride layer is separated from the TaF by inert nitrogen gas.FiveAnd NHThreeAnd grown by ALD from successive pulses.
[0181]
One deposition cycle consisted of the following steps:
・ TaFFivePulse, 0.2s
・ N2Purge, 1.0s
・ NHThreePulse, 1.0s
・ N2Purge, 2.0s.
[0182]
This cycle was repeated 2000 times and about 16-nm TaxNyA film was formed. The growth rate of the film was about 0.08 kg / cycle. The wafer was removed from the reactor for inspection. Neither the optical microscope nor the SEM showed signs of copper corrosion.
[0183]
Example 9: Deposition of a nanolaminate structure
A silicon substrate is commercially available from ASM Microchemistry, Espoo, Finland, F-200.TMLoaded into ALD reactor. The reactor pressure was adjusted to 5 mbar absolute by a vacuum pump and flowing nitrogen. The substrate was heated to 360 ° C. First, a tantalum nitride film was grown on the substrate by repeating the pulsing sequence. Inert nitrogen gas carried titanium tetrachloride vapor into the reaction chamber. Extra TiClFourAnd reaction by-products2Purged with gas. N after purging2The gas carried ammonia vapor into the reaction chamber. Extra NHThreeAnd reaction by-products2Purge removed with gas:
・ TiClFourPulse, 0.05s
・ N2Purge, 1.0s
・ NHThreePulse, 0.75s
・ N2Purge, 1.0 s.
[0184]
A tungsten nitride thin film was grown on top of the titanium nitride film by repeating another pulsing sequence:
・ WF6Pulse, 0.25s
・ N2Purge, 1.0s
・ TEB pulse, 0.05s
・ N2Purge, 0.3s
・ NHThreePulse, 0.75s
・ N2Purge, 1.0 s.
[0185]
Processing continued by depositing alternating thin film layers of titanium and tungsten nitride. Depending on the sample, a total of 6-18 nitride thin film layers were deposited. The total thickness of the ALD nanolaminate was about 70 nm. The film appeared as a dark, light reflecting mirror. The color was slightly reddish, unlike titanium or tungsten nitride. The membrane was analyzed by transmission electron microscopy (TEM), energy dispersive spectroscopy (EDS) and four point probe measurements. A TEM photograph (FIG. 10) showed a clear nanolaminate structure with separate titanium and tungsten nitride thin film layers. According to EDS, there were titanium, tungsten and nitrogen molecules in the film. The amount of impurities was estimated to be less than 1 at .-%. The membrane was electrically conductive. The resistivity was calculated by combining thickness (EDS) and 4-point probe results. The resistivity of the non-optimized sample was about 400 μΩ-cm.
[0186]
Example 10: Deposition of metal / metal nitride nanolaminate using two transition metal sources
Nanolaminates were made using alternating thin film layers of metal and metal nitride using the ALD process described above. Two different transition metal sources were used for the thin film layers.
[0187]
Thin film layer 4: tantalum nitride
Thin film layer 3: Tungsten metal
Thin film layer 2: tantalum nitride
Thin film layer 1: Tungsten metal
Substrate.
[0188]
An odd number of thin film layers (1, 3, 5, etc.) were deposited from a tungsten source chemical and a reducing source chemical. An even number of thin film layers (2, 4, 6, etc.) were deposited from tantalum source chemicals, optional reducing source chemicals and nitrogen source chemicals. All source chemical pulses were separated from each other using an inert purge gas.
[0189]
Example 11: Deposition of metal / metal nitride nanolaminate using one transition metal
Nanolaminates were made using alternating thin film layers of metal nitride and metal. One transition metal source was used for the thin film layer.
[0190]
Thin film layer 4: Tungsten metal
Thin film layer 3: tungsten nitride
Thin film layer 2: Tungsten metal
Thin film layer 1: tungsten nitride
Substrate.
[0191]
An odd number of thin film layers (1, 3, 5, etc.) were deposited from tungsten source chemicals, optional reducing source chemicals and nitrogen source chemicals. An even number of thin film layers (2, 4, 6, etc ...) were deposited from tungsten source chemicals and reducing chemicals. All source chemical pulses were separated from each other using an inert purge gas.
[0192]
While the foregoing invention has been described in terms of certain preferred embodiments, other embodiments will be apparent to those skilled in the art in view of the disclosure herein. Accordingly, the invention is not intended to be limited by the description of the preferred embodiments, but rather is defined by reference to the appended claims.
[Brief description of the drawings]
These and other aspects of the invention will be readily apparent to those skilled in the art in view of the above description and the accompanying drawings, which are meant to illustrate and not limit the invention.
FIG. 1 is a scanning electron micrograph (SEM) taken from a copper film formed by physical vapor deposition (PVD). The measurement voltage was 10 kV.
FIG. 2 is an SEM taken from TiN-covered PVD copper following an ALD process that did not use getter or scavenger pulses. The black area of the photograph shows the area of copper etched during TiN processing.
FIG. 3 is an SEM taken from PVD copper first covered with WN and then with TiN according to a preferred embodiment of the invention (Example 6).
FIG. 4 was taken from electrochemically deposited (ECD) copper first covered with WN and then with TiN according to a preferred embodiment of the invention (Example 6). SEM.
FIG. 5 is a graph representing the equilibrium state of a compound between tantalum, fluorine and copper as a function of temperature. The source chemical for the calculation is 10 mol TaFFiveAnd 1 mol Cu.
FIG. 6 is an illustrative example of metal and metal compound deposition over which consists of a dual damascene structure in a partially fabricated integrated circuit having exposed copper and insulating oxide surfaces. It is sectional drawing of a workpiece.
FIG. 7 shows the workpiece of FIG. 6 after lining dual damascene trenches and contact vias with conformal thin films according to a preferred embodiment.
FIG. 8 is a flowchart generally illustrating a method for forming a binary compound by atomic layer deposition (ALD), according to some of the preferred embodiments.
FIG. 9 is a schematic diagram of the first four thin film layers of an ALD nitride nanolaminate and a pulsing sequence for each thin film layer.
FIG. 10 is a transmission electron microscope (TEM) photograph of a nitride nanolaminate structure.
FIG. 11 is a table showing refractive metal halides that react (X) or do not react (O) with copper metal. (X) means lack of sufficient data to draw conclusions regarding the ability to use these reactants without gettering. Metals are in their highest possible oxidation state. The Gibbs free energy of reaction was calculated by a computer program (HSC Chemistry, version 3.02, Autokumpu Research Oy, Pori, Finland).

Claims (38)

反応空間内の基体上に伝導性ナノラミネート構造を形成するための方法であって、少なくとも1つの金属化合物層を含む一連のおよび交互の自己飽和表面反応を含む原子層堆積(ALD)タイププロセスにより少なくとも3つの隣接する薄膜層を堆積させることを含み、ここで、各少なくとも3つの薄膜層が直接隣接する少なくとも3つの薄膜層の中の一つとは異なる相であり、
前記ナノラミネート構造が、ハロゲン化物攻撃に敏感な基体上に形成され、
前記原子層堆積(ALD)タイププロセスが、複数の堆積サイクルにおいて反応物の交互パルスを提供することを含み、前記堆積サイクルが、以下:
第一反応物を供給して、前記基体の表面上にわたってハロゲン化物終結化学種の1の単層又はそれに満たない単層を化学吸着すること;
前記反応空間から過剰な第一反応物を除去すること;および
該単層からハロゲン化物をゲッタリングすること、
を含む方法。
A method for forming a conductive nanolaminate structure on a substrate in a reaction space by an atomic layer deposition (ALD) type process comprising a series of and alternating self-saturated surface reactions comprising at least one metal compound layer Depositing at least three adjacent thin film layers, wherein each at least three thin film layers are in a different phase from one of the at least three adjacent thin film layers;
The nanolaminate structure is formed on a substrate sensitive to halide attack,
The atomic layer deposition (ALD) type process includes providing alternating pulses of reactants in multiple deposition cycles, the deposition cycle comprising:
Supplying a first reactant to chemisorb one monolayer of halide-terminated species or less than one monolayer over the surface of the substrate;
Removing excess first reactant from the reaction space; and gettering halide from the monolayer;
Including methods.
前記ナノラミネート構造が原子層堆積(ALD)タイププロセスにより堆積された少なくとも4つの隣接する薄膜層を含む、請求項1に記載の方法。  The method of claim 1, wherein the nanolaminate structure comprises at least four adjacent thin film layers deposited by an atomic layer deposition (ALD) type process. 前記少なくとも3つの隣接する薄膜層の各々が直接隣接する少なくとも3つの薄膜層の中の一つとは異なる組成を有する、請求項2に記載の方法。  The method of claim 2, wherein each of the at least three adjacent thin film layers has a different composition than one of the at least three adjacent thin film layers. 前記金属化合物層が金属炭化物を含む、請求項1に記載の方法。  The method of claim 1, wherein the metal compound layer comprises a metal carbide. 前記金属化合物層が金属窒化物を含む、請求項1に記載の方法。  The method of claim 1, wherein the metal compound layer comprises a metal nitride. 前記少なくとも3つの隣接する薄膜層の中の少なくとも1つの薄膜層が元素金属を含む、請求項1に記載の方法。  The method of claim 1, wherein at least one of the at least three adjacent thin film layers comprises elemental metal. 前記ナノラミネート構造が、集積回路における拡散バリアである、請求項1に記載の方法。  The method of claim 1, wherein the nanolaminate structure is a diffusion barrier in an integrated circuit. 原子層堆積(ALD)プロセスにより反応空間内のハロゲン化物攻撃に敏感な基体上に伝導性ナノラミネート構造を形成するための方法であって、該ナノラミネート構造が少なくとも1つの金属化合物層を含む少なくとも2つの隣接する薄膜層を含み、ここで、各少なくとも2つ隣接する薄膜層が直接隣接する少なくとも2つの隣接する薄膜層の中の一つとは異なる相であり、および前記原子層堆積プロセスが、複数の堆積サイクルにおいて反応物の交互パルスを提供することを含み、前記堆積サイクルが、以下:
第一反応物を供給して、前記基体の表面上にわたってハロゲン化物化学種の1の単層又はそれに満たない単層を化学吸着すること;
前記反応空間から過剰な第一反応物を除去すること;および
該単層からハロゲン化物をゲッタリングすること、
を含み、
前記表面が銅を含む、方法。
A method for forming a conductive nanolaminate structure on a substrate sensitive to halide attack in a reaction space by an atomic layer deposition (ALD) process, the nanolaminate structure comprising at least one metal compound layer Comprising two adjacent thin film layers, wherein each at least two adjacent thin film layers are in a different phase from one of at least two adjacent thin film layers, and the atomic layer deposition process comprises: Providing alternating pulses of reactants in a plurality of deposition cycles, the deposition cycle comprising:
Providing a first reactant to chemisorb one monolayer or less of the halide species over the surface of the substrate;
Removing excess first reactant from the reaction space; and gettering halide from the monolayer;
Including
The method wherein the surface comprises copper.
前記表面がさらに酸化ケイ素の形態を含む、請求項8に記載の方法。  The method of claim 8, wherein the surface further comprises a form of silicon oxide. 原子層堆積(ALD)プロセスにより反応空間内のハロゲン化物攻撃に敏感な基体上に伝導性ナノラミネート構造を形成するための方法であって、該ナノラミネート構造が少なくとも1つの金属化合物層を含む少なくとも2つの隣接する薄膜層を含み、ここで、各少なくとも2つ隣接する薄膜層が直接隣接する少なくとも2つの隣接する薄膜層の中の一つとは異なる相であり、および前記原子層堆積プロセスが、複数の堆積サイクルにおいて反応物の交互パルスを提供することを含み、前記堆積サイクルが、以下:
第一反応物を供給して、前記基体の表面上にわたってハロゲン化物化学種の1の単層又はそれに満たない単層を化学吸着すること;
前記反応空間から過剰な第一反応物を除去すること;および
該単層からハロゲン化物をゲッタリングすること、
を含み、
前記基体の表面が、銅上5nm厚未満の材料によって形成される、方法。
A method for forming a conductive nanolaminate structure on a substrate sensitive to halide attack in a reaction space by an atomic layer deposition (ALD) process, the nanolaminate structure comprising at least one metal compound layer Comprising two adjacent thin film layers, wherein each at least two adjacent thin film layers are in a different phase from one of at least two adjacent thin film layers, and the atomic layer deposition process comprises: Providing alternating pulses of reactants in a plurality of deposition cycles, the deposition cycle comprising:
Providing a first reactant to chemisorb one monolayer or less of the halide species over the surface of the substrate;
Removing excess first reactant from the reaction space; and gettering halide from the monolayer;
Including
Surface of the substrate is formed by a 5nm thickness of less than material on the copper, the method.
原子層堆積(ALD)プロセスにより反応空間内のハロゲン化物攻撃に敏感な基体上に伝導性ナノラミネート構造を形成するための方法であって、該ナノラミネート構造が少なくとも1つの金属化合物層を含む少なくとも2つの隣接する薄膜層を含み、ここで、各少なくとも2つ隣接する薄膜層が直接隣接する少なくとも2つの隣接する薄膜層の中の一つとは異なる相であり、および前記原子層堆積プロセスが、複数の堆積サイクルにおいて反応物の交互パルスを提供することを含み、前記堆積サイクルが、以下:
第一反応物を供給して、該基体の表面上にわたってハロゲン化物化学種の1の単層又はそれに満たない単層を化学吸着すること;
前記反応空間から過剰な第一反応物を除去すること;および
該単層からハロゲン化物をゲッタリングすること、
を含み、
ゲッタリングが、前記ハロゲン化物化学種をホウ素化合物へ曝すことにより還元することを含む、方法。
A method for forming a conductive nanolaminate structure on a substrate sensitive to halide attack in a reaction space by an atomic layer deposition (ALD) process, the nanolaminate structure comprising at least one metal compound layer Comprising two adjacent thin film layers, wherein each at least two adjacent thin film layers are in a different phase from one of at least two adjacent thin film layers, and the atomic layer deposition process comprises: Providing alternating pulses of reactants in a plurality of deposition cycles, the deposition cycle comprising:
Providing a first reactant to chemisorb one monolayer or less of the halide species over the surface of the substrate;
Removing excess first reactant from the reaction space; and gettering halide from the monolayer;
Including
A method wherein the gettering comprises reducing the halide species by exposure to a boron compound.
前記ホウ素化合物がトリエチルボロン(TEB)を含む、請求項11に記載の方法。  The method of claim 11, wherein the boron compound comprises triethylboron (TEB). 前記ナノラミネート構造が伝導拡散バリアである、請求項1に記載の方法。  The method of claim 1, wherein the nanolaminate structure is a conductive diffusion barrier. 反応空間中の基体上に材料を堆積させる方法であって、該基体がハロゲン化物攻撃に敏感な表面を含み、該方法が、複数の堆積サイクルにおいて反応物の交互パルスを提供することを含み、前記堆積サイクルが、以下:
第一反応物を供給して、該表面上にわたってハロゲン化物終結化学種の1の単層又はそれに満たない単層を化学吸着すること;
該反応空間から過剰な第一反応物および反応副生成物を除去すること;および
ホウ素化合物へ曝すことにより該単層からハロゲン化物をゲッタリングすること、
を含む、方法。
A method of depositing material on a substrate in a reaction space, the substrate comprising a surface that is sensitive to halide attack, the method comprising providing alternating pulses of the reactant in multiple deposition cycles; The deposition cycle is as follows:
Supplying a first reactant to chemisorb one monolayer of halide-terminated species or less than that monolayer on the surface ;
Removing excess first reactant and reaction by-products from the reaction space; and gettering halide from the monolayer by exposure to a boron compound;
Including a method.
前記第一反応物が金属ハロゲン化物を含む、請求項14に記載の方法。  The method of claim 14, wherein the first reactant comprises a metal halide. ハロゲン化物をゲッタリングした後に、第二反応物を供給して前記ハロゲン化物終結化学種と反応させることをさらに含む、請求項14に記載の方法。  15. The method of claim 14, further comprising providing a second reactant to react with the halide terminating species after gettering the halide. 前記第二反応物が窒素のソースを含み、そして前記材料が遷移金属窒化物を含む、請求項16に記載の方法。  The method of claim 16, wherein the second reactant comprises a source of nitrogen and the material comprises a transition metal nitride. 炭素ソースを供給して前記ハロゲン化物終結化学種と反応させることをさらに含み、そして前記材料が遷移金属炭化物を含む、請求項14に記載の方法。  15. The method of claim 14, further comprising providing a carbon source to react with the halide terminating species and the material comprises a transition metal carbide. 前記材料がナノラミネートスタック内に薄膜を含む、請求項14に記載の方法。  The method of claim 14, wherein the material comprises a thin film in a nanolaminate stack. 前記ナノラミネートスタックが、集積回路における拡散バリアである、請求項19に記載の方法。  The method of claim 19, wherein the nanolaminate stack is a diffusion barrier in an integrated circuit. 前記材料が金属窒化物である、請求項14に記載の方法。  The method of claim 14, wherein the material is a metal nitride. 前記材料が金属炭化物である、請求項14に記載の方法。  The method of claim 14, wherein the material is a metal carbide. 前記第二反応物が水素保有反応物である、請求項16に記載の方法。  The method of claim 16, wherein the second reactant is a hydrogen-carrying reactant. 前記第二反応物がアンモニアである、請求項16に記載の方法。  The method of claim 16, wherein the second reactant is ammonia. 前記表面がアルミニウム、銅、ケイ素、酸化ケイ素、被覆ケイ素(coated silicon)、low−k材料、遷移金属窒化物、金属酸化物、及び窒化ケイ素からなる群から選択される材料を含む、請求項16に記載の方法。  17. The surface comprises a material selected from the group consisting of aluminum, copper, silicon, silicon oxide, coated silicon, low-k material, transition metal nitride, metal oxide, and silicon nitride. The method described in 1. 前記表面が銅を含む、請求項16に記載の方法。  The method of claim 16, wherein the surface comprises copper. ゲッタリングが還元を含む、請求項16に記載の方法。  The method of claim 16, wherein gettering comprises reduction. 前記ホウ素化合物がトリエチルボロン(TEB)を含む、請求項16に記載の方法。  The method of claim 16, wherein the boron compound comprises triethylboron (TEB). a)不活性キャリアガスを有する反応空間に金属ソース化学物質の気相パルスを供給する工程;
b)不活性ガスを有する反応空間をパージングする工程;
c)反応空間にゲッタリング化合物の気相パルスを供給する工程;
d)不活性ガスを有する反応空間をパージングする工程;および
e)所望の厚さの炭素含有金属膜が形成されるまで工程a)からd)を繰り返す工程;
ここで前記ゲッタリング化合物が炭素を含み膜中に炭素を残す、
の連続工程を含む空間での反応における基体上での炭素含有金属膜を成長させる原子層体積(ALD)プロセス。
a) supplying a gas phase pulse of a metal source chemical to a reaction space having an inert carrier gas;
b) purging the reaction space with inert gas;
c) supplying a gas phase pulse of the gettering compound to the reaction space;
d) purging a reaction space having an inert gas; and e) repeating steps a) to d) until a carbon-containing metal film having a desired thickness is formed;
Wherein the gettering compound contains carbon, leaving carbon in the film,
An atomic layer volume (ALD) process for growing a carbon-containing metal film on a substrate in a reaction in a space comprising successive steps of:
前記金属ソース化学物質中の金属がW、Mo、Cr、Ta、Nb、V、Hf、Zr及びTiからなる群から選択される、請求項29に記載のプロセス30. The process of claim 29, wherein the metal in the metal source chemical is selected from the group consisting of W, Mo, Cr, Ta, Nb, V, Hf, Zr and Ti. 前記金属ソース化学物質が金属ハロゲン化物からなる群から選択される、請求項29に記載のプロセス30. The process of claim 29, wherein the metal source chemical is selected from the group consisting of metal halides. 前記金属ソース化学物質が金属塩化物からなる群から選択される、請求項31に記載のプロセス32. The process of claim 31, wherein the metal source chemical is selected from the group consisting of metal chlorides. 前記金属ソース化学物質がTaCl、TiCl及びNbClからなる群から選択される、請求項32に記載のプロセスThe metal source chemical is selected from the group consisting of TaCl 5, TiCl 4 and NbCl 5, The process of claim 32. 前記ゲッタリング化合物が少なくとも一つの金属−炭素結合を有するアルキルアルミニウム、アルキル亜鉛、有機−鉛、有機−鉄、ガリウム及びインジウム化合物からなる群から選択される、請求項29に記載のプロセス30. The process of claim 29, wherein the gettering compound is selected from the group consisting of alkyl aluminum, alkyl zinc, organic-lead, organic-iron, gallium and indium compounds having at least one metal-carbon bond. 前記ゲッタリング化合物がトリメチルアルミニウムである、請求項34に記載のプロセス35. The process of claim 34, wherein the gettering compound is trimethylaluminum. 前記炭素含有金属膜が金属炭化物を含む、請求項29に記載のプロセス30. The process of claim 29, wherein the carbon-containing metal film comprises a metal carbide. 前記金属炭化物が炭化タングステン、炭化タンタル、炭化ニオブ及び炭化チタンからなる群から選択される、請求項36に記載のプロセス37. The process of claim 36, wherein the metal carbide is selected from the group consisting of tungsten carbide, tantalum carbide, niobium carbide, and titanium carbide. 前記基体が金属酸化物又は酸化ケイ素を含む、請求項29に記載のプロセス30. The process of claim 29, wherein the substrate comprises a metal oxide or silicon oxide.
JP2001531142A 1999-10-15 2000-10-16 Method for depositing nanolaminate thin films on sensitive surfaces Expired - Lifetime JP4746234B2 (en)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US17579999P 1999-10-15 1999-10-15
FI992234A FI117944B (en) 1999-10-15 1999-10-15 A method for growing transition metal nitride thin films
FI992233A FI118158B (en) 1999-10-15 1999-10-15 Process for modifying the starting chemical in an ALD process
FI19992234 1999-10-15
FI19992233 1999-10-15
FI992235A FI117943B (en) 1999-10-15 1999-10-15 Deposition of metal carbide film on substrate, e.g. integrated circuit, involves atomic layer deposition
US60/175,799 1999-10-15
FI19992235 1999-10-15
US17694800P 2000-01-18 2000-01-18
US60/176,948 2000-01-18
FI20000564A FI119941B (en) 1999-10-15 2000-03-10 A process for preparing nanolaminates
FI20000564 2000-03-10
PCT/US2000/028654 WO2001029893A1 (en) 1999-10-15 2000-10-16 Method for depositing nanolaminate thin films on sensitive surfaces

Publications (3)

Publication Number Publication Date
JP2003524888A JP2003524888A (en) 2003-08-19
JP2003524888A5 JP2003524888A5 (en) 2007-12-27
JP4746234B2 true JP4746234B2 (en) 2011-08-10

Family

ID=44541511

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001531142A Expired - Lifetime JP4746234B2 (en) 1999-10-15 2000-10-16 Method for depositing nanolaminate thin films on sensitive surfaces

Country Status (1)

Country Link
JP (1) JP4746234B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004059668B3 (en) 2004-12-10 2006-07-13 Infineon Technologies Ag Semiconductor technology process for producing a conductive layer
DE102005023122A1 (en) * 2005-05-19 2006-11-23 Infineon Technologies Ag Integrated circuit arrangement with layer stack and method
JP5864503B2 (en) * 2013-09-30 2016-02-17 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, program, and recording medium
JP6788393B2 (en) * 2016-06-29 2020-11-25 東京エレクトロン株式会社 How to form a copper film
JP7036832B2 (en) * 2017-09-25 2022-03-15 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing device, program and substrate processing method
JP7155390B2 (en) * 2019-02-28 2022-10-18 株式会社Kokusai Electric SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, PROGRAM AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
JP7157236B2 (en) 2019-03-06 2022-10-19 株式会社Kokusai Electric Substrate processing method, semiconductor device manufacturing method, program, and substrate processing apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1012724A (en) * 1996-06-19 1998-01-16 Sony Corp Wiring connection and manufacture thereof
JPH1074709A (en) * 1996-08-29 1998-03-17 Nec Corp Semiconductor device and its manufacture
JPH1079481A (en) * 1996-09-05 1998-03-24 Mitsubishi Electric Corp Conductive layer connecting structure and its manufacture
JPH1154459A (en) * 1997-08-07 1999-02-26 Ulvac Japan Ltd Formation of barrier film
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1012724A (en) * 1996-06-19 1998-01-16 Sony Corp Wiring connection and manufacture thereof
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1074709A (en) * 1996-08-29 1998-03-17 Nec Corp Semiconductor device and its manufacture
JPH1079481A (en) * 1996-09-05 1998-03-24 Mitsubishi Electric Corp Conductive layer connecting structure and its manufacture
JPH1154459A (en) * 1997-08-07 1999-02-26 Ulvac Japan Ltd Formation of barrier film

Also Published As

Publication number Publication date
JP2003524888A (en) 2003-08-19

Similar Documents

Publication Publication Date Title
US6902763B1 (en) Method for depositing nanolaminate thin films on sensitive surfaces
US7749871B2 (en) Method for depositing nanolaminate thin films on sensitive surfaces
KR100737901B1 (en) Method for depositing nanolaminate thin films on sensitive surfaces
JP4938962B2 (en) Metal nitride deposition by ALD using gettering reactant
TWI830083B (en) Vapor deposition of molybdenum using a bis(alkyl-arene) molybdenum precursor
US10297444B2 (en) Enhanced thin film deposition
US7611751B2 (en) Vapor deposition of metal carbide films
US6863727B1 (en) Method of depositing transition metal nitride thin films
US20070197027A1 (en) Formation of boride barrier layers using chemisorption techniques
TWI385730B (en) Methods of fabricating a barrier layer with varying composition for copper metallization
KR20140112440A (en) Deposition of smooth metal nitride films
Elers et al. Atomic layer deposition of WxN/TiN and WNxCy/TiN nanolaminates
JP4746234B2 (en) Method for depositing nanolaminate thin films on sensitive surfaces
TW550306B (en) Method for depositing layered structure on sensitive surfaces

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040701

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040701

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071012

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071025

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091211

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100713

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101012

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101019

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101112

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101213

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101217

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110301

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110510

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110513

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140520

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4746234

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250