JP4521409B2 - Vertical semiconductor device structure and method for forming the same - Google Patents

Vertical semiconductor device structure and method for forming the same Download PDF

Info

Publication number
JP4521409B2
JP4521409B2 JP2006550157A JP2006550157A JP4521409B2 JP 4521409 B2 JP4521409 B2 JP 4521409B2 JP 2006550157 A JP2006550157 A JP 2006550157A JP 2006550157 A JP2006550157 A JP 2006550157A JP 4521409 B2 JP4521409 B2 JP 4521409B2
Authority
JP
Japan
Prior art keywords
spacer
nanotube
gate electrode
substrate
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006550157A
Other languages
Japanese (ja)
Other versions
JP2007520073A (en
JP2007520073A5 (en
Inventor
フルカワ、トシハル
ハキー、マーク、チャールズ
ホームズ、スティーブン、ジョン
ホラーク、デービッド、バツラフ
ミッチェル、ピーター
ネスビット、ラリー、アラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007520073A publication Critical patent/JP2007520073A/en
Publication of JP2007520073A5 publication Critical patent/JP2007520073A5/ja
Application granted granted Critical
Publication of JP4521409B2 publication Critical patent/JP4521409B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/491Vertical transistors, e.g. vertical carbon nanotube field effect transistors [CNT-FETs]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • H10K19/10Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00 comprising field-effect transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/221Carbon nanotubes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/701Integrated with dissimilar structures on a common substrate
    • Y10S977/707Integrated with dissimilar structures on a common substrate having different types of nanoscale structures or devices on a common substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/701Integrated with dissimilar structures on a common substrate
    • Y10S977/72On an electrically conducting, semi-conducting, or semi-insulating substrate
    • Y10S977/721On a silicon substrate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/724Devices having flexible or movable element

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

Vertical field effect transistors having a channel region defined by at least one semiconducting nanotube and methods for fabricating such vertical field effect transistors by chemical vapor deposition using a spacer-defined channel. Each nanotube is grown by chemical vapor deposition catalyzed by a catalyst pad positioned at the base of a high-aspect-ratio passage defined between a spacer and a gate electrode. Each nanotube grows in the passage with a vertical orientation constrained by the confining presence of the spacer. A gap may be provided in the base of the spacer remote from the mouth of the passage. Reactants flowing through the gap to the catalyst pad participate in nanotube growth.

Description

本発明は、半導体デバイスの製造に関し、より具体的には、チャネル領域として半導体ナノチューブを組み込んだ垂直型電界効果トランジスタ、及び、こうした垂直型電界効果トランジスタを製造する方法に関する。   The present invention relates to the manufacture of semiconductor devices, and more specifically to a vertical field effect transistor incorporating a semiconductor nanotube as a channel region and a method of manufacturing such a vertical field effect transistor.

従来の電界効果トランジスタ(FET)は、基本的な構成要素として集積回路(IC)チップの複雑な回路に一般的に組み込まれる、よく知られた通常のデバイスである。単一のICチップは、レジスタ及びキャパシタといった他の受動素子と共に、伝導パスによって相互接続された数千から数百万のFETを備える場合がある。FETは、ソースとドレインとを分離するチャネル領域におけるチャネルの抵抗率を変化させることによって作動する。キャリアは、電気抵抗の変化に比例して、チャネルを通ってソースからドレインに流れる。nチャネル型FETにおいては、電子がチャネル内の伝導に関与し、pチャネル型FETにおいては、正孔がチャネル内の伝導に関与する。ソースとドレインとの間のチャネル領域の上に配置された、静電結合されたゲート電極に電圧を印加することによって、FETの出力電流が変化する。薄いゲート誘電体が、ゲート電極をチャネル領域から電気的に絶縁する。ゲート電圧のわずかな変化が、ソースからドレインに流れる電流の大きな変化を引き起こすことがある。   A conventional field effect transistor (FET) is a well-known ordinary device that is typically incorporated as a basic component into the complex circuitry of an integrated circuit (IC) chip. A single IC chip may include thousands to millions of FETs interconnected by conduction paths, along with other passive components such as resistors and capacitors. The FET operates by changing the resistivity of the channel in the channel region that separates the source and drain. Carriers flow from the source to the drain through the channel in proportion to the change in electrical resistance. In the n-channel FET, electrons are involved in conduction in the channel, and in the p-channel FET, holes are involved in conduction in the channel. By applying a voltage to the capacitively coupled gate electrode located above the channel region between the source and drain, the output current of the FET changes. A thin gate dielectric electrically insulates the gate electrode from the channel region. A slight change in the gate voltage can cause a large change in the current flowing from the source to the drain.

FETは、水平型アーキテクチャと垂直型アーキテクチャに分類することができる。水平型FETでは、これらが形成される基板の水平面に平行な方向に、ソースからドレインへのキャリアの流れが発生する。垂直型FETでは、これらが形成される基板の水平面に垂直な方向に、ソースからドレインへのキャリアの流れが発生する。垂直型FETのチャネル長は、リソグラフィ装置及び方法によって分解可能な最小形状に依存しないため、垂直型FETは、水平型FETより短いチャネル長を持つように作ることができる。したがって、垂直型FETは、水平型FETと比べて、より速くスイッチングし、より高い電力処理能力を持つ。   FETs can be classified into horizontal and vertical architectures. In the horizontal FET, carriers flow from the source to the drain in a direction parallel to the horizontal plane of the substrate on which they are formed. In the vertical FET, carriers flow from the source to the drain in the direction perpendicular to the horizontal plane of the substrate on which they are formed. Since the channel length of the vertical FET does not depend on the minimum shape that can be resolved by the lithographic apparatus and method, the vertical FET can be made to have a shorter channel length than the horizontal FET. Therefore, the vertical FET switches faster and has a higher power handling capability than the horizontal FET.

カーボン・ナノチューブは、FETなどのハイブリッド・デバイスの形成に用いるために提案された、炭素原子の六角形リングでできたナノスケールの高アスペクト比シリンダである。カーボン・ナノチューブは、導電性形態で効率的に伝導し、半導体形態で半導体として働く。水平型FETは、チャネル領域として単一の半導体カーボン・ナノチューブを用い、基板の表面上に位置する金ソース電極と金ドレイン電極との間に延びるカーボン・ナノチューブの両端においてオーム接触を形成するように製造された。ゲート電極は、カーボン・ナノチューブの下の、ほぼソース電極とドレイン電極との間の基板内に、定められる。基板の露出面は、埋め込まれたゲート電極とカーボン・ナノチューブとの間にゲート誘電体を定めるように酸化される。こうした水平型FETは、カーボン・ナノチューブの寸法が小さいため、比較対象のシリコン・ベースのデバイス構造体に比べて遥かに低い電力を消費しながら、確実にスイッチングするはずである。これらの水平型FETデバイス構造体は、原子間力顕微鏡を用いて単一のカーボン・ナノチューブを操作することによって、実験室条件下では形成することに成功したが、大量生産技術とは両立しない。   Carbon nanotubes are nanoscale high aspect ratio cylinders made of hexagonal rings of carbon atoms that have been proposed for use in the formation of hybrid devices such as FETs. Carbon nanotubes conduct efficiently in a conductive form and work as a semiconductor in a semiconductor form. A horizontal FET uses a single semiconductor carbon nanotube as the channel region and forms ohmic contacts at both ends of the carbon nanotube extending between the gold source electrode and the gold drain electrode located on the surface of the substrate. manufactured. A gate electrode is defined in the substrate below the carbon nanotubes, approximately between the source and drain electrodes. The exposed surface of the substrate is oxidized to define a gate dielectric between the buried gate electrode and the carbon nanotube. Such horizontal FETs should switch reliably while consuming much lower power than the silicon-based device structure being compared, due to the small size of the carbon nanotubes. These horizontal FET device structures have been successfully formed under laboratory conditions by manipulating single carbon nanotubes using an atomic force microscope, but are not compatible with mass production techniques.

したがって、必要とされるのは、ICチップの大量生産技術に対応した、チャネル領域として1つ又は複数の半導体カーボン・ナノチューブを組み込んだ垂直型FET構造体である。   Therefore, what is needed is a vertical FET structure that incorporates one or more semiconductor carbon nanotubes as a channel region that is compatible with IC chip mass production technology.

本発明によれば、実質的に水平面を定める基板と、該基板から垂直に突出し、垂直側壁を含むゲート電極と、該垂直側壁の側面に位置するスペーサと、を含む垂直型半導体デバイス構造が提供される。ゲート電極とスペーサとの間に位置決めされるのは、対向する第1の端部と第2の端部との間に延び、実質的に垂直な配向を持つ、半導体ナノチューブである。カーボン・ナノチューブとゲート電極との間の垂直側壁上に配置されるのは、ゲート誘電体である。半導体ナノチューブの第1の端部は、ソースと電気的に結合され、該半導体ナノチューブの対向する第2の端部は、ドレインと電気的に結合される。   According to the present invention, there is provided a vertical semiconductor device structure including a substrate that substantially defines a horizontal plane, a gate electrode that protrudes perpendicularly from the substrate and includes a vertical sidewall, and a spacer positioned on a side surface of the vertical sidewall. Is done. Positioned between the gate electrode and the spacer is a semiconductor nanotube that extends between the opposing first and second ends and has a substantially vertical orientation. Disposed on the vertical sidewall between the carbon nanotube and the gate electrode is a gate dielectric. The first end of the semiconductor nanotube is electrically coupled to the source, and the opposite second end of the semiconductor nanotube is electrically coupled to the drain.

本発明の別の態様においては、半導体デバイス構造体を製造するための方法は、触媒パッドを基板上に形成するステップと、該触媒パッドに隣接してゲート電極を形成するステップを含む。第1のスペーサが、触媒パッドを覆う位置においてゲート電極の垂直側壁上に形成され、第2のスペーサが、該第1のスペーサ上に形成される。第1のスペーサを除去し、第2のスペーサ及びゲート電極によって囲まれた通路又は空間であって、一方の端部に開口部を有し、対向する端部に位置する触媒パッドを有する通路又は空間を定める。ゲート誘電体が、ゲート電極の垂直側壁上に形成される。本方法は、触媒パッド上に、該触媒パッドから通路の開口部に近い自由端まで実質的に垂直に延びる半導体ナノチューブを合成するステップをさらに含む。   In another aspect of the invention, a method for manufacturing a semiconductor device structure includes forming a catalyst pad on a substrate and forming a gate electrode adjacent to the catalyst pad. A first spacer is formed on the vertical sidewall of the gate electrode at a position covering the catalyst pad, and a second spacer is formed on the first spacer. A passage or space surrounded by the second spacer and the gate electrode by removing the first spacer and having an opening at one end and a catalyst pad located at the opposite end or Define the space. A gate dielectric is formed on the vertical sidewalls of the gate electrode. The method further includes synthesizing semiconductor nanotubes on the catalyst pad that extend substantially vertically from the catalyst pad to a free end near the opening of the passage.

本発明の好ましい実施形態においては、ナノチューブの成長は、ゲート電極に隣接するスペーサによって定められる高アスペクト比の空間又は通路の内部における特定の垂直成長方向に制限される。結果として、ナノチューブの等方的な成長に関する従来の困難さは、解消される。スペーサには、触媒材料と成長するナノチューブの各々との間のインターフェース領域近傍において、カーボン・ナノチューブを通路内に成長させるのに必要な1つ又は複数の反応物質の効率的かつ効果的な導入を可能にする隙間を設けることができる。ソースとドレインとの間のチャネル領域の長さは、半導体デバイス製造に用いられる従来のリソグラフィ・プロセスによって制限されることなく、ゲート電極の垂直寸法すなわち厚さによって定められる。結果として、チャネル領域の長さは、標準的なリソグラフィ及びエッチング・プロセスによって作成される形状より小さい形状とすることができる。   In a preferred embodiment of the present invention, nanotube growth is limited to a specific vertical growth direction within a high aspect ratio space or passage defined by a spacer adjacent to the gate electrode. As a result, the conventional difficulties associated with isotropic growth of nanotubes are eliminated. The spacer provides an efficient and effective introduction of one or more reactants necessary to grow the carbon nanotubes in the passageway in the vicinity of the interface region between the catalyst material and each of the growing nanotubes. Clearances can be provided. The length of the channel region between the source and drain is determined by the vertical dimension or thickness of the gate electrode, without being limited by the conventional lithography process used in semiconductor device manufacturing. As a result, the length of the channel region can be smaller than that created by standard lithography and etching processes.

本明細書に組み込まれ、本明細書の一部を構成する添付図面は、本発明の実施形態を示すものであり、前述の本発明の一般的な説明及び後述の実施形態の詳細な説明と共に、本発明の原理を説明するのに役立つ。   The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments of the invention and, together with the foregoing general description of the invention and the detailed description of embodiments described below. Useful for explaining the principles of the present invention.

本発明の好ましい実施形態は、ソースとドレインとの間に選択的な伝導パスを提供するチャネル領域のための半導体材料としてカーボン・ナノチューブを利用する垂直型電界効果トランジスタ(FET)向けられる。本発明の原理によれば、カーボン・ナノチューブは、等方的な成長が回避されるように、閉ざされた垂直空間又は通路内で成長する。結果として、カーボン・ナノチューブは、実質的に垂直に配向され、ソースからドレインに流れる電流を制御するために電圧が印加されるゲート電極に隣接する所望の位置に配置される。ソースとドレインとの間のチャネル領域の長さは、ナノチューブの長さと実質的に等しいゲート電極の厚さによって定められ、リソグラフィ・プロセスには依存しない。ナノチューブの成長率は、通路の底部にあるナノチューブ成長を促進する触媒材料に通じる、ガス状反応物質又は蒸発反応物質のための追加の流路を設けることによって、向上する。結果として、触媒材料に通じる唯一の流路は、高アスペクト比通路の底部への入口から垂直な方向には存在しない。   Preferred embodiments of the present invention are directed to vertical field effect transistors (FETs) that utilize carbon nanotubes as the semiconductor material for the channel region that provides a selective conduction path between the source and drain. In accordance with the principles of the present invention, carbon nanotubes grow in closed vertical spaces or passages so that isotropic growth is avoided. As a result, the carbon nanotubes are oriented in a substantially vertical orientation and are placed at a desired location adjacent to the gate electrode to which a voltage is applied to control the current flowing from the source to the drain. The length of the channel region between the source and drain is determined by the thickness of the gate electrode, which is substantially equal to the length of the nanotube, and does not depend on the lithography process. The growth rate of the nanotubes is improved by providing an additional flow path for gaseous or evaporative reactants that leads to the catalyst material that promotes nanotube growth at the bottom of the channel. As a result, the only flow path leading to the catalyst material does not exist in a direction perpendicular from the entrance to the bottom of the high aspect ratio passage.

図1及び図2を参照すると、基板10の領域が、下層の基板10に対して高い電気抵抗率によって特徴づけられる平坦な絶縁層12によって覆われる。基板10は、絶縁層12などの絶縁層を上に形成することが可能な、シリコン(Si)及びガリウムヒ化(GaAs)を含むがこれらに限定されるものではない、いずれかの適切な半導体基板材料とすることができる。絶縁層12は、例えば、酸化シリコン(SiO)又は窒化シリコン(Si)からなるものとすることができる。 With reference to FIGS. 1 and 2, a region of the substrate 10 is covered by a flat insulating layer 12 characterized by a high electrical resistivity relative to the underlying substrate 10. Substrate 10 may be any suitable semiconductor substrate, including but not limited to silicon (Si) and gallium arsenide (GaAs), on which an insulating layer such as insulating layer 12 may be formed. Can be a material. The insulating layer 12 can be made of, for example, silicon oxide (SiO 2 ) or silicon nitride (Si 3 N 4 ).

触媒材料のブランケット層を絶縁層12上に堆積させ、標準的なリソグラフィ・プロセス及びサブトラクティブ・エッチング・プロセスを使用してブランケット層をパターン形成することによって、カーボン・ナノチューブの成長を助けるのに適した触媒材料の触媒パッド14が絶縁層12上に形成される。触媒パッド14を形成するようにパターン加工された触媒材料のブランケット層は、金属ハロゲン化物及び金属カルボニルなどの金属前駆物質の熱分解(thermal decomposition/thermolysis)による化学気相成長法(CVD)、スパッタリング、及び物理的気相成長法(PVD)を含むがこれらに限定されるものではない、いずれかの従来の堆積技術によって堆積させることができる。触媒パッド14の触媒材料は、ナノチューブの成長を促進するのに適した反応条件下で適切な反応物質にさらされたときに、カーボン・ナノチューブ成長の核を生成して成長を助けることが可能ないずれかの材料とすることができる。例えば、適切な触媒材料は、鉄、白金、ニッケル、コバルト、及び、これらの各金属のシリサイドといった化合物を含むが、これらに限定されるものではない。   Suitable to aid carbon nanotube growth by depositing a blanket layer of catalytic material on insulating layer 12 and patterning the blanket layer using standard lithographic and subtractive etching processes A catalyst pad 14 of the catalyst material is formed on the insulating layer 12. The blanket layer of catalyst material patterned to form the catalyst pad 14 is formed by chemical vapor deposition (CVD), sputtering by thermal decomposition of metal precursors such as metal halides and metal carbonyls. And can be deposited by any conventional deposition technique including, but not limited to, physical vapor deposition (PVD). The catalyst material of the catalyst pad 14 can generate nuclei for carbon nanotube growth and assist in growth when exposed to suitable reactants under reaction conditions suitable to promote nanotube growth. It can be any material. For example, suitable catalyst materials include, but are not limited to, compounds such as iron, platinum, nickel, cobalt, and silicides of each of these metals.

絶縁層12は省略することが可能であり、その上、代替的に、基板10は、図1及び図2に示される基板10の領域を基板10の隣接領域から電気的に分離する、シャロートレンチ分離(STI)構造又はシリコン局所酸化(LOCOS)構造を含むことができ、これらは、本明細書において説明される付加的なデバイス構造体又は他のデバイス構造体に組み込むこともできる。この代替的な実施形態においては、触媒パッド14は、STI又はLOCOS構造によって分離された基板10の領域におけるパッド形状のトレンチに、従来のプロセスによって形成又は堆積される。大量製造技術を維持しながら、多数の触媒パッド14を絶縁層12上に形成することができる。   The insulating layer 12 can be omitted, and alternatively, the substrate 10 can be a shallow trench that electrically isolates the region of the substrate 10 shown in FIGS. Isolation (STI) structures or silicon local oxidation (LOCOS) structures can be included, and these can also be incorporated into additional device structures or other device structures described herein. In this alternative embodiment, the catalyst pad 14 is formed or deposited by conventional processes in a pad-shaped trench in the region of the substrate 10 separated by an STI or LOCOS structure. A number of catalyst pads 14 can be formed on the insulating layer 12 while maintaining mass production techniques.

図3及び図4を参照すると、薄い絶縁層16が、絶縁層12及び触媒パッド14の上にコンフォーマルに(conformally)堆積される。絶縁層16は、シリコン含有前駆物質の熱分解によるCVD若しくは低圧化学気相成長法(LPCVD)によって堆積させるか、又は代替的に、熱酸化による酸化物として成長させることができる、SiO又はSiなどの誘電体で形成される。導電性材料のピラー18が、触媒パッド14を覆う絶縁層16上に形成される。絶縁材料のハードマスク20が、ピラー18の露出した上面に施される。 Referring to FIGS. 3 and 4, a thin insulating layer 16 is conformally deposited over the insulating layer 12 and the catalyst pad 14. The insulating layer 16 can be deposited by thermal decomposition CVD or low pressure chemical vapor deposition (LPCVD) of silicon-containing precursors, or alternatively can be grown as an oxide by thermal oxidation, SiO 2 or Si. 3 N 4 or other dielectric material. A pillar 18 of conductive material is formed on the insulating layer 16 covering the catalyst pad 14. A hard mask 20 of insulating material is applied to the exposed upper surface of the pillar 18.

ピラー18及びピラー18を覆うハードマスク20は、標準的なリソグラフィ及びエッチング・プロセスによって形成され、これらのプロセスでは、最初に、LPCVDによって堆積される高濃度ドープされた多結晶シリコン(ポリシリコン)などの導電性材料のブランケット層を絶縁層16上に堆積させ、次いで、SiO、又はより特定的には、テトラエチルオルソシリケートをベースとする(TEOSベースの)SiOなどの絶縁材料の層を導電性材料のブランケット層の上に堆積させる。絶縁材料は、後述するように、導電性材料のブランケット層のマスクされない領域と、触媒パッド14に位置合わせされたマスク領域とを露出させるようにパターン形成され、次いで、マスクされない領域の導電性材料を除去するために、例えばハードマスク20の絶縁材料に対して選択的な反応性イオン・エッチング(RIE)プロセスを用いてエッチングされる。 The pillars 18 and the hard mask 20 covering the pillars 18 are formed by standard lithography and etching processes, such as heavily doped polycrystalline silicon (polysilicon), which is first deposited by LPCVD. was a blanket layer of conductive material is deposited on the insulating layer 16, then the SiO 2, or more particularly, tetraethylorthosilicate-based (the TEOS-based) conductive layer of insulating material such as SiO 2 Deposit on the blanket layer of the functional material. The insulating material is patterned to expose an unmasked region of the blanket layer of conductive material and a mask region aligned with the catalyst pad 14, as described below, and then the conductive material in the unmasked region. For example, a reactive ion etching (RIE) process selective to the insulating material of the hard mask 20 is etched.

本明細書における「垂直な(vertical)」、「水平な(horizontal)」などといった用語は、基準系を定めるために例として言及されるものであり、限定を目的とするものではない。本明細書で用いられる「水平な」という用語は、配向と関係なく、基板10の通常の面又は表面に平行な面として定義される。「垂直な」という用語は、たった今定義された水平方向に垂直な方向を指す。「上に(on)」、「の上方に(above)」、「の下方に(below)」、(「側壁」の場合のような)「側部(side)」、「より高い(higher)」、「より低い(lower)」、「の上に(over)」、「の真下に(beneath)」、及び「の下に(under)」といった用語は、水平面に対して定義される。本発明の範囲から逸脱することなく、他の様々な基準系が用いられる場合があることを理解されたい。   Terms such as “vertical”, “horizontal”, etc. herein are referred to by way of example to define a reference system and are not intended to be limiting. The term “horizontal” as used herein is defined as a plane parallel to the normal plane or surface of the substrate 10, regardless of orientation. The term “vertical” refers to a direction perpendicular to the horizontal direction just defined. “On”, “above”, “below”, “side” (as in the case of “sidewall”), “higher” The terms "", "lower", "over", "beeneath", and "under" are defined relative to the horizontal plane. It should be understood that various other reference systems may be used without departing from the scope of the present invention.

図5及び図6を参照すると、一時的なスペーサ材料のスペーサ22が、スペーサ材料の薄膜をコンフォーマルに堆積させ、例えば絶縁層12及びハードマスク20を形成する材料に対して選択的なRIEプロセスを用いて異方性エッチングすることによって、ピラー18の垂直側壁21の周りに形成される。スペーサ22を構成するスペーサ材料は、例えば、SiO又はSiとすることができる。スペーサ22は、後の処理の際に完全に除去されるため、犠牲的なものである。本発明の例示的な実施形態においては、スペーサ22を除去するRIEが、絶縁層12及びハードマスク20を形成する材料に対して選択性を有するように、絶縁層12及びハードマスク20はSiOでできており、スペーサ22はSiでできている。スペーサ22は、側壁21から外側に向かって水平に突出する。 Referring to FIGS. 5 and 6, a temporary spacer material spacer 22 conformally deposits a thin film of spacer material, eg, an RIE process selective to the material forming the insulating layer 12 and the hard mask 20. Is formed around the vertical side wall 21 of the pillar 18 by anisotropic etching using. The spacer material constituting the spacer 22 can be, for example, SiO 2 or Si 3 N 4 . The spacers 22 are sacrificial because they are completely removed during subsequent processing. In an exemplary embodiment of the invention, the insulating layer 12 and the hard mask 20 are SiO 2 so that the RIE that removes the spacers 22 is selective to the material forming the insulating layer 12 and the hard mask 20. The spacer 22 is made of Si 3 N 4 . The spacer 22 projects horizontally from the side wall 21 toward the outside.

図7及び図8を参照すると、触媒パッド14は、ピラー18の真下から延びる端部の領域が取り除かれることによって、サイズが小さくなっている。そのためには、スペーサ22を定めるエッチング・プロセスとは異なるエッチング・プロセス、又は、絶縁層16をエッチングするのに適するようにエッチング条件を変更した連続的なエッチング・プロセスとすることができるエッチングによって、ピラー18及びスペーサ22によってマスクされていない絶縁層16の領域を除去する。次いで、ここでも同様に、絶縁層16の領域を除去するエッチング・プロセスとは異なるエッチング・プロセス、又は、触媒材料14をエッチングするのに適するようにエッチング条件を変更した連続的なエッチング・プロセスとすることができるエッチングによって、ピラー10及びスペーサ22によってマスクされていない触媒パッド14の領域を除去し、触媒パッド14の露出した表面面積を小さくする。触媒パッド14は、絶縁層16の残存部である絶縁材料の層25によって覆われる。   Referring to FIGS. 7 and 8, the catalyst pad 14 is reduced in size by removing the end region extending from directly below the pillar 18. To this end, an etching process that is different from the etching process that defines the spacer 22 or an etching process that can be a continuous etching process with the etching conditions changed to be suitable for etching the insulating layer 16, The region of the insulating layer 16 that is not masked by the pillar 18 and the spacer 22 is removed. Then, here again, an etching process different from the etching process for removing the region of the insulating layer 16, or a continuous etching process in which the etching conditions are changed to be suitable for etching the catalyst material 14, and Etching that can be performed removes areas of the catalyst pad 14 that are not masked by the pillars 10 and spacers 22 and reduces the exposed surface area of the catalyst pad 14. The catalyst pad 14 is covered with a layer 25 of an insulating material that is the remaining part of the insulating layer 16.

図9及び図10を参照すると、スペーサ22は、基板10、ハードマスク20、及び触媒パッド14の構成材料に対して選択的ないずれかのウェット又はドライ・エッチング・プロセスによって、ピラー18の側壁21から除去される。SiO又はゲルマニウム(Ge)などの適切なスペーサ材料のブランケット層26が、CVD又はLPCVDプロセスによって、基板10上にコンフォーマルに堆積させられる。ピラー18の側壁21を覆うブランケット層26の一部は、後述するように、スペーサ22とほぼ同じ厚さを有するスペーサ30として形成されることになる。 Referring to FIGS. 9 and 10, the spacers 22 are formed on the sidewalls 21 of the pillars 18 by any wet or dry etching process that is selective to the constituent materials of the substrate 10, the hard mask 20, and the catalyst pad 14. Removed from. A blanket layer 26 of a suitable spacer material, such as SiO 2 or germanium (Ge), is conformally deposited on the substrate 10 by a CVD or LPCVD process. A part of the blanket layer 26 covering the side wall 21 of the pillar 18 is formed as a spacer 30 having substantially the same thickness as the spacer 22 as described later.

図11及び図12を参照すると、ピラー18を多数のゲート電極28に分割又は区分することを目的とする標準的なリソグラフィ及びサブトラクティブ・エッチング・プロセスを用いて、ブランケット層26、ハードマスク20、ピラー18、及び触媒パッド14の垂直方向に位置合わせされた部分が、除去される。そのためには、(図示されない)レジスト層が、ブランケット層26に塗布され、潜像パターンを与えるように露光され、該潜像パターンを、将来ゲート電極28となる位置においてブランケット層26を覆う平行なストリップ形状のマスク領域を有する最終的な像パターンに変換するように、現像される。エッチング・プロセスが終了した後に、ゲート電極28の間に絶縁層12の領域が現れる。好ましくは、ゲート電極28の形状は、リソグラフィの最小寸法であるか、又はそれに近いものである。スペーサ30は、触媒パッド14の位置の上方にある各ゲート電極28の側壁31において垂直上方に延びる、パターン形成されたブランケット層26の一部として定められる。スペーサ30は、後の処理の際に完全に除去されるため、犠牲的なものである。   Referring to FIGS. 11 and 12, using a standard lithography and subtractive etching process aimed at dividing or dividing the pillar 18 into a number of gate electrodes 28, a blanket layer 26, a hard mask 20, The pillar 18 and the vertically aligned portion of the catalyst pad 14 are removed. To do so, a resist layer (not shown) is applied to the blanket layer 26 and exposed to give a latent image pattern, which is then applied in parallel to cover the blanket layer 26 at a location that will become the gate electrode 28 in the future. Development is performed to convert to a final image pattern having strip-shaped mask areas. After the etching process is completed, a region of the insulating layer 12 appears between the gate electrodes 28. Preferably, the shape of the gate electrode 28 is at or near the minimum dimension of lithography. The spacer 30 is defined as part of the patterned blanket layer 26 that extends vertically upward on the sidewall 31 of each gate electrode 28 above the position of the catalyst pad 14. The spacers 30 are sacrificial because they are completely removed during subsequent processing.

図13及び図14を参照すると、Siなどの適切な永久スペーサ材料のスペーサ32が、各ゲート電極28の側壁31の周りに形成される。スペーサ32の部分は、スペーサ30の各々の上に重なり、それを覆っている。スペーサ30とは対照的に、スペーサ32を形成する材料は、スペーサ32が完成したデバイス構造体に組み込まれるという意味で、永久的なものである。永久スペーサ材料のブランケット層を基板10上にコンフォーマルに堆積させ、エッチング・プロセス後に各ゲート電極28上のスペーサ32が永久スペーサ材料のブランケット層の残存部分のみに相当するように、例えば絶縁層12及びハードマスク20を形成する材料に対して選択的なRIEプロセスによりブランケット層を異方性エッチングすることによって、スペーサ32が形成される。スペーサ32を構成する永久スペーサ材料は、スペーサ30を構成する材料がGeである場合には、例えばSi又はSiOとすることができる。スペーサ32は、触媒パッド14の側端部の上にある2つの対向する側面上では、スペーサ30によって各ゲート電極28の側壁31から分離され、各ゲート電極28の他の2つの対向する側面には付着している。 Referring to FIGS. 13 and 14, a spacer 32 of a suitable permanent spacer material such as Si 3 N 4 is formed around the sidewall 31 of each gate electrode 28. A portion of the spacer 32 overlies and covers each of the spacers 30. In contrast to the spacer 30, the material forming the spacer 32 is permanent in the sense that the spacer 32 is incorporated into the finished device structure. A blanket layer of permanent spacer material is conformally deposited on the substrate 10 and, for example, the insulating layer 12 so that the spacers 32 on each gate electrode 28 represent only the remaining portion of the blanket layer of permanent spacer material after the etching process. The spacer 32 is formed by anisotropically etching the blanket layer by an RIE process selective to the material forming the hard mask 20. The permanent spacer material constituting the spacer 32 can be, for example, Si 3 N 4 or SiO 2 when the material constituting the spacer 30 is Ge. The spacer 32 is separated from the side wall 31 of each gate electrode 28 by the spacer 30 on the two opposite side surfaces on the side edge of the catalyst pad 14, and on the other two opposite side surfaces of each gate electrode 28. Is attached.

図15及び図16を参照すると、各々のゲート電極28上のスペーサ30は、ハードマスク20及びスペーサ32を形成する材料に対して選択的な等方性エッチング・プロセスによって除去される。例えば、スペーサ30がGeで形成され、スペーサ32がSi又はSiOのいずれかで形成されている場合には、ハードマスク20及びスペーサ32に対して選択的な過酸化水素(H)を含むエッチャント水溶液が、スペーサ30を除去するのに適するであろう。スペーサ32とゲート電極28は、以前はスペーサ30が占めていた場所に等方性エッチング・プロセスによって生成された空間又は通路34によって、隔離されている。通路34の各々は、垂直方向に見ると、実質的に矩形の断面形状を有する。等方性エッチング・プロセスはまた、パターン形成されたブランケット層26の残存部分を除去して、絶縁層12を再露出させる。 Referring to FIGS. 15 and 16, the spacers 30 on each gate electrode 28 are removed by an isotropic etch process selective to the material forming the hard mask 20 and spacers 32. For example, when the spacer 30 is made of Ge and the spacer 32 is made of either Si 3 N 4 or SiO 2 , hydrogen peroxide (H 2) selective to the hard mask 20 and the spacer 32 is used. An aqueous etchant solution containing O 2 ) would be suitable for removing the spacer 30. The spacer 32 and the gate electrode 28 are separated by a space or passage 34 created by an isotropic etching process where the spacer 30 previously occupied. Each of the passages 34 has a substantially rectangular cross-sectional shape when viewed in the vertical direction. The isotropic etch process also removes the remaining portion of the patterned blanket layer 26 and re-exposes the insulating layer 12.

通路34の形成によって露出した層25の一部を触媒パッド14の側端部から除去して、対応するナノチューブ合成領域36を露出又は露わにする。以前はスペーサ30の1つの一部によって埋められていた隙間38が、対応するナノチューブ合成領域36に隣接する各々のスペーサ32の下方に、及び、垂直方向にはスペーサ32と絶縁層12との間に、存在する。各々の通路34は、触媒パッド14の1つからハードマスク20の隣に位置する開口部33まで、垂直に延びる。ナノチューブ合成領域36は、対応する開口部33の1つの下方に、垂直に位置決めされる。   A portion of the layer 25 exposed by the formation of the passage 34 is removed from the side edge of the catalyst pad 14 to expose or expose the corresponding nanotube synthesis region 36. A gap 38 previously filled with a portion of one of the spacers 30 is located below each spacer 32 adjacent to the corresponding nanotube synthesis region 36 and between the spacer 32 and the insulating layer 12 in the vertical direction. Exist. Each passage 34 extends vertically from one of the catalyst pads 14 to an opening 33 located next to the hard mask 20. The nanotube synthesis region 36 is vertically positioned below one of the corresponding openings 33.

図17及び図18を参照すると、次に、各々のゲート電極28を対応する通路34から電気的に分離するために、SiOなどの絶縁材料の層40が、通路34と同じ広がりを持つ各ゲート電極28の側壁31の露出部分に形成される。層40を形成するプロセスは、ナノチューブ合成領域36の露出した材料が、カーボン・ナノチューブの成長を助けない可能性のある方法で被覆されるか、そうでなければ改質されることがないように、選択される。例えば、層40を形成するウェット酸化プロセスにおける酸素分圧は、SiOが側壁31の露出部分上に成長し、酸化物がナノチューブ合成領域36上に形成されないように、調節することができる。層40の存在によって小さくなる各々の通路34の水平方向寸法は、後述のように、カーボン・ナノチューブの垂直方向の成長を可能にするのに適したものであり、そうでなければ、スペーサ30の寸法によって実質的に決定される。 Referring to FIGS. 17 and 18, each layer 40 of insulating material such as SiO 2 is then coextensive with the passages 34 to electrically isolate each gate electrode 28 from the corresponding passages 34. It is formed on the exposed portion of the side wall 31 of the gate electrode 28. The process of forming the layer 40 is such that the exposed material of the nanotube synthesis region 36 is not coated or otherwise modified in a manner that may not help carbon nanotube growth. Selected. For example, the oxygen partial pressure in the wet oxidation process that forms layer 40 can be adjusted so that SiO 2 grows on the exposed portions of sidewalls 31 and no oxide is formed on nanotube synthesis region 36. The horizontal dimension of each passage 34 that is reduced by the presence of the layer 40 is suitable to allow vertical growth of carbon nanotubes, as described below, otherwise the spacer 30 It is substantially determined by the dimensions.

図19及び図20を参照すると、各ゲート電極28の側壁31の層40よって覆われた部分に隣接する通路34に、1束の又は1グループのカーボン・ナノチューブ42が形成される。カーボン・ナノチューブ42は、炭素原子が六角形に配列された環で構成される中空の円筒形チューブであり、典型的には、約0.5nmから約20nmの直径と、約5nmから約50nmの範囲の側壁厚さとによってパラメータ化される。カーボン・ナノチューブ42は、先端又は頂部43と、ナノチューブ合成領域36の1つの上にある、先端43と反対側の末端又は底部47との間で各々が測定される高さ又は長さの分布を持つものと思われる。カーボン・ナノチューブ42の長さ分布は、平均長さ及び標準偏差によって特徴づけることができる。各々の通路34におけるカーボン・ナノチューブ42の少なくとも1つは、各々のゲート電極28を覆うハードマスク20によって定められる水平面の上方に、垂直に突出する。   Referring to FIGS. 19 and 20, a bundle or group of carbon nanotubes 42 is formed in the passage 34 adjacent to the portion of the side wall 31 of each gate electrode 28 covered by the layer 40. The carbon nanotubes 42 are hollow cylindrical tubes composed of rings with carbon atoms arranged in a hexagonal shape, typically from about 0.5 nm to about 20 nm in diameter and from about 5 nm to about 50 nm. It is parameterized by the sidewall thickness of the range. The carbon nanotubes 42 have a height or length distribution, each measured between the tip or top 43 and one end or bottom 47 on one side of the nanotube synthesis region 36 opposite the tip 43. It seems to have. The length distribution of the carbon nanotubes 42 can be characterized by an average length and standard deviation. At least one of the carbon nanotubes 42 in each passage 34 protrudes vertically above a horizontal plane defined by the hard mask 20 covering each gate electrode 28.

カーボン・ナノチューブ42は、ナノチューブ合成領域36から上に向かって実質的に垂直に延び、各ゲート電極28の通路34内部における空所の大部分を占める。カーボン・ナノチューブ42の各々は、スペーサ32の存在によってカーボン・ナノチューブ42の成長方向が制限されるため、対応するナノチューブ合成領域36の水平な上面に垂直に、又は少なくとも実質的に垂直に、配向される。通路34の範囲内では、ナノチューブの向きのわずかな傾き又は傾斜は許容されるが、等方的な成長は、スペーサ32によって妨げられる。例えば、カーボン・ナノチューブ42は、基板10の水平面に平行に成長することはできない。   The carbon nanotubes 42 extend substantially vertically upward from the nanotube synthesis region 36 and occupy most of the voids within the passage 34 of each gate electrode 28. Each of the carbon nanotubes 42 is oriented perpendicularly or at least substantially perpendicular to the horizontal top surface of the corresponding nanotube synthesis region 36 because the growth direction of the carbon nanotubes 42 is limited by the presence of the spacers 32. The Within the passage 34, a slight tilt or tilt of the nanotube orientation is allowed, but isotropic growth is prevented by the spacer 32. For example, the carbon nanotubes 42 cannot grow parallel to the horizontal plane of the substrate 10.

カーボン・ナノチューブ42は、ナノチューブ合成領域36を形成する触媒材料上のカーボン・ナノチューブの成長を促進するのに適した成長条件の下で、一酸化炭素(CO)、エチレン(C)、メタン(CH)、アセチレン(C)、アセチレンとアンモニア(NH)の混合物、アセチレンと窒素(N)の混合物、アセチレンと水素(H)の混合物、キシレン(C(CH)、及び、キシレンとフェロセン(Fe(C)の混合物を含むがこれらに限定されるものではない、いずれかの適切なガス状炭素系反応物質又は蒸発炭素系反応物質を用いて、化学気相成長法(CVD)又はプラズマ補助CVDによって成長する。基板10を加熱してCVD成長を促進することができる。反応物質は、最初は、隙間38の各々を通って横方向に流れ、通路34の各々を通ってナノチューブ合成領域36の触媒材料まで下方に流れる。反応物質は、ナノチューブ合成領域36の触媒材料において化学的に反応し、カーボン・ナノチューブ42の核を生成する。それに続くカーボン・ナノチューブ42の垂直方向の成長は、ナノチューブ合成領域36の表面上にある底部から、或いは、該底部47の反対側にあるカーボン・ナノチューブ42の自由端(leading free tip)43において、生じる。反応物質が通路34を通って下方のみに流れることが必要な場合には流体の流れは大きく制限されることになるため、隙間38の存在は、反応物質がナノチューブ合成領域36に到達する能力を向上させる。成長が自由端43から生じる場合には、又は、流体の流れの制限が存在しない場合には、隙間38は省略することができる。 The carbon nanotubes 42 are carbon monoxide (CO), ethylene (C 2 H 4 ), under growth conditions suitable to promote the growth of carbon nanotubes on the catalyst material that forms the nanotube synthesis region 36. Methane (CH 4 ), acetylene (C 2 H 2 ), a mixture of acetylene and ammonia (NH 3 ), a mixture of acetylene and nitrogen (N 2 ), a mixture of acetylene and hydrogen (H 2 ), xylene (C 6 H 4 (CH 3 ) 2 ), and any suitable gaseous carbon-based reactant or evaporated carbon, including but not limited to a mixture of xylene and ferrocene (Fe (C 5 H 5 ) 2 ) It grows by chemical vapor deposition (CVD) or plasma assisted CVD using a system reactant. The substrate 10 can be heated to promote CVD growth. The reactants initially flow laterally through each of the gaps 38 and down through each of the passages 34 to the catalyst material in the nanotube synthesis region 36. The reactant reacts chemically in the catalyst material of the nanotube synthesis region 36 to generate the nuclei of the carbon nanotubes 42. Subsequent vertical growth of the carbon nanotubes 42 occurs from the bottom on the surface of the nanotube synthesis region 36 or at the freeing tip 43 of the carbon nanotube 42 opposite the bottom 47. Arise. The presence of the gap 38 provides the ability for the reactants to reach the nanotube synthesis region 36 because the flow of fluid will be greatly limited when the reactants need to flow only downwardly through the passage 34. Improve. The gap 38 can be omitted if growth occurs from the free end 43 or if there is no fluid flow restriction.

CVD又はプラズマ補助CVDプロセスの成長条件は、半導体分子構造をもつカーボン・ナノチューブ42を優先的に成長させるように選択される。代替的に、例えば金属分子構造をもつナノチューブ42を破壊するのに十分な高電流を与えることによって、金属分子構造と半導体分子構造の両方を含む成長させたままの状態のナノチューブ42の集合体から、半導体分子構造をもつカーボン・ナノチューブ42を優先的に選択することができる。本発明の特定の実施形態においては、単一の半導体カーボン・ナノチューブ42が、1つ又は複数の通路34に存在するようにすることができる。ナノチューブ42は、バンド・ギャップ及び半導体の性質によって特徴づけられる炭素以外の材料で構成することができる。   The growth conditions of the CVD or plasma assisted CVD process are selected to preferentially grow the carbon nanotubes 42 having a semiconductor molecular structure. Alternatively, from a collection of as-grown nanotubes 42 containing both metal and semiconductor molecular structures, for example by providing a high current sufficient to destroy nanotubes 42 having a metal molecular structure. The carbon nanotube 42 having a semiconductor molecular structure can be preferentially selected. In certain embodiments of the invention, a single semiconducting carbon nanotube 42 may be present in one or more passages 34. Nanotubes 42 can be composed of materials other than carbon that are characterized by band gaps and semiconductor properties.

図21及び図22を参照すると、ホウ素リンケイ酸ガラス(BPSG)などの比較的電気抵抗の高い絶縁材料の層44が、LPCVDなどの堆積プロセスによって基板10にコンフォーマルに形成される。層44は、化学的機械研磨(CMP)プロセス又は他のいずれかの適切な平坦化技術によって、平らに研磨される。研磨によって、カーボン・ナノチューブ42の分布のうち一部の非常に長いナノチューブを短くするのに十分な深さまで、層44を除去することができる。層44の一部は、個々のカーボン・ナノチューブ42の間のすべての空き領域を埋めることができる。層44の一部は、隙間38の各々も埋める。   Referring to FIGS. 21 and 22, a layer 44 of a relatively high electrical resistance insulating material such as boron phosphosilicate glass (BPSG) is conformally formed on the substrate 10 by a deposition process such as LPCVD. Layer 44 is polished flat by a chemical mechanical polishing (CMP) process or any other suitable planarization technique. Polishing can remove layer 44 to a depth sufficient to shorten some very long nanotubes in the distribution of carbon nanotubes 42. A portion of the layer 44 can fill all the empty space between the individual carbon nanotubes 42. Part of the layer 44 also fills each gap 38.

図23及び図24を参照すると、層44と、ハードマスク20と、ゲート電極28と、層25とを通って延びるコンタクト・ホール46が、触媒パッド14の深さで停止する標準的なリソグラフィ及びエッチング・プロセスによって定められる。絶縁材料をコンタクト・ホール46に堆積させ、異方性エッチングを行って、ゲート電極28を触媒パッド14から電気的に分離する絶縁スペーサ48を形成する。各々のゲート電極28は、対応するコンタクト・ホール46によって、2つの別個のゲート電極28a、28bに分割される。コンタクト・ホール50が、ゲート電極28a、bの深さで停止する標準的なリソグラフィ及びエッチング・プロセスによって、層44及びハードマスク20に定められる。標準的なリソグラフィ及びエッチング・プロセスによって、各々の通路34に存在するカーボン・ナノチューブ42の少なくとも1つの先端43を露出させる深さまで、コンタクト・ホール52が層44に定められる。   Referring to FIGS. 23 and 24, standard lithography and contact holes 46 extending through layer 44, hard mask 20, gate electrode 28, and layer 25 stop at the depth of catalyst pad 14. Defined by the etching process. An insulating material is deposited in the contact hole 46 and anisotropic etching is performed to form an insulating spacer 48 that electrically isolates the gate electrode 28 from the catalyst pad 14. Each gate electrode 28 is divided into two separate gate electrodes 28a, 28b by corresponding contact holes 46. Contact holes 50 are defined in layer 44 and hard mask 20 by standard lithography and etching processes that stop at the depth of gate electrodes 28a, b. Contact holes 52 are defined in layer 44 to a depth that exposes at least one tip 43 of carbon nanotubes 42 present in each passageway 34 by standard lithography and etching processes.

図25及び図26を参照すると、プラグを形成するために、必要に応じてコンタクト開口46、50、及び52の内側を1つ又は複数の障壁/接着強化層(図示せず)で覆い、適切な金属をブランケット堆積させてコンタクト開口を埋め、次いで、CMPプロセスなどの適切ないずれかの平坦化技術により導電性材料の余分なかぶり部分(excess overburden)を除去することによって、コンタクト54、56、及び58を、それぞれコンタクト・ホール46、50、及び52に形成する。各々のゲート電極28a、bの隣に位置する通路34に存在するカーボン・ナノチューブ42の少なくとも1つは、コンタクト58の対応する1つに電気的に接触する、好ましくはオーム接触する、先端43を有する。接触したカーボン・ナノチューブ42の先端43は、対応するコンタクト58の内部(bulk)に垂直に突出するか、又は、対応するコンタクト58と界面で接することになる。各々の通路34のカーボン・ナノチューブ42は、触媒パッド14と電気的に結合される、好ましくはオーム接合する。コンタクト54、56、及び58は、互いに電気的に分離され、アルミニウム(Al)、銅(Cu)、金(Au)、モリブデン(Mo)、タンタル(Ta)、チタン(Ti)、及びタングステン(W)を含むがこれらに限定されるものではない、いずれかの適切な導電性材料で形成される。標準的なバックエンド(BEOL;back−end−of−the−line)処理を用いて、隣接する完成したデバイス構造体60を結合する相互接続構造体(図示されていない)を製造する。   Referring to FIGS. 25 and 26, contact openings 46, 50, and 52 are optionally covered with one or more barrier / adhesion enhancement layers (not shown) to form a plug, as appropriate. Contact 54, 56, by blanket depositing a new metal to fill the contact openings and then removing excess coverburden of conductive material by any suitable planarization technique, such as a CMP process. And 58 are formed in contact holes 46, 50 and 52, respectively. At least one of the carbon nanotubes 42 present in the passage 34 located next to each gate electrode 28a, b has a tip 43 which is in electrical contact, preferably in ohmic contact with a corresponding one of the contacts 58. Have. The tip 43 of the contacted carbon nanotube 42 protrudes perpendicularly to the interior of the corresponding contact 58 or contacts the corresponding contact 58 at the interface. The carbon nanotubes 42 in each passage 34 are electrically coupled, preferably ohmically joined to the catalyst pad 14. Contacts 54, 56, and 58 are electrically isolated from one another and are aluminum (Al), copper (Cu), gold (Au), molybdenum (Mo), tantalum (Ta), titanium (Ti), and tungsten (W )), But is not limited to any suitable conductive material. A standard back-end (BEOL) process is used to fabricate an interconnect structure (not shown) that joins adjacent completed device structures 60.

デバイス構造体60は、ゲート電極28a、bの1つと、層40によって定められるゲート誘電体と、触媒パッド14及びコンタクト54によって定められるソースと、対応するコンタクト58によって定められるドレインと、触媒パッド14及びコンタクト58の間の適切な通路34内に垂直に延びるカーボン・ナノチューブ42の少なくとも1つの長さに沿って定められる半導体チャネル領域と、を含むFETを形成する。カーボン・ナノチューブ42によって定められるチャネル領域は、基板10の水平面に対して実質的に垂直に配向される。電圧がゲート電極28a、bの適切な1つに印加され、関連するカーボン・ナノチューブ42にチャネルが生成されたときに、キャリアが、触媒パッド14からカーボン・ナノチューブ42を通ってコンタクト58に選択的に流れる。各々のデバイス構造体60は、デバイス作動のために、基板10によって支持される他のデバイス構造体60及び追加の回路要素(図示せず)と電気的に結合される。   Device structure 60 includes one of gate electrodes 28a, b, a gate dielectric defined by layer 40, a source defined by catalyst pad 14 and contact 54, a drain defined by corresponding contact 58, and catalyst pad 14. And a semiconductor channel region defined along at least one length of carbon nanotube 42 extending vertically into a suitable passage 34 between contacts 58. The channel region defined by the carbon nanotubes 42 is oriented substantially perpendicular to the horizontal plane of the substrate 10. When a voltage is applied to the appropriate one of the gate electrodes 28a, b and a channel is created in the associated carbon nanotube 42, carriers are selectively passed from the catalyst pad 14 through the carbon nanotube 42 to the contact 58. Flowing into. Each device structure 60 is electrically coupled with other device structures 60 and additional circuit elements (not shown) supported by the substrate 10 for device operation.

本発明が種々の実施形態の説明によって示され、これらの実施形態が極めて詳細に説明されたが、添付の特許請求の範囲をそのような詳細に限定すること、又は何らかの方法で制限することは、出願人の意図するところではない。当業者であれば、付加的な利点及び修正が、容易に明らかになるであろう。したがって、より広い態様における本発明は、特定の詳細、代表的な装置及び方法、並びに図示され、説明された、説明に役立つ実施例に限定されるものではない。したがって、出願人の一般的な発明概念の範囲から逸脱することなく、こうした詳細から離れることが可能である。   Although the invention has been shown by way of description of various embodiments, and these embodiments have been described in great detail, it is not intended to limit the appended claims to such details or in any way Not intended by the applicant. Additional advantages and modifications will be readily apparent to those skilled in the art. Accordingly, the invention in its broader aspects is not limited to the specific details, representative apparatus and methods, and illustrative examples shown and described. Accordingly, departures may be made from such details without departing from the scope of applicants' general inventive concept.

基板の一部の上面図である。It is a top view of a part of a substrate. 図1の線2−2に概ね沿って切り取った断面図である。FIG. 2 is a cross-sectional view taken generally along line 2-2 of FIG. 次の製造段階における図1と同様の上面図である。It is a top view similar to FIG. 1 in the next manufacturing stage. 図3の線4−4に概ね沿って切り取った断面図である。4 is a cross-sectional view taken generally along line 4-4 of FIG. 次の製造段階における図3と同様の上面図である。FIG. 4 is a top view similar to FIG. 3 in the next manufacturing stage. 図5の線6−6に概ね沿って切り取った断面図である。FIG. 6 is a cross-sectional view taken generally along line 6-6 of FIG. 次の製造段階における図5と同様の上面図である。It is a top view similar to FIG. 5 in the next manufacturing stage. 図7の線8−8に概ね沿って切り取った断面図である。FIG. 8 is a cross-sectional view taken generally along line 8-8 of FIG. 次の製造段階における図7と同様の上面図である。It is a top view similar to FIG. 7 in the next manufacturing stage. 図9の線10−10に概ね沿って切り取った断面図である。FIG. 10 is a cross-sectional view taken generally along line 10-10 of FIG. 9; 次の製造段階における図9と同様の上面図である。FIG. 10 is a top view similar to FIG. 9 in the next manufacturing stage. 図11の線12−12に概ね沿って切り取った断面図である。FIG. 12 is a cross-sectional view taken generally along line 12-12 of FIG. 次の製造段階における図11と同様の上面図である。FIG. 12 is a top view similar to FIG. 11 in the next manufacturing stage. 図13の線14−14に概ね沿って切り取った断面図である。FIG. 14 is a cross-sectional view taken generally along line 14-14 of FIG. 13. 次の製造段階における図13と同様の上面図である。FIG. 14 is a top view similar to FIG. 13 in the next manufacturing stage. 図15の線16−16に概ね沿って切り取った断面図である。FIG. 16 is a cross-sectional view taken generally along line 16-16 of FIG. 次の製造段階における図15と同様の上面図である。FIG. 16 is a top view similar to FIG. 15 in the next manufacturing stage. 図17の線18−18に概ね沿って切り取った断面図である。FIG. 18 is a cross-sectional view taken generally along line 18-18 of FIG. 次の製造段階における図17と同様の上面図である。FIG. 18 is a top view similar to FIG. 17 in the next manufacturing stage. 図19の線20−20に概ね沿って切り取った断面図である。FIG. 20 is a cross-sectional view taken generally along line 20-20 of FIG. 次の製造段階における図19と同様の上面図である。FIG. 20 is a top view similar to FIG. 19 in the next manufacturing stage. 図21の線22−22に概ね沿って切り取った断面図である。FIG. 22 is a cross-sectional view taken generally along line 22-22 of FIG. 次の製造段階における図21と同様の上面図である。FIG. 22 is a top view similar to FIG. 21 in the next manufacturing stage. 図23の線24−24に概ね沿って切り取った断面図である。FIG. 24 is a cross-sectional view taken generally along line 24-24 of FIG. 次の製造段階における図23と同様の上面図である。FIG. 24 is a top view similar to FIG. 23 in the next manufacturing stage. 図25の線26−26に概ね沿って切り取った断面図である。FIG. 26 is a cross-sectional view taken generally along line 26-26 of FIG.

Claims (5)

実質的に水平面を定める基板と、前記基板上に位置するソース又はドレインと 前記ソース又はドレインと絶縁層を介して垂直に突出し、垂直側壁を含むゲート電極と、前記垂直側壁の側面に位置するスペーサと、前記ゲート電極と前記スペーサとの間に位置決めされ、対向する第1の端部と第2の端部との間に延び、実質的に垂直な配向を持つ半導体ナノチューブと、前記ナノチューブと前記ゲート電極との間の前記垂直側壁上に配置されたゲート誘電体と、前記ナノチューブの前記第1の端部と電気的に結合されたソースと、前記ナノチューブの前記第2の端部と電気的に結合されたドレインとを含み、前記スペーサの一部は、隙間によって前記基板から隔てられ、前記隙間は、前記半導体ナノチューブが形成された後で絶縁材料によって埋められる、垂直型半導体デバイス構造体。A substrate that substantially defines a horizontal plane, a source or drain located on the substrate, a gate electrode that protrudes vertically through the source or drain and an insulating layer and includes a vertical sidewall, and a spacer that is located on a side surface of the vertical sidewall A semiconductor nanotube positioned between said gate electrode and said spacer and extending between opposing first and second ends and having a substantially vertical orientation, said nanotube and said nanotube A gate dielectric disposed on the vertical sidewall between the gate electrode, a source electrically coupled to the first end of the nanotube, and an electrical connection to the second end of the nanotube And a portion of the spacer is separated from the substrate by a gap, the gap being formed by an insulating material after the semiconductor nanotube is formed. A buried vertical semiconductor device structure. 垂直型半導体デバイス構造体を形成する方法であって、基板上に触媒パッドを形成するステップと、前記触媒パッド上に絶縁層を介してゲート電極を形成するステップと、前記触媒パッドと前記基板を覆うと共に、前記ゲート電極の垂直側壁上に第1のスペーサを形成するステップと、前記第1のスペーサと前記ゲート電極の周りに第2のスペーサを形成するステップと、前記第1のスペーサを除去することで、前記第2のスペーサ及び前記ゲート電極によって囲まれた通路であって、一方の端部に開口部を有し、対向する端部に位置する前記触媒パッドを有する通路と、前記第2のスペーサと前記基板とを垂直方向に隔てる隙間とを定めるステップと、前記垂直側壁上にゲート誘電体を形成するステップと、前記触媒パッド上に、該触媒パッドから前記通路の前記開口部に近い自由端まで実質的に垂直に延びる半導体ナノチューブを合成するステップとを含み、前記第2のスペーサは、前記触媒パッドへの流路となる隙間によって前記基板から垂直方向に隔てられ、前記半導体ナノチューブを合成するステップは、前記触媒パッドにおいて前記半導体ナノチューブを合成するように化学反応を起こすことができる反応物質を、前記隙間によって定められた前記流路を通して誘導するステップを含む、方法。A method of forming a vertical semiconductor device structure, comprising: forming a catalyst pad on a substrate; forming a gate electrode on the catalyst pad through an insulating layer; and connecting the catalyst pad and the substrate . covers, removal and forming a first spacer on a vertical sidewall of the gate electrode, and forming a second spacer around the gate electrode and the first spacer, the first spacer doing, a passage surrounded by said second spacer and the gate electrode, the passage having the catalyst pad having an opening at one end, positioned at opposite ends, said first a step of determining a gap separating the second spacer and the substrate in the vertical direction, forming a gate dielectric on the vertical sidewall, on the catalyst pad, the catalyst Pas Synthesizing semiconductor nanotubes extending substantially perpendicularly from a channel to a free end close to the opening of the passage, wherein the second spacer is separated from the substrate by a gap that becomes a flow path to the catalyst pad. The step of synthesizing the semiconductor nanotubes separated in the vertical direction guides a reactant through the flow path defined by the gap to cause a chemical reaction to synthesize the semiconductor nanotubes in the catalyst pad. A method comprising steps. 前記反応物質は炭素系反応物質であり、前記半導体ナノチューブはカーボン・ナノチューブである、請求項2に記載の方法。  The method of claim 2, wherein the reactant is a carbon-based reactant and the semiconductor nanotube is a carbon nanotube. 前記半導体ナノチューブを合成した後で、前記隙間を絶縁材料で埋めるステップをさらに含む、請求項2に記載の方法。  The method of claim 2, further comprising filling the gap with an insulating material after synthesizing the semiconductor nanotube. 前記半導体ナノチューブを合成するステップは、前記半導体ナノチューブを成長させるのに有効な条件の下で、前記触媒パッドを反応物質にさらすステップをさらに含む、請求項2に記載の方法。Step, the under conditions effective to grow the semiconducting nanotubes, the catalyst pad further including the step of exposing the reactants, the method described in Motomeko 2 synthesizing the semiconducting nanotubes.
JP2006550157A 2004-01-29 2005-01-13 Vertical semiconductor device structure and method for forming the same Expired - Fee Related JP4521409B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/767,039 US7211844B2 (en) 2004-01-29 2004-01-29 Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
PCT/EP2005/050128 WO2005076382A1 (en) 2004-01-29 2005-01-13 Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage

Publications (3)

Publication Number Publication Date
JP2007520073A JP2007520073A (en) 2007-07-19
JP2007520073A5 JP2007520073A5 (en) 2010-03-25
JP4521409B2 true JP4521409B2 (en) 2010-08-11

Family

ID=34807627

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006550157A Expired - Fee Related JP4521409B2 (en) 2004-01-29 2005-01-13 Vertical semiconductor device structure and method for forming the same

Country Status (11)

Country Link
US (2) US7211844B2 (en)
EP (1) EP1709700B1 (en)
JP (1) JP4521409B2 (en)
KR (1) KR100974162B1 (en)
CN (1) CN100568573C (en)
AT (1) ATE389242T1 (en)
DE (1) DE602005005302T2 (en)
IL (1) IL177126A (en)
RU (1) RU2338683C2 (en)
TW (1) TWI335669B (en)
WO (1) WO2005076382A1 (en)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7038299B2 (en) 2003-12-11 2006-05-02 International Business Machines Corporation Selective synthesis of semiconducting carbon nanotubes
US7374793B2 (en) * 2003-12-11 2008-05-20 International Business Machines Corporation Methods and structures for promoting stable synthesis of carbon nanotubes
US7211844B2 (en) 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
US20050167655A1 (en) * 2004-01-29 2005-08-04 International Business Machines Corporation Vertical nanotube semiconductor device structures and methods of forming the same
US7829883B2 (en) * 2004-02-12 2010-11-09 International Business Machines Corporation Vertical carbon nanotube field effect transistors and arrays
US8075863B2 (en) * 2004-05-26 2011-12-13 Massachusetts Institute Of Technology Methods and devices for growth and/or assembly of nanostructures
US7109546B2 (en) 2004-06-29 2006-09-19 International Business Machines Corporation Horizontal memory gain cells
US7233071B2 (en) 2004-10-04 2007-06-19 International Business Machines Corporation Low-k dielectric layer based upon carbon nanostructures
US7268077B2 (en) * 2005-12-02 2007-09-11 Intel Corporation Carbon nanotube reinforced metallic layer
US7713858B2 (en) * 2006-03-31 2010-05-11 Intel Corporation Carbon nanotube-solder composite structures for interconnects, process of making same, packages containing same, and systems containing same
US8785058B2 (en) * 2006-04-07 2014-07-22 New Jersey Institute Of Technology Integrated biofuel cell with aligned nanotube electrodes and method of use thereof
US8399772B2 (en) * 2006-09-04 2013-03-19 Nxp B.V. Control of carbon nanostructure growth in an interconnect structure
US7964143B2 (en) * 2007-06-20 2011-06-21 New Jersey Institute Of Technology Nanotube device and method of fabrication
US7736979B2 (en) * 2007-06-20 2010-06-15 New Jersey Institute Of Technology Method of forming nanotube vertical field effect transistor
US8546027B2 (en) * 2007-06-20 2013-10-01 New Jersey Institute Of Technology System and method for directed self-assembly technique for the creation of carbon nanotube sensors and bio-fuel cells on single plane
KR100927634B1 (en) * 2007-09-07 2009-11-20 한국표준과학연구원 Method for manufacturing multi-gate nanotube device and device
US7892956B2 (en) * 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
US8624224B2 (en) 2008-01-24 2014-01-07 Nano-Electronic And Photonic Devices And Circuits, Llc Nanotube array bipolar transistors
CN101905877B (en) * 2009-06-02 2013-01-09 清华大学 Method for preparing carbon nanotube membrane
CN101993055B (en) * 2009-08-14 2013-02-13 清华大学 Carbon nanotube membrane precursor, carbon nanotube membrane and preparation method thereof
TWI417238B (en) * 2009-08-25 2013-12-01 Hon Hai Prec Ind Co Ltd Carbon nanotube film frecursor, carbon nanotube film and manufacturing method thereof
US8350360B1 (en) 2009-08-28 2013-01-08 Lockheed Martin Corporation Four-terminal carbon nanotube capacitors
US8405189B1 (en) * 2010-02-08 2013-03-26 Lockheed Martin Corporation Carbon nanotube (CNT) capacitors and devices integrated with CNT capacitors
KR101927415B1 (en) 2012-11-05 2019-03-07 삼성전자주식회사 Nanogap device and signal processing method from the same
US10654718B2 (en) * 2013-09-20 2020-05-19 Nantero, Inc. Scalable nanotube fabrics and methods for making same
EP3053185A4 (en) * 2013-10-03 2017-05-17 Intel Corporation Internal spacers for nanowire transistors and method of fabrication thereof
RU2601044C2 (en) * 2015-02-04 2016-10-27 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Тамбовский государственный технический университет" ФГБОУ ВПО ТГТУ Method of forming carbon nano-objects on glass-ceramic substrates
US9899529B2 (en) 2015-11-09 2018-02-20 Samsung Electronics Co., Ltd. Method to make self-aligned vertical field effect transistor
TWI729457B (en) 2016-06-14 2021-06-01 美商應用材料股份有限公司 Oxidative volumetric expansion of metals and metal containing compounds
TWI719262B (en) 2016-11-03 2021-02-21 美商應用材料股份有限公司 Deposition and treatment of films for patterning
CN109923662A (en) * 2016-11-08 2019-06-21 应用材料公司 For patterning the geometry control of the bottom-up column of application
WO2018156710A1 (en) 2017-02-22 2018-08-30 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
WO2018200212A1 (en) 2017-04-25 2018-11-01 Applied Materials, Inc. Selective deposition of tungsten for simplified process flow of tungsten oxide pillar formation
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (en) 2017-06-24 2019-02-01 美商微材料有限責任公司 Method of producing fully self-aligned vias and contacts
TWI760540B (en) * 2017-08-13 2022-04-11 美商應用材料股份有限公司 Self-aligned high aspect ratio structures and methods of making
US10510602B2 (en) 2017-08-31 2019-12-17 Mirocmaterials LLC Methods of producing self-aligned vias
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc Methods of producing self-aligned grown via
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc Methods of producing self-aligned vias
JP2019106538A (en) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー Methods for controllable metal and barrier-liner recess
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR20190104902A (en) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 Methods for removing metal oxides
TW202002219A (en) 2018-05-08 2020-01-01 美商微材料有限責任公司 Selective removal process to create high aspect ratio fully self-aligned via
TW202011547A (en) 2018-05-16 2020-03-16 美商微材料有限責任公司 A method for creating a fully self-aligned via
US10699953B2 (en) 2018-06-08 2020-06-30 Micromaterials Llc Method for creating a fully self-aligned via
CN108807170B (en) * 2018-06-11 2021-10-22 中国科学院微电子研究所 Method for manufacturing nano wire
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5796573A (en) 1997-05-29 1998-08-18 International Business Machines Corporation Overhanging separator for self-defining stacked capacitor
US6250984B1 (en) 1999-01-25 2001-06-26 Agere Systems Guardian Corp. Article comprising enhanced nanotube emitter structure and process for fabricating article
JP3658342B2 (en) * 2000-05-30 2005-06-08 キヤノン株式会社 Electron emitting device, electron source, image forming apparatus, and television broadcast display apparatus
KR100360476B1 (en) * 2000-06-27 2002-11-08 삼성전자 주식회사 Vertical nano-size transistor using carbon nanotubes and manufacturing method thereof
DE10036897C1 (en) * 2000-07-28 2002-01-03 Infineon Technologies Ag Field effect transistor used in a switching arrangement comprises a gate region between a source region and a drain region
DE60131036T2 (en) 2000-11-01 2008-02-14 Japan Science And Technology Agency, Kawaguchi An emergency circuit
US6423583B1 (en) 2001-01-03 2002-07-23 International Business Machines Corporation Methodology for electrically induced selective breakdown of nanotubes
US7084507B2 (en) 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
WO2003063208A2 (en) 2002-01-18 2003-07-31 California Institute Of Technology Array-based architecture for molecular electronics
EP1341184B1 (en) 2002-02-09 2005-09-14 Samsung Electronics Co., Ltd. Memory device utilizing carbon nanotubes and method of fabricating the memory device
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
US6891227B2 (en) * 2002-03-20 2005-05-10 International Business Machines Corporation Self-aligned nanotube field effect transistor and method of fabricating same
US20030211724A1 (en) 2002-05-10 2003-11-13 Texas Instruments Incorporated Providing electrical conductivity between an active region and a conductive layer in a semiconductor device using carbon nanotubes
DE10250984A1 (en) 2002-10-29 2004-05-19 Hahn-Meitner-Institut Berlin Gmbh Field effect transistor and method for its production
DE10250830B4 (en) 2002-10-31 2015-02-26 Qimonda Ag Method for producing a circuit array
KR100790859B1 (en) 2002-11-15 2008-01-03 삼성전자주식회사 Nonvolatile memory device utilizing vertical nanotube
KR100493166B1 (en) 2002-12-30 2005-06-02 삼성전자주식회사 Memory utilizing vertical nanotube
US6933222B2 (en) * 2003-01-02 2005-08-23 Intel Corporation Microcircuit fabrication and interconnection
JP4627188B2 (en) 2003-05-22 2011-02-09 富士通株式会社 Field effect transistor and manufacturing method thereof
US7038299B2 (en) 2003-12-11 2006-05-02 International Business Machines Corporation Selective synthesis of semiconducting carbon nanotubes
US7374793B2 (en) 2003-12-11 2008-05-20 International Business Machines Corporation Methods and structures for promoting stable synthesis of carbon nanotubes
US7211844B2 (en) 2004-01-29 2007-05-01 International Business Machines Corporation Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage
US20050167655A1 (en) 2004-01-29 2005-08-04 International Business Machines Corporation Vertical nanotube semiconductor device structures and methods of forming the same
US7829883B2 (en) 2004-02-12 2010-11-09 International Business Machines Corporation Vertical carbon nanotube field effect transistors and arrays

Also Published As

Publication number Publication date
EP1709700B1 (en) 2008-03-12
RU2006130863A (en) 2008-03-10
US7329567B2 (en) 2008-02-12
CN100568573C (en) 2009-12-09
WO2005076382A1 (en) 2005-08-18
CN1910767A (en) 2007-02-07
RU2338683C2 (en) 2008-11-20
DE602005005302T2 (en) 2009-03-12
US7211844B2 (en) 2007-05-01
TWI335669B (en) 2011-01-01
DE602005005302D1 (en) 2008-04-24
TW200537687A (en) 2005-11-16
JP2007520073A (en) 2007-07-19
US20050167740A1 (en) 2005-08-04
EP1709700A1 (en) 2006-10-11
IL177126A0 (en) 2006-12-10
KR100974162B1 (en) 2010-08-04
IL177126A (en) 2010-06-30
ATE389242T1 (en) 2008-03-15
US20050266627A1 (en) 2005-12-01
KR20060125845A (en) 2006-12-06

Similar Documents

Publication Publication Date Title
JP4521409B2 (en) Vertical semiconductor device structure and method for forming the same
JP5511746B2 (en) Method for forming vertical nanotube semiconductor device structure
KR100676020B1 (en) Method of fabricating an ultra-narrow channel semiconductor device
JP4493344B2 (en) Carbon nanotube field effect transistor semiconductor device and manufacturing method thereof
US7892956B2 (en) Methods of manufacture of vertical nanowire FET devices
JP5089174B2 (en) Method for manufacturing a circuit including an array of semiconductor device structures
CN103518255A (en) Carbon field effect transistors having charged monolayers to reduce parasitic resistance
US7989286B2 (en) Electronic devices using carbon nanotubes having vertical structure and the manufacturing method thereof
US7371677B2 (en) Laterally grown nanotubes and method of formation
CN108807159A (en) The forming method of semiconductor device
WO2008069485A1 (en) The electronic devices using carbon nanotubes having vertical structure and the manufacturing method thereof
MXPA06008502A (en) Vertical field effect transistors incorporating semiconducting nanotubes grown in a spacer-defined passage

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071001

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100202

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20100202

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20100215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100416

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100518

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100524

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130528

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4521409

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140528

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees