JP4448289B2 - Tcm−isdnラインとデジタル加入者ラインを利用した通信システム及びこれのフレーム同期化方法 - Google Patents

Tcm−isdnラインとデジタル加入者ラインを利用した通信システム及びこれのフレーム同期化方法 Download PDF

Info

Publication number
JP4448289B2
JP4448289B2 JP2003122983A JP2003122983A JP4448289B2 JP 4448289 B2 JP4448289 B2 JP 4448289B2 JP 2003122983 A JP2003122983 A JP 2003122983A JP 2003122983 A JP2003122983 A JP 2003122983A JP 4448289 B2 JP4448289 B2 JP 4448289B2
Authority
JP
Japan
Prior art keywords
signal
frame
dsl
samples
phase information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003122983A
Other languages
English (en)
Other versions
JP2003348043A (ja
Inventor
竣 泳 鄭
東 ▲ヒー▼ 韓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2003348043A publication Critical patent/JP2003348043A/ja
Application granted granted Critical
Publication of JP4448289B2 publication Critical patent/JP4448289B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L7/00Arrangements for synchronising receiver with transmitter
    • H04L7/04Speed or phase control by synchronisation signals
    • H04L7/10Arrangements for initial synchronisation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L5/00Arrangements affording multiple use of the transmission path
    • H04L5/02Channels characterised by the type of signal
    • H04L5/023Multiplexing of multicarrier modulation signals
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/26Systems using multi-frequency codes
    • H04L27/2601Multicarrier modulation systems
    • H04L27/2647Arrangements specific to the receiver only
    • H04L27/2655Synchronisation arrangements
    • H04L27/2656Frame synchronisation, e.g. packet synchronisation, time division duplex [TDD] switching point detection or subframe synchronisation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L27/00Modulated-carrier systems
    • H04L27/26Systems using multi-frequency codes
    • H04L27/2601Multicarrier modulation systems
    • H04L27/2647Arrangements specific to the receiver only
    • H04L27/2655Synchronisation arrangements
    • H04L27/2668Details of algorithms
    • H04L27/2673Details of algorithms characterised by synchronisation parameters
    • H04L27/2675Pilot or known symbols

Landscapes

  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Telephonic Communication Services (AREA)

Description

【0001】
【発明の属する技術分野】
本発明はTCM−ISDN(Time−Compression Multiplexing Integrated Services Digital Network)ラインと共存するデジタル加入者ラインを利用して通信するマルチキャリア通信システム(multicarrier communication system)に関するものである。
【0002】
【従来の技術】
近年、インターネットなどの超高速通信に対する要求が増加し、パーソナルコンピュータの普及が拡大することによって、高速のデータ通信が可能でありつつも、設置費及び使用料が安い通信方法の必要が台頭した。これに対する解決方案として、既存の家庭及び事務室に設けられた一般の銅電話線を利用してデジタルデータ通信をするxDSL(Digital Subscriber and its variations)通信方法が提案された。
【0003】
xDSLは電話線を使用するすべての形態の通信方法を通称することとして、既存のT1ラインに代わるHDSL(High data−rate DSL)、一つのツイストペア銅ライン(twisted−pair copper line)を利用してT1またはE1に代わるSDSL(Symmetric DSL)、公衆電話加入者網(public switched telephone network :PSTN)環境で大容量のデータを送ることができるADSL(Asymmetric DSL)などを含む。
【0004】
ADSLにおいて、‘asymmetric(非対称)’は中央局(central office:CO)から遠隔端末機(remote terminal:RT)に伝送される下りデータ(downstream)が遠隔端末機から中央局に伝送される上りデータ(upstream)より帯域幅が大きく、データ量も大きいので、つけられたものである。ADSLは現行電話線や電話機をそのまま使用しつつも、高速データ通信が可能であるだけではなく、データ通信と一般電話(POTS、Plain Old Telephone Service)を同時に利用することができることが特徴である。ADSLの伝送速度は下向きデータが8Mbps、上向きデータが640kbpsまで可能である。
【0005】
しかし、電話ネットワークで電気的エネルギーが銅線を通じて伝送される間、変調した信号は同一のケーブル束内に位置した隣接の銅線にエネルギーを放出する。このような電磁気的エネルギーのクロスカップリング(cross coupling)はクロストーク(crosstalk)と呼ばれる。
【0006】
典型的な電話ネットワークで、絶縁された一対の銅線はケーブルバインダ(cable binder)と呼ばれるケーブルと共に縛られる。同一の周波数範囲内の情報を送受信するケーブルバインダ内の隣接のシステムは無視できないクロストーク干渉(interference)を生成する。その結果、本来伝送されるべき波形とやや異なる形態の信号が銅線を通じて伝送される。
【0007】
クロストークは二つの形態に分類する。一般的にNEXTと呼ばれる近接端クロストーク(near end crosstalk)は隣接のシステムからの高いエネルギー信号が原信号に非常に大きいクロストークを誘発することができるので、非常に重要である。すなわち、NEXTは通信に使用される同一の2つの終端端末装置のクロストークノイズの重要な尺度である。
【0008】
残りのクロストークの形態は遠隔端クロストーク、すなわち、FEXTである。FEXTはチャネルの一端から一対の銅線にテスト信号を伝送し、他の銅線の遠隔端で攪乱(disturbance)を測定することにより測定される。よって、FEXTは銅線の反対側の終端の通信装置とのデータ通信において、クロストークノイズを測定する。遠隔端干渉信号は銅線を通じて伝送される間、減殺されるので、一般的にFEXTはNEXTに比べて小さい。
【0009】
TCM−ISDNが配置された環境で、NEXT及びFEXTノイズのソースはTCM−ISDN干渉と呼ばれる。TCM−ISDNシステムはTCMタイミングリファレンス(TCM timing referencr:TTR)と呼ばれる周期の間、データの上り及び下り伝送を繰り返して実行する。TTRの第1半周期の間に、ISDN中央局はデータをISDN遠隔端末機に伝送し、残りの第2半周期の間ISDN遠隔端末機はISDN中央局にデータを伝送する。
【0010】
このようなTCM−ISDN環境で、ADSLのデータ通信を円滑に実行するために、ADSL送受信器はFEXT区間の間多量のデータを送信し、NEXT区間には少量のデータを送信するか、または送信しない方式を使用する。NEXT干渉を最小化するための方法では、FEXT/NEXT区間に従って互いに異なるビットマップを使用する二重ビットマップ(dual bit−map:DBM)方式、クロストーク干渉量が少ないFEXT区間のみにデータを伝送する単一ビットマップ(single bit−map:SBM)方式、及びこのような二つの方式の混合方式であるSNR方式などがある。TCM−ISDN環境でADSLサービスを提供する時、すべての帯域にわたってNEXTの干渉量がFEXTの干渉量より大きいので、ADSLとTCM−ISDNサービスとの間に正確なネットワークタイム同期を実行し、DBM方式でデータを伝送する時に、伝送量を最大に高めることができる。
【0011】
TCM−ISDNが配置された環境のADSLシステムでは、一つのフレームの長さが250*(68/69)μs、すなわち約246μsである。一つのハイパフレームは345個の連続するフレームで構成され、その長さは250*(68/69)345μs、すなわち85msである。
【0012】
ADSLモデム規格の付加条件のうちの一つであるADSL Annex Cは、初期化過程の初めに受信側の遠隔端末機が送信側の中央局に対してハイパフレームの同期を取ることを義務化している。ハイパフレームの同期を取ることは、遠隔端末機が受信中であるフレームがハイパフレーム内のどこに位置するかを認識することである。このハイパフレームの同期化はフレーム同期化がなされた後に行われる。ADSL Annex CによるADSL中央局は初期化過程で276kHzのパイロットトーンと207kHzのTTRインディケーショントーンを同時に伝送する。これらのうち207kHzのTTRインディケーショントーンの位相にFEXTフレームであるか、NEXTフレームであるかを区別する。したがって、ADSL Annext Cによる遠隔端末機は初期化過程で受信されたTTRインディケーショントーンの位相を分析し、受信中であるフレームがNEXTフレームであるか、FEXTフレームであるかを区別し、フレーム同期化が達成されなければならない受信されたフレームがハイパフレームの何番目に該当するかを判断することができる。
【0013】
【発明が解決しようとする課題】
本発明の目的は、TCM−ISDNシステムの通信ラインと同一のケーブル束で縛られた銅線を通じて信号を送受信する時に、受信されたフレームがFEXTフレームであるか、NEXTフレームであるかを正確に判別することができるマルチキャリア通信システムを提供することにある。
【0014】
本発明の他の目的は、TCM−ISDNシステムの通信ラインと同一のケーブル束で縛られた銅線を通じて信号を送受信するマルチキャリア通信システムのフレーム同期化方法を提供することにある。
【0015】
【課題を解決するための手段】
上述のような目的を達成するための本発明の望ましい実施形態によると、TCM−ISDNシステムの通信ラインと隣接したデジタル加入者ラインを通じて通信する通信システムは、時間領域内の信号を周波数領域内の信号に変換する復調器、前記デジタル加入者ラインを通じて受信された信号をフレーム境界に従って前記復調器に提供するバッフア、及び前記復調から出力される一連の位相情報を利用してフレーム同期化を実行するフレーム同期化を含む。前記フレーム同期化装置は、前記一連の位相情報のうち第1位相及び第2位相のうちどちらでも対応しない位相情報が存在する時に、前記フレーム境界が変更されるように制御する。
【0016】
前記デジタル加入者ラインを通じて受信された信号は、207kHzのTTRインディケーション信号と276kHzのPILOT信号であり、前記フレーム境界は、前記TTRインディケーション信号の周期と前記PILOT信号の周期の最小公倍数である32サンプルずつ変更される。
【0017】
望ましい実施形態において、前記復調器から出力される位相情報は前記TTRインディケーション信号の位相情報であり、前記第1位相はFEXT区間に対応する位相であり、前記第2位相はNEXT区間に対応する位相である。
【0018】
本発明の他の特徴によると、TCM−ISDNシステムの通信ラインと隣接したデジタル加入者ラインを通じてフレームの信号を受信する受信器は、アナログ信号をデジタル信号に変換するアナログ−デジタル変換器、前記アナログ−デジタル変換器からの前記デジタル信号を順次に受け入れて貯蔵し、フレーム境界に従って貯蔵された順序に出力する第1バッファ、前記第1バッファから出力される直列デジタル信号を並列デジタル信号に変換する第2バッファ、前記第2バッフアから出力される時間領域内の前記並列デジタル信号を周波数領域内の信号に変換する高速フーリエ変換器、及び前記高速フーリエ変換器から出力される一連の位相情報を受け入れて貯蔵し、貯蔵された位相情報を利用してフレーム同期化を実行するフレーム同期化装置を含む。
【0019】
貯蔵された位相情報が第1位相及び第2位相のうちどちらでも対応しない時に、前記第1バッフアの前記フレームの境界が変更されるように制御する前記フレーム同期化装置は、位相貯蔵ユニット、そして前記高速フーリエ変換器から出力される前記一連の位相情報を前記位相貯蔵ユニットに貯蔵し、前記貯蔵された位相情報のうち第1位相及び第2位相のうちどちらでも対応しない位相情報が存在する時に、前記第1バッファの前記フレームの境界が変更されるように制御するフレーム同期化器を含む。
【0020】
本発明のまた他の特徴によると、TCM−ISDNシステムの通信ラインと隣接したデジタル加入者ラインを通じてフレームの信号を受信する受信器のフレーム同期化方法は、フレーム境界から多数のフレームを順次に受信する段階と、前記受信されたフレームに含まれたTTRインディケーション信号の位相情報を検出する段階と、前記検出された位相情報のうち第1位相及び第2位相のうちどちらでも対応しない位相情報が存在するか否かを判別する段階と、前記検出された位相情報のうち前記第1位相及び第2位相のうちどちらでも対応しない位相情報が存在する時に、予め設定された時間ほど前記フレーム境界が変更されるように制御する段階と、前記検出された位相情報全部が前記第1位相及び前記第2位相のうちいずれか一つに対応するまで前記段階を繰り返して実行する段階とを含む。
【0021】
望ましい実施形態において、前記フレーム境界を制御する段階は前記フレーム境界を207kHzであるTTRインディケーション信号の周期と276kHzであるPILOT信号の周期の最小公倍数である32サンプルずつ変更する。
【0022】
本発明の他の特徴によると、TCM−ISDNシステムの通信ラインと隣接したデジタル加入者ラインを通じて通信するシステムにおいて、複数のフレームで構成されたフレームの同期化方法は、フレーム境界から多数のフレームを順次に受信する段階と、前記受信された各フレームに含まれたTTRインディケーション信号の位相情報を貯蔵する段階と、前記受信された位相情報に基づいて前記各フレームを第1パターン及び第2パターンのうちいずれか一つに定義する段階と、前記フレームのうち前記第1パターン及び第2パターンのうちどちらでも定義できないフレームが存在するか否かを判別する段階と、前記フレームのうち前記第1パターン及び第2パターンのうちどちらでも定義できないフレームが存在する時に、予め設定された時間ほど前記フレーム境界が変更されるように制御する段階と、前記フレーム全部が前記第1パターン及び第2パターンのうちいずれか一つに定義されるまで前記段階を繰り返して実行する段と、前記フレーム全部が前記第1パターン及び第2パターンのうちいずれか一つに定義される時に、フレーム同期化信号を活性化する段階とを含む。
【0023】
【発明の実施の形態】
以下、添付した図を参照して、本発明の望ましい実施形態を詳細に説明する。
【0024】
図1はPOTS(Plain Old Telephone System)とxDSL信号のスペクトラム割り当てを示す図面である。図1において、水平軸は周波数を示し、垂直軸はパワーを示す。よく知られたように、POTS周波数帯域100は0Hzから3.4kHzの間である。xDSL周波数帯域110は30kHzから上位境界(upper bourndary)112の間である。上位境界はxDSL技術に従って異なることができる。分離または保護帯域(guard band、102)はPOTS周波数帯域100とxDSL周波数帯域110との間の信号分離のための余分として提供される。したがって、POTSとxDSLは同一の線に共存することが可能である。
【0025】
図2はISDN中央局ISDN−CO210とISDN遠隔端末機ISDN−RT212との間のTCM−ISDNリンクと共に共有されたケーブル束200を通じてADSL中央局の送受信器220がADSL遠隔端末機の送受信器222と連結された時に現れるクロストーク影響を示している。
【0026】
太い実線で表示されたTTRの第1半周期の間、ISDN中央局210からISDN遠隔端末機212に情報が伝送される時に、ADSL中央局220はISDN中央局210からのNEXTノイズを受信し、ADSL遠隔端末機222はISDN中央局210からのFEXTノイズを受信する。すなわち、ADSL中央局220とADSL遠隔端末機222との間のADSL下向き伝送で、ADSL遠隔端末機222はFEXTノイズを受信するので、TTRの第1半周期はFEXT区間と呼ばれる。
【0027】
太い点線で表示されたTTRの第2半周期の間、ISDN遠隔端末機212からISDN中央局210に情報が伝送される時に、ADSL遠隔端末機222はISDN遠隔端末機212からNEXTノイズを受信し、ADSL中央局220はISDN遠隔端末機212からFEXTノイズを受信する。すなわち、ADSL中央局220とADSL遠隔端末機222との間のADSL下向きで、ADSL遠隔端末機222はNEXTノイズを受信するので、TTRの第2半周期はNEXT区間と呼ばれる。
【0028】
ADSL遠隔端末機222とADSL中央局220との間のデータの上向き伝送でNEXT及びFEXT区間は転換される。このような転換はADSL中央局220がTTRの第1半周期の間ISDN中央局210からNEXTノイズを受信し、TTRの第2半周期の間ISDN遠隔端末機212からFEXTノイズを受信するからである。
【0029】
このようなNEXT及びFEXTノイズの存在はADSLシステムの性能に影響を及ぶ。典型的なADSL伝送リンクの初期化の間、ADSLシステムは伝送リンクに影響を及ぼすノイズレベルを推定する。一般的に、NEXTノイズが非常に大きいので、ADSLのビットローディング処理量を顕著に減少させ、これは伝送リンクの長さが長ければ長いほど深刻になる。
【0030】
図3はTCM−ISDN信号のパワースペクトラム密度(power spectrum density)とDSLのNEXT及びFEXTパワースペクトラム密度を示す図面である。図3を参照すると、NEXTノイズの強さはFEXTに比べて大きく、FEXTは距離dに従って減殺することが分かる。例えば、ADSL中央局220とADSL遠隔端末機222との間の距離が2.07kmである時のFEXTノイズはADSL中央局220とADSL遠隔端末機222との間の距離が3.97kmである時のFEXTノイズより大きい。
【0031】
図4はISDN中央局210とISDN遠隔端末機212との間のデータ伝送に使用されるTTR信号とADSL中央局220からADSL遠隔端末機222に送信されるシンボル(すなわち、フレーム)を示す図面である。図4を参照すると、TTR信号の周波数は400Hz(2.5ms)であり、標準DMTフレームの長さは246.3768msである。図4に示すとおり、TTR信号は34周期毎にハイパフレーム(hyperframe)と呼ばれるフレームと同期する。ハイパフレームは345個のフレームで構成されている。
【0032】
図5はTTR信号34周期の間のADSL信号の1ハイパフレームを整理して示す表である。図5において、斜線で示した部分はFEXT区間に対応するフレームであり、その他の部分はNEXT区間に対応するフレームである。図5でフレームをFEXTまたはNEXTに決めるための基準は縦点線501により決められる。
【0033】
ADSL遠隔端末機222は、ADSL中央局220から受信した信号がFEXT区間の信号であるか、またはNEXT区間の信号であるかを正確に判別すべきであるADSL中央局220からの伝送信号を原信号に忠実に復元することができる。
【0034】
図6は本発明の望ましい実施形態によるADSLシステム送信器と受信器の構成を示す図面である。図6において、参照番号600は送信器を示し、620は受信器を、そして610はチャネルを示す。送信器600はQAM(Quadrature Amplifier Modulation)エンコーダ601、逆高速フーリエ変換器602、並列−直列変換器603及びデジタル−アナログ変換器604を含む。
【0035】
受信器620はDMT受信回路630と同期化回路626とを含む。DMT受信回路630はフィルータ及びアナログ−デジタル変換器621、時間領域イコライザ(time−domain equalizer:TEQ)622、FIFO(first−in first−out)バッファ623、直列−並列変換器624、高速フーリエ変換器(FFT)625、同期化回路626、周波数領域イコライザ627、及びQAMデコーダ628を含む。
【0036】
また、図6において、参照番号610は送信器600と受信器620との間に提供されるチャネル(すなわち、伝送経路、例えば、電話ネットワーク)を示す。
【0037】
本発明の望ましい実施形態では情報の伝送のために多数のサブチャネルを提供するDMTコーディング技術を使用する。一般的に、ADSLでDMTは、情報の伝送のために0kHzから1.104MHzの間を4.3125kHz間隔で分けた256個の独立的なサブチャネル(またはトーン)を提供し、0kHz〜20kHzの内域はPOTS領域で使用する。そして、各サブチャネルはQAMエンコーダ601により変調し、各サブチャネル当たり多数のビットがロードされることは公知である。このようなビットはグループまたはシンボルに伝送または受信される。
【0038】
IFFT602はQAMエンコーダ601から出力される周波数領域内の256個のQAMシンボルを512個のサンプルDMTシンボルに変換する。512個ずつ並列に構成されたデジタルサンプルである各DMTシンボルは、並列−直列変換器603により直列に変換する。デジタル−アナログ変換器604はデジタルサンプルをアナログ信号に変換する。アナログ信号はデジタル−アナログ変換器604内に具備されたローパスフィルータを通じてチャネル610に伝送される。
【0039】
一般的に、データ伝送のために使用されるチャネルで、振幅特性(すなわち、利得)とチャネルのグループ遅延特性が画一化すれば、チャネルの歪曲は信号に影響を及ばない。しかし、実際のチャネルで周波数特性は一定ではないので、信号はチャネル歪曲により影響を受ける。チャネル歪曲が非常に大きければ、信号に及ぶ影響も大きくなる。したがって、大きい歪曲はチャネル間干渉ICIとシンボル間干渉ISCとを招来する。その結果、大きい歪曲は受信信号に影響を及ぼす。
【0040】
歪曲された信号はチャネル610を通じてフィルータ及びアナログ−デジタル変換器621に伝送される。フィルータ及びアナログ−デジタル変換器621で、高い周波数で構成されたノイズはローパスフィルータにより除去され、各DMTシンボルは512デジタルサンプルに変換し、デジタルサンプルは時間領域イコライザ622に提供される。
【0041】
時間領域イコライザ622は変換器621から出力されるデジタルサンプルのシンボル間干渉を除去する。同期化した時間領域内の直列サンプルストリームはFIFOバッファ623に順次貯蔵される。FIFOバッファ623が、最初に入力されたデータを最初に出力するのは公知である。FIFOバッファ623は後述するフレーム同期化過程で使用される。
【0042】
FIFOバッファ623を通じて出力される直列サンプルストリームは直列−並列変換器624に提供され、直列−並列変換器624は各シンボルの512時間領域サンプルを並列に出力する。直力−並列変換器624はFIFOバッファ623を通じて出力されるサンプルストリームを順次に受け入れて貯蔵し、貯蔵されたサンプルが512個である時に、同時に出力するバッファで構成されることができる。512個の時間領域サンプルはFFT625に提供され、FFT625によって周波数領域シンボルに変換される。周波数領域シンボルは周波数領域イコライザ627に提供される。周波数領域イコライザ627はチャネル610により歪曲された振幅及び位相を矯正し、矯正されたシンボルはQAMデコーダ628に提供される。QAMデコーダ628は入力されたデータに対するQAMデコーディングを実行して受信データRDを出力する。
【0043】
ADSL Annex CによるADSL中央局220の送信器600は通信初期化過程のうちにTTR信号に同期されたハイパフレームを生成し、各シンボルのハイパフレーム上での位置による位相情報を周波数が207kHzであるTTRインディケーション信号(すなわち、48番目のトーン)にロードして伝送する。ハイパフレームのNEXT区間の間TTRインディケーション信号の位相は原信号の位相より45°先立ち、ハイパフレームのFEXT区間の間TTRインディケーション信号の位相は原信号の位相より45°遅れる。ADSL遠隔端末機222の受信器620に具備された同期化回路626は初期化過程において、チャネルを通じてADSL中央局220から受信されたTTRインディケーション信号を解析して、受信器620が送信器600から伝送されたハイパフレームに同期されて動作するように制御する。同期化回路626の具体的な動作は後述する。
【0044】
ADSL中央局220の送受信器またはADSL遠隔端末機222の送受信器の電源が遮断されてから、再び供給されるか、電話ラインが信号を送受信できない状況が発生して中央局と加入者の宅内ADSLモデム間の通信が中断されてから、再び線路状態が正常に復旧されて通信を再開する時に、ADSL中央局220とADSL遠隔端末機222との間の通信初期化が実行される。一般的に、ADSLシステムの初期化過程は活性化及び初期化要求に対する承認、中央局と加入者端末機両者の送受信器トレーニング、中央局と加入者端末器との間の比率要求の交換、チャネル分析、及び中央局と加入者端末機との間の送信器設定(例えば、ビット割り当てテーブルなど)の交換を含む。
【0045】
Annex Cによる中央局220は初期化過程のうち初期化要求に対する承認がなされた後に、TTRインディケーション信号を出力する。Annex CによるADSL遠隔端末機222はTTRインディケーション信号を解析して中央局220から伝送されたシンボルがFEXTシンボルであるか、NEXTシンボルであるかを区別し、ハイパフレーム同期化を実行する。
【0046】
理論上、送信器600から伝送されたハイパフレームの第1フレームから受信器620が受信することが理想的であるが、これはほとんど不可能である。したがって、本発明では受信器620が送信器600から伝送されたTTRインディケーション信号TTR_Iを利用して受信されたフレームがFEXTフレームであるか、NEXTフレームであるかを判別する方法を提示する。
【0047】
図7は図6に示した同期化回路626の詳細な構成を示す図面である。図7を参照すると、同期化回路626はフレーム同期化器701、位相貯蔵ユニット702、コリレータ703及びハイパフレーム貯蔵ユニット704を含む。このように構成された同期化回路626の具体的な動作は次の通りである。
【0048】
図8は図7に示した同期化回路626の望ましい実施形態によるフレーム同期化過程を示すフローチャートであり、図9はフレーム同期化過程を概念的に示す図面である。
【0049】
図8を参照すると、段階S800において、フレーム同期化器701は内部に具備されたカウンタ(図示せず)の値Nを0に設定する。このカウンタの値Nはフレームが受信されるごとに1ずつ増加する。
【0050】
段階S801において、フレーム同期化器701はFFT625から出力される48番目のトーン、すなわちTTRインディケーション信号TTR_Iの位相情報を検出し、これを位相貯蔵ユニット702に貯蔵する。
【0051】
段階S802において、カウンタの値Nを1ほど増加させる。
【0052】
段階S803において、フレーム同期化器701はカウンタの値Nが11であるか否かを判別する。判別の結果、カウンタの値が11ではなければ、その制御は段階S801に戻り、カウンタの値Nが11であれば、その制御は段階S804に進行する。上述のような段階S800からS803が実行されれば、位相貯蔵ユニット702には11個のフレームの位相情報が貯蔵される。
【0053】
段階S804において、フレーム同期化器701は位相貯蔵ユニット702に貯蔵された位相情報から各フレームのパターンを定義する。送信器600から伝送されたTTRインディケーション信号TTR_IはFEXTフレームであることを示す+45°またはNEXTフレームであることを示す−45°位相情報を含む。図9において、送信パターンTX_フレームで、‘F’はFEXTフレームであることを示し、‘N’はNEXTフレームを示す。
【0054】
フレーム同期化器701が各フレームのパターンを定義する方法は次の通りである。受信器620が受信した各フレームのTTRインディケーション信号TTR_Iはフレーム境界に従って+45°または−45°ではない第1位相または第2位相情報を含む。フレーム同期化器701は位相貯蔵ユニット702に貯蔵された位相情報に基づいて11個のフレーム各々のパターンを第1パターンTと第2パターンT′のうちいずれか一つに定義する。
【0055】
例えば、図9に示したように、受信スタート位置SP0から互いに同一の位相を有する一連のフレーム801〜803を第1パターンTとして分類すると、前記フレーム801〜803と位相が異なるフレーム805〜809は第2パターンT′として分類する。ここで、フレーム804には送信器600から伝送されたFEXT位相とNEXT位相が同時に存在するので、第1及び第2パターンT、T′のうちどちらでも分類できない。このように、第1及び第パターンT、T′のうちどちらでも分類できない未知のフレームは第3パターンUとして定義される。このような方法により定義された受信パターンRX_フレーム0は図9に示すと同一である。先の段階S803において、フレーム同期化器701がカウンタの値Nが11であるか否かを判別する理由は、第1及び第2パターンT、T′のうちどちらでも分類できない未知のフレームが存在するか否かが分かるためである。したがって、カウンタの値Nと比較される値は常に変更可能である。
【0056】
段階S805において、フレーム同期化器701は受信パターンRX_フレーム9を参照して、フレームのうち第1パターンTと第2パターンT′のうちどちらでも定義することができないため、第3パターンUとして定義されたフレームが存在するか否かを判別する。この実施形態において、フレーム804は第3パターンUとして分類されている。判別の結果、第3パターンUとして分類したフレームが存在すると、その制御は段階S806に進行する。
【0057】
段階S806において、フレーム同期化器701はフレーム境界を変更するためにFIFOバッファ623を制御するための制御信号CTRL1を活性化する。チャネル610を通じて受信された各シンボルは512個のサンプルで構成される。すなわち、周波数が276kHzであるパイロットトーン(すなわち、64番目のトーン)の1周期は512/64=8個のサンプルで構成され、周波数が207kHzであるTTRインディケーショントーン(すなわち、48番目のトーン)の1周期は512/48=10.666667個のサンプルで構成される。また、パイロットトーンとTTRインディケーショントーンは8と10.666667との最小公倍数である32番目のサンプルごとに同期される。したがって、パイロットトーンの位相とTTRインディケーションの位相を全部変更させないためには、受信信号を受信し始める位置は最小32サンプルずつ移動されるべきである。
【0058】
フレーム同期化器701からの制御信号CTRLが活性化されれば、FIFOバッフア623は自分に貯蔵されたデータのうちパイロットトーンの4周期とTTRインディケーショントーンTTR_Iの3周期に対応する32サンプルを削除し、削除したデータの以後のデータを出力する。すなわち、FIFOバッフア623から出力されるフレームの境界が変更される。
【0059】
段階S806が実行された後に、その制御はS800に戻る。段階S800において、カウンタの値Nを再び0に初期化し、上述のようなプロセスが繰り返して実行される。その結果、フレーム同期化器701によって定義された受信パターンRX_フレーム1は図9に示すそれと同一である。図9に示したように、受信パターンRX_フレーム1は依然として未知のパターン801を含んでいるので、FIFOバッファ623によってフレーム境界は32サンプルほど変更される。
【0060】
再び、段階S800において、カウンタの値Nを0に初期化し、上述のようなプロセスが繰り返して実行される。その結果、フレーム同期化器701によって定義された受信パターンRX_フレーム2は図9に示したように、依然として未知のパターン811を含んでいるので、FIFOバッファ623によってフレーム境界は32サンプルほど変更される。
【0061】
再び、段階S800において、カウンタの値Nを0に初期化し、上述のようなプロセスが繰り返して実行される。その結果、フレーム同期化器701によって定義された受信パターンRX_フレーム3は図9に示したように、未知のパターンを含んでいない。すなわち、フレーム同期化が達成されたことである。したがって、段階S805が実行された後に、その制御は段階S807に進行する。
【0062】
段階S807において、同期化器701はフレーム同期化信号F_SYNCを活性化する。段階S808において、フレーム同期化器701はFFT625から提供された位相情報から現在受信されたフレームがFEXTフレームであるか、NEXTフレームであるかを判別し、判別された結果に従ってクロストーク表示信号F/Nを出力する。例えば、現在受信されたフレームの位相が第1パターンTに属するか、第2パターンT′に属するかを判別してクロストーク表示信号F/Nを出力する。
【0063】
上述のような方法によって受信されたフレームがFEXTフレームであるか、NEXTフレームであるかを正確に区別されることができる。
【0064】
フレーム同期化信号F_SYNCが活性化されることによって、コリレータ703はフレーム同期化器701から提供されるクロストーク表示信号とハイパフレーム貯蔵ユニット704に貯蔵されたハイパフレームを比較し、現在受信されたフレームがハイパフレームの何番目のフレームであるかを判別する。コリレータ703は現在受信されたフレームがハイパフレームの何番目のフレームであるかが分かると、内部に具備されたカウンタをカウントアップしてハイパフレームの境界、すなわち、最後のフレームが終わる位置でハイパフレーム同期化信号HF_SYNCを活性化する。
【0065】
ハイパフレーム同期化信号HF_SYNCが活性化されることによって、ハイパフレーム同期化は終了し、ADSLシステムの後続初期化過程であるトレーニング課程が実行される。ハイパフレーム同期化が達成された後に、ADSL中央局220とADSL遠隔端末機222はクロストーク干渉による影響を最小化するために、例えば、FEXT区間の間、多量のデータを送信または受信し、NEXT区間には少量のデータを送信または受信する。
【0066】
このような実施形態によると、受信信号を受け入れる位置をパイロットトーンの位相とTTRインディケーショントーンの位相が全部変更されない32サンプルずつ移動させる簡単な方法によりフレーム同期化を達成することができる。
【0067】
図10は受信器620がフレーム同期化を実行する前に、FFT625から出力されるTTRインディケーション信号TTR_Iの位相を示す図面である。先の説明のように、TTRインディケーション信号TTR_Iの位相はFEXT区間である時に、第1位相であり、NEXT区間である時に、第2位相ではなければならない。しかし、受信器620が受信スタート位置SP0から受信された信号を受け入れた時に、FFT625から出力されるフレームの位相は図10に示したように、第1位相と第2位相だけではなく、第1位相と第2位相との間の位相が含まれる。
【0068】
図11は本発明の望ましい実施形態によるフレーム同期化過程が完了した後FFT625から出力されるTTRインディケーション信号TTR_Iの位相を示す図面である。図11を参照すると、48番目のトーン、すなわち、パイロット信号PILOTの位相が変更されないように受信信号の受信信号位置を32サンプルずつ移動させてハイパフレームが同期化されると、FFT625から出力されるTTRインディケーション信号TTR_Iの位相はNEXT区間に対応する第1位相またはFEXT区間に対応する第2位相である。
【0069】
例示的な望ましい実施形態を利用して本発明を説明したが、本発明の範囲は開示された実施形態に限定されないことがよく理解されるだろう。むしろ、本発明の範囲には多様な変形例及びそれと類似な構成を全部含むためである。したがって、請求範囲はそのような変形例及びそれと類似な構成全部を含むこととして、可能であれば、広く解釈されるべきである。
【0070】
【発明の効果】
このような本発明によると、TCM_ISDNシステムの通信ラインと同一のケーブル束で縛られたデジタル加入者ラインDSLとを通じて信号を送受信する通信システムの初期化過程でハイパフレーム同期化を達成することができる。
【図面の簡単な説明】
【図1】POTSとxDSL信号のスペクトラム割り当てを示す図面。
【図2】ISDN中央局ISDN−COとISDN遠隔端末機ISDN−RTとの間のTCM−ISDNリンクと共に共有されたケーブル束200を通じてADSL中央局の送受信器がADSL遠隔端末機の送受信器と連結された時に、クロストークの影響を示す図面。
【図3】TCM−ISDN信号のパワースペクトラム密度とDSLのNEXT及びFEXTパワースペクトラム密度を示す図面。
【図4】ISDN中央局とISDN遠隔端末機との間のデータ伝送に使用されるTTR信号とADSL中央局からADSL遠隔端末機に送信されるシンボルを示す図面。
【図5】TTR信号の34周期の間ADSL信号の1ハイパフレームを整理して示す表。
【図6】本発明の望ましい実施形態によるADSLシステムの送信器と受信器の構成を示す図面。
【図7】図6に示した同期化回路の詳細な構成を示す図面。
【図8】図7に示した同期化回路の望ましい実施形態によるフレーム同期化過程を示すフローチャート。
【図9】フレーム同期化過程を概念的に示す図面。
【図10】受信器がフレーム同期化が実行される前に、FFTから出力されるTTRインディケーション信号の位相を示す図面。
【図11】本発明の望ましい実施形態によるフレーム同期化過程が完了した後に、FFTから出力されるTTRインディケーション信号の位相を示す図面。
【符号の説明】
210 TCM−ISDN中央局
212 TCM−ISDN遠隔端末機
220 ADSL中央局
222 ADSL遠隔端末機
600 送信器
601 QAMエンコーダ
602 IFFT
603 並列−直列変換器
604 デジタル−アナログ変換器
610 チャネル
620 受信器
621 フィルータ及びアナログ−デジタル変換器
622 時間領域イコライザ
623 FIFOバッファ
624 直列−並列変換器
625 FFT
626 同期化回路
627 周波数領域イコライザ
628 QAMデコーダ

Claims (33)

  1. デジタル加入者ラインDSL受信器において、
    DSL信号を受信し、前記DSL信号の周波数分割多重化トーンに各々対応する信号サンプルを発生するDMT受信器回路と、
    前記DMT受信器回路によって発生した前記信号サンプルから複数の周波数分割多重化トーンのうち一つによって示すクロストークソース表示信号に対する位相情報を定義し、前記定義した位相情報に対応するDSL信号に対するフレーム同期化を定義する同期化回路とを備え、
    前記DMT受信器回路は、
    前記DSL信号のサンプルを受信して貯蔵するバッファと、
    前記貯蔵されたDSL信号のサンプルから前記複数の周波数分割多重化トーンに各々対応する信号サンプルを発生する高速フーリエ変換器とを含み、
    前記同期化回路は、
    前記定義された位相情報に応答して前記バッファに貯蔵された前記DSL信号のサンプルを予め設定された個数だけ選択的にスキップして前記高速フーリエ変換器に伝達するように制御信号を前記バッファに提供することを特徴とするDSL受信器。
  2. 前記クロストークソース表示信号はISDNタイミング基準表示信号を含むことを特徴とする請求項1に記載のDSL受信器。
  3. 前記DMT受信器回路は、
    前記貯蔵されたDSL信号のサンプルを直列データストリームから並列データストリームに変換し、前記並列データストリームを前記高速フーリエ変換器に提供する直列−並列変換器を含むことを特徴とする請求項1に記載のDSL受信器。
  4. 前記予め設定された個数のサンプルはISDNタイミング基準信号の周期に対応するサンプルの数とDSLパイロットトーンの周期に対応するサンプルとの数の最小公倍数であることを特徴とする請求項1に記載のDSL受信器。
  5. 前記同期化回路は、
    前記ISDNタイミング基準表示信号に対する位相情報に基づいて前記高速フーリエ変換器によって発生した信号サンプルのフレームサイズグループを分類し、一つまたはそれ以上の位相値に対応する前記ISDNタイミング基準表示信号に対する位相情報を有する前記サンプルのフレームサイズグループを検出することに応答して前記制御信号を発生し、
    前記バッファは前記制御信号の発生に応答して前記バッファに貯蔵された予め設定された個数のサンプルをスキップして前記高速フーリエ変換器に伝達するように動作することを特徴とする請求項1に記載のDSL受信器。
  6. 前記同期化回路は、前記高速フーリエ変換器によって発生した信号サンプルのフレームサイズグループのセットに対する位相情報を検出し、一つまたはそれ以上の位相情報に対応する前記ISDNタイミング基準表示信号に対する位相情報を有する前記信号サンプルのフレームサイズグループを含む前記セットの検出に応答して前記制御信号を発生することを特徴とする請求項5に記載のDSL受信器。
  7. 前記同期化回路は、
    一つまたはそれ以上の位相値に対応する前記ISDNタイミング基準表示信号に対する位相情報を有する信号サンプルの非フレームサイズグループを含むセットを検出することに応答してフレーム同期化を検出することを特徴とする請求項6に記載のDSL受信器。
  8. 前記同期化回路は前記フレーム同期化の検出に応答してDSLハイパフレームタイミングを検出することを特徴とする請求項7に記載のDSL受信器。
  9. 前記同期化回路は、
    前記高速フーリエ変換器から信号サンプルを受信し、前記受信された信号サンプルから前記ISDNタイミング基準表示信号に対する位相情報を検出し、前記検出された位相情報に応答してフレーム同期化表示及びクロストークタイプ表示信号を発生し、前記フレーム同期化表示信号及びFEXTフレーム表示信号に応答して前記受信された信号サンプルからフレームシーケンス数を検出し、前記検出されたフレームシーケンス数に基づいてハイパフレーム境界を決めることを特徴とする請求項1に記載のDSL受信器。
  10. 前記同期化回路は、
    前記高速フーリエ変換器からの信号サンプルを受信し、前記受信された信号サンプルからの前記ISDNタイミング基準表示信号に対する位相情報を検出し、前記検出された位相情報に応答して前記フレーム同期化表示信号及びクロストークタイプ表示信号を発生するフレーム同期化器と、
    前記フレーム同期化表示信号及びFEXTフレーム表示信号に応答して前記受信された信号サンプルからフレームシーケンス数を検出し、前記検出されたフレームシーケンス数に基づいてハイパフレーム境界を定義するコリレータとを含むことを特徴とする請求項9に記載のDSL受信器。
  11. デジタル加入者ラインDSL受信器において、
    時間領域内の信号を周波数領域内の信号に変換する復調器と、
    前記DSLを通じて受信された信号をフレーム境界に従って前記復調器に提供するバッファと、
    前記復調器から出力される一連の位相情報を利用してフレーム同期化が達成されたか否かを判別するフレーム同期化装置とを含み、
    前記フレーム同期化装置は、
    前記フレーム同期化が達成されない時に、所定のサンプル数だけ前記フレーム境界を変更することを特徴とするDSL受信器。
  12. 前記デジタル加入者ラインを通じて受信された信号は、TTRインディケーション信号とPILOT信号とを含むことを特徴とする請求項11に記載のDSL受信器。
  13. 前記フレーム境界は、
    前記TTRインディケーション信号の周期と前記PILOT信号の周期の最小公倍数だけ変更されることを特徴とする請求項12に記載のDSL受信器。
  14. 前記復調器から出力される位相情報は前記TTRインディケーション信号の位相情報であることを特徴とする請求項12に記載のDSL受信器。
  15. 前記フレーム同期化装置は、
    前記一連の位相情報各々がFEXT区間に対応する位相及びNEXT区間に対応する位相のうちいずれか一つに対応する時に、前記フレーム同期化が達成したと判別することを特徴とする請求項11に記載のDSL受信器。
  16. 前記バッフアは先入先出バッファで構成されることを特徴とする請求項11に記載のDSL受信機。
  17. デジタル加入者ラインDSL受信器において、
    アナログ信号をデジタル信号に変換するアナログ−デジタル変換器と、
    前記アナログ−デジタル変換器からの前記デジタル信号を順次に受け入れて貯蔵し、フレーム境界に従って貯蔵された順序に出力する第1バッファと、
    前記第1バッファから出力される直列デジタル信号を並列デジタル信号に変換する第2バッファと、
    前記第2バッフアから出力される時間領域内の前記並列デジタル信号を周波数領域内の信号に変換する高速フーリエ変換器と、
    前記高速フーリエ変換器から出力される一連の位相情報を受け入れて貯蔵し、貯蔵された位相情報を利用してフレーム同期化を実行するフレーム同期化装置とを含み、
    前記フレーム同期化装置は、
    前記一連の位相情報のうち少なくとも一つがFEXT区間に対応する位相及びNEXT区間に対応する位相のうちどちらでも対応しない時に、所定のサンプル数だけ前記フレーム境界が変更されるように制御することを特徴とするDSL受信器。
  18. 前記フレーム同期化装置は、
    位相貯蔵ユニットと、
    前記高速フーリエ変換器から出力される前記一連の位相情報を前記位相貯蔵ユニットに貯蔵し、前記貯蔵された位相情報のうち少なくとも一つがFEXT区間に対応する位相及びNEXT区間に対応する位相のうちどちらでも対応しない時に、前記フレーム境界が変更されるように制御するフレーム同期化器とを含むことを特徴とする請求項17に記載のDSL受信器。
  19. 前記デジタル加入者ラインを通じて受信された信号は、TTRインディケーション信号とPILOT信号とを含むことを特徴とする請求項18に記載のDSL受信器。
  20. 前記フレーム境界は、
    前記TTRインディケーション信号の周期と前記PILOT信号の周期の最小公倍数だけ変更されることを特徴とする請求項19に記載のDSL受信器。
  21. デジタル加入者DSL受信器の動作方法において、
    DSL信号を受信する段階と、
    前記DSL信号の周波数分割多重化トーンに各々対応する信号サンプルを発生する段階と、
    前記信号サンプルから複数の周波数分割多重化トーンのうちの一つにより示すクロストークソース表示信号に対する位相情報を定義する段階と、
    前記定義された位相情報に応答して前記DSL信号に対するフレームタイミングを定義する段階とを含み、
    前記DSL信号の周波数分割多重化トーンに各々対応する信号サンプルを発生する段階は、
    前記DSL信号のサンプルを貯蔵する段階と、
    前記複数の周波数分割多重化トーンに各々対応する前記信号サンプルを発生するために前記DSL信号のサンプルを高速フーリエ変換し、貯蔵する段階とを含み、
    前記DSL信号に対する前記フレームタイミングを定義する段階は、
    前記定義された位相情報に応答してバッファに貯蔵された予め設定された個数のDSL信号サンプルがバッファから高速フーリエ変換器に伝達されることを選択的にスキップするように前記バッファに制御信号を提供する段階を含むことを特徴とするDSL受信器の動作方法。
  22. 前記クロストークソース表示信号はISDNタイミング基準表示信号を含むことを特徴とする請求項21に記載のDSL受信器の動作方法。
  23. 前記予め設定された個数のサンプルは、
    ISDNタイミング基準信号の周期に対応するサンプルの個数及びDSLパイロットトーンの周期に対応するサンプルの個数に対応するサンプルの個数の最小公倍数であることを特徴とする請求項21に記載のDSL受信器の動作方法。
  24. 前記制御信号を前記バッファに提供する段階は、
    前記ISDNタイミング基準表示信号に対する位相情報に基づいて前記高速フーリエ変換器によって発生した信号サンプルのフレームサイズグループを分類する段階と、
    一つ以上の位相値に対応する前記ISDNタイミング基準表示信号に対する位相情報を有する前記サンプルのフレームサイズグループを検出することに応答して前記制御信号を発生する段階とを含み、
    前記バッファは前記バッファに貯蔵された予め設定された個数のサンプルが前記高速フーリエ変換器に伝達されることをスキップするように動作することを特徴とする請求項21に記載のDSL受信器の動作方法。
  25. 前記制御信号をバッファに提供する段階は、
    前記高速フーリエ変換器によって発生した信号サンプルのフレームサイズグループのセットに対する位相情報を検出する段階と、
    一つ以上の位相値に対応する前記ISDNタイミング基準表示信号に対する位相情報を有する信号サンプルのフレームサイズグループを含む前記セットを検出することに応答して前記制御信号を発生する段階とを含むことを特徴とする請求項24に記載のDSL受信器の動作方法。
  26. 一つ以上の位相情報に対応するISDNタイミング基準表示信号に対する位相情報を有する信号サンプルの非フレームサイズグループを含む前記セットを検出することに応答してフレーム同期化を検出する段階をさらに含むことを特徴とする請求項25に記載のDSL受信器の動作方法。
  27. フレーム同期化の検出に応答してDSLハイパフレームタイミングを検出する段階をさらに含むことを特徴とする請求項26に記載のDSL受信器の動作方法。
  28. TCM−ISDNシステムの通信ラインと隣接したデジタル加入者ラインを通じてフレームの信号を受信する受信器のフレーム同期化方法において、
    (a)フレーム境界から多数のフレームを順次に受信する段階と、
    (b)前記受信された各フレームに含まれたTTRインディケーション信号の位相情報を、受信したDSL信号のサンプルを予め設定された個数だけを選択的にスキップしながらTTRインディケーション信号の位相を解析することにより検出する段階と、
    (c)前記検出された位相情報のうちFEXT区間に対応する位相及びNEXT区間に対応する位相のうちどちらでも対応しない位相情報が存在するか否かを判別する段階と、
    (d)前記検出された位相情報のうち前記FEXT区間に対応する位相及び前記NEXT区間に対応する位相のうちどちらにも対応しない位相情報が存在する時に、予め設定された時間だけ前記フレーム境界が変更されるように制御する段階と、
    (e)前記検出された位相情報全部が前記FEXT区間に対応する位相及び前記NEXT区間に対応する位相のうちいずれか一つに対応するまで前記段階(a)乃至(d)を繰り返して実行する段階とを含むことを特徴とするフレーム同期化方法。
  29. 前記各フレームは前記TTRインディケーション信号とPILOT信号を含むことを特徴とする請求項28に記載のフレーム同期化方法。
  30. 前記フレーム境界を制御する段階は、
    前記フレーム境界を前記TTRインディケーション信号の周期と前記PILOT信号の周期の最小公倍数だけ変更することを特徴とする請求項29に記載のフレーム同期化方法。
  31. TCM−ISDNシステムの通信ラインと隣接したデジタル加入者ラインを通じて通信するシステムで複数のフレームで構成されたフレームの同期化方法において、
    (a)フレーム境界から多数のフレームを順次に受信する段階と、
    (b)前記受信された各フレームに含まれたTTRインディケーション信号の位相情報を、受信したDSL信号のサンプルを予め設定された個数だけを選択的にスキップしながらTTRインディケーション信号の位相を解析して貯蔵する段階と、
    (c)前記貯蔵された位相情報に基づいて前記各フレームを第1パターン及び第2パターンのうちいずれか一つに定義する段階と、
    (d)前記フレームのうち前記第1パターン及び第2パターンのうちどちらでも定義できないフレームが存在するか否かを判別する段階と、
    (e)前記フレームのうち前記第1パターン及び第2パターンのうちどちらにも定義できないフレームが存在する時に、予め設定された時間だけ前記フレーム境界が変更されるように制御する段階と、
    (f)前記フレーム全部が前記第1パターン及び第2パターンのうちいずれか一つに定義されるまで前記段階(a)乃至(e)を繰り返して実行する段階とを含むことを特徴とするフレーム同期化方法。
  32. 前記フレーム全部が前記第1パターン及び第2パターンのうちいずれか一つに定義される時に、フレーム同期化信号を活性化する段階をさらに含むことを特徴とする請求項31に記載のフレーム同期化方法。
  33. 前記第1パターンはFEXT区間に対応するパターンであり、前記第2パターンはNEXT区間に対応するパターンであることを特徴とする請求項32に記載のフレーム同期化方法。
JP2003122983A 2002-05-22 2003-04-25 Tcm−isdnラインとデジタル加入者ラインを利用した通信システム及びこれのフレーム同期化方法 Expired - Fee Related JP4448289B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2002-028477 2002-05-22
KR10-2002-0028477A KR100476896B1 (ko) 2002-05-22 2002-05-22 티씨엠-아이에스디엔 라인과 공존하는 디지털 가입자라인을 이용한 통신 시스템 및 그것의 프레임 동기화 방법

Publications (2)

Publication Number Publication Date
JP2003348043A JP2003348043A (ja) 2003-12-05
JP4448289B2 true JP4448289B2 (ja) 2010-04-07

Family

ID=29546337

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003122983A Expired - Fee Related JP4448289B2 (ja) 2002-05-22 2003-04-25 Tcm−isdnラインとデジタル加入者ラインを利用した通信システム及びこれのフレーム同期化方法

Country Status (4)

Country Link
US (1) US7280603B2 (ja)
JP (1) JP4448289B2 (ja)
KR (1) KR100476896B1 (ja)
TW (1) TWI222297B (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7142501B1 (en) * 2001-12-26 2006-11-28 Cisco Technology, Inc. Method and apparatus for eliminating near-end crosstalk in a digital subscriber line system
US7760635B2 (en) * 2002-11-13 2010-07-20 Broadcom Corporation Apparatus and method for latency control in a communications system
EP1609267A1 (en) * 2003-03-31 2005-12-28 Telecom Italia S.p.A. Method of ofdm transmission-in a millimetre-wave wland and corresponding system
US7468987B1 (en) * 2003-05-09 2008-12-23 Cisco Technology, Inc. Method and apparatus for detecting a DS3 frame format
US7668200B2 (en) * 2003-06-30 2010-02-23 Broadcom Corporation Apparatus and method for latency control in a communications system
KR100705588B1 (ko) 2006-02-03 2007-04-09 삼성전자주식회사 디지털 가입자 라인의 ttr 옵셋 조절장치 및 그 방법
KR100738558B1 (ko) * 2006-02-10 2007-07-11 삼성전자주식회사 Tcm isdn 기술이 적용된 차세대 네트워크 시스템 및그 제어방법
JP4835293B2 (ja) * 2006-07-13 2011-12-14 日本電気株式会社 送信出力制御装置、マルチキャリア伝送システム、送信出力制御方法及び送信出力制御プログラム
FI121770B (fi) * 2007-05-28 2011-03-31 Tellabs Oy Menetelmä ja järjestely tahdistusinformaation siirtämiseksi
US8442131B2 (en) * 2008-01-14 2013-05-14 Futurewei Technologies, Inc. Power reduction for digital subscriber line

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5627863A (en) * 1994-07-15 1997-05-06 Amati Communications Corporation Frame synchronization in multicarrier transmission systems
SE9703629L (sv) * 1997-03-03 1998-09-04 Telia Ab Förbättringar av, eller med avseende på, synkronisering
US5991311A (en) * 1997-10-25 1999-11-23 Centillium Technology Time-multiplexed transmission on digital-subscriber lines synchronized to existing TCM-ISDN for reduced cross-talk
JP3191767B2 (ja) * 1998-04-10 2001-07-23 三菱電機株式会社 ディジタル通信装置
JP3257538B2 (ja) * 1999-05-18 2002-02-18 日本電気株式会社 非対称ディジタル加入者線通信装置
GB2353680A (en) * 1999-08-27 2001-02-28 Mitsubishi Electric Inf Tech OFDM frame synchronisation
DE69909292T2 (de) * 1999-10-13 2004-04-22 Stmicroelectronics N.V. Synchronisierung von Symbolen in einem DMT-System mit Übersprechstörung
JP3732707B2 (ja) * 2000-03-16 2006-01-11 富士通株式会社 加入者側通信装置における再同期制御装置および再同期方法
KR100435806B1 (ko) * 2002-01-08 2004-06-10 삼성전자주식회사 티씨엠-아이에스디엔 라인과 공존하는 디지털 가입자라인을 이용한 통신 시스템

Also Published As

Publication number Publication date
JP2003348043A (ja) 2003-12-05
KR100476896B1 (ko) 2005-03-17
TWI222297B (en) 2004-10-11
TW200307429A (en) 2003-12-01
US7280603B2 (en) 2007-10-09
KR20030090878A (ko) 2003-12-01
US20030219076A1 (en) 2003-11-27

Similar Documents

Publication Publication Date Title
US6519291B1 (en) Reduction of interference in discrete multi-tone (DMT) based communications systems
EP1098463B1 (en) Digital subscriber's line transmitting method and device
KR100421212B1 (ko) 디지탈가입자선에서고속다수반송파데이터신호의연속전송을위한다수반송파시스템의다점전송방법
US7372899B2 (en) Reduced symbol rate handshake signaling in ADSL systems
JP4448289B2 (ja) Tcm−isdnラインとデジタル加入者ラインを利用した通信システム及びこれのフレーム同期化方法
KR20010032820A (ko) 통신 장치 및 방법
KR20040009956A (ko) 홈 네트웍에서 스테이션 인식 및 링크 설정 방법
JP4733835B2 (ja) マルチキャリア伝送システムにおける高速ビットスワッピング
US8705676B2 (en) Method and apparatus for clock recovery in XDSL transceivers
JP3940411B2 (ja) ディジタル加入者線伝送方法および伝送装置
JP4008806B2 (ja) 通信システム
KR100512172B1 (ko) 비대칭 디지털 가입자 라인 시스템
JP2001298499A (ja) 周期性雑音環境下におけるディジタル加入者線伝送方法及び伝送装置及び送受信装置
KR20020035956A (ko) 전력선 통신용 시분할 이분/시분할 다중접속 방식 이산다중파 시스템의 통신 초기화 방법
JPH11308334A (ja) デジタル加入者線伝送システム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081201

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090713

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090811

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091211

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100105

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130129

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140129

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees