JP4418708B2 - タイミング制約作成方法 - Google Patents

タイミング制約作成方法 Download PDF

Info

Publication number
JP4418708B2
JP4418708B2 JP2004138916A JP2004138916A JP4418708B2 JP 4418708 B2 JP4418708 B2 JP 4418708B2 JP 2004138916 A JP2004138916 A JP 2004138916A JP 2004138916 A JP2004138916 A JP 2004138916A JP 4418708 B2 JP4418708 B2 JP 4418708B2
Authority
JP
Japan
Prior art keywords
constraint
timing
input
pin
timing constraint
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004138916A
Other languages
English (en)
Other versions
JP2005321980A (ja
Inventor
孝文 中柴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2004138916A priority Critical patent/JP4418708B2/ja
Priority to US11/121,083 priority patent/US7249334B2/en
Publication of JP2005321980A publication Critical patent/JP2005321980A/ja
Application granted granted Critical
Publication of JP4418708B2 publication Critical patent/JP4418708B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Description

本発明は論理回路のタイミング制約作成方法に関し、階層設計において特に誤りのない上位階層のタイミング制約を作成するタイミング制約作成方法に関するものである。
近年におけるプロセスの微細化に伴い、設計される回路は大規模化、且つ複雑化しており、実現すべき回路を特定の部分機能に分割し、部分回路毎に並行して設計を進める階層設計が主流になっている。
また、上位階層のタイミング制約に基づき、下位階層のタイミング制約を作成し、論理回路を設計する技術に関しては、例えば、特許文献1に記載がある。
ここで、階層設計した論理回路の一例を図3に示す。最上位階層31は設計対象の論理回路全体を示し、部分回路を構成する回路ブロック32、33から構成される。さらに、回路ブロック32は部分回路を構成する回路ブロック34、35から構成される。回路ブロック34はプリミティブ回路36、37、38から構成され、最下位階層であることを示す。
特開平10−187787号公報
ところで、一般的に、論理回路のタイミング設計は論理合成ツール、論理最適化ツール、タイミング解析ツール等を用いて行われ、実現すべき回路仕様を規定した回路制約が必要である。この回路制約には、回路内のある素子からそれに接続されている素子までの信号の伝播時間を規定する制約等のタイミング制約が含まれる。
階層毎にタイミング設計を進める場合、各階層に対応したタイミング制約が必要となり、設計対象が論理回路全体の場合、最上位階層に対応するタイミング制約を作成することになる。最上位階層に対応するタイミング制約の作成は回路仕様を基に設計者自身が作成する場合もあるが、設計資産の流用という観点から、既に存在する下位階層のタイミング制約を基に上位階層のタイミング制約を作成する方法も提案されており、これまで複数のチップで実現していた機能を1チップ化するSOC設計で利用される場合が多い。
図4はこのタイミング制約作成方法の本発明者の提案を示す処理ステップの流れ図であり、ステップ41は、階層構造を持つ論理回路データ、論理回路のプリミティブ情報を保持するライブラリデータおよび前記論理回路の下位階層のタイミング制約を入力するデータ入力ステップ、ステップ42は下位階層の制約を上位階層の制約に変換する制約変換ステップである。
以上のように構成されたタイミング制約作成方法について、図5および図6を参照しながらその動作について説明する。
図5は、図4に示した制約変換ステップ42の詳細を示す流れ図である。また、図6は、入力された論理回路及びタイミング制約の提案例を示す図である。60は、図中の全ての論理回路を含む上位階層を示している。下位階層ブロックBLKA61及び下位階層ブロックBLKB62は、上位階層60の1つ下の階層を示しており、下位階層ブロックBLKA61は、フリップフロップ(FF)611と組合せ回路612とからなり、また、下位階層ブロックBLKB62は、FF621と組合せ回路622とからなる。下位階層ブロックBLKA61のFF611はクロックCK1を受け、下位階層ブロックBLKB62のFF622はクロックCK2を受ける。下位階層61のブロックピンOUT613にはタイミング制約63が設定されており、出力遅延制約631及びマルチサイクル制約632を含み、また、下位階層62のブロックピンIN623にはタイミング制約64が設定されており、入力遅延制約641及びマルチサイクル制約642を含む。
ここで、図6を用いて、図5の制約変換ステップの流れを説明する。
まず、ステップ51において下位階層に設定されているタイミング制約を一つ抽出し、続くステップ52で、その抽出したタイミング制約が変換対象の制約であるか否かを判定する。例えば、図6に示す提案例では、抽出されたタイミング制約が出力遅延制約631の場合は、上位階層においては不必要なタイミング制約であると判断され、マルチサイクル制約632の場合は必要と判断される。変換対象であると判断された場合は、ステップ53でタイミング制約の下位階層の設定ポイントを上位階層の設定ポイントへ読み替える設定ポイントの変更を行う。例えば、マルチサイクル制約632の設定ポイントは下位階層におけるOUTから上位階層における下位階層ブロックBLKA/OUTに変更される。
一方、変換対象ではないと判断された場合は、ステップ54において、すべてのタイミング制約が抽出されたかどうかを判断し、未抽出のタイミング制約が存在する時はステップ51に戻る。そして、すべてのタイミング制約が抽出された後、ステップ55で変更後のタイミング制約を出力する。この出力としては、上位階層60に対し、図7に示すようなタイミング制約が出力される。すなわち、図6の下位階層ブロックBLKA61のブロックピンOUT613に設定されたタイミング制約63中のマルチサイクル制約632である「mcp 2 ‐to OUT」が、図7の「mcp 2 ‐through BLKA/OUT」として設定ポイントの変更が行われ、上位階層におけるタイミング制約として出力される。下位階層ブロックBLKB62のブロックピンIN623のタイミング制約64に対しても同様である。
しかしながら、上述のようなタイミング制約作成方法では、例えば、上記のマルチサイクル制約のように、下位階層のタイミング制約であり、且つ上位階層のタイミング制約としても反映される制約である場合、それぞれの下位階層の参照するクロックCK1及びCK2の整合性を考慮せず、下位階層のタイミング制約としてのマルチサイクル制約をそのまま上位階層のタイミング制約としてしまうため、種々の参照クロックを含むタイミング制約を有する下位階層回路を組み合わせる場合、組み合わされた上位階層回路においては、タイミングが不適切な設計となってしまう場合がある。すなわち、下位階層同士の接続において、整合性を考慮せず、接続を行ってしまうため、不整合な要素を含んでいた場合には、上位階層においては、過剰もしくは過小なタイミング制約が作成される場合があり、更には、下位階層間のインタフェース仕様の誤りを解析するためのデバッグ工程が必要になる可能性がある。また、場合によっては、回路仕様を満たすことができず、上位階層の設計後に下位階層のタイミング設計を再度やり直すことになる。
本発明は、上記の問題点を解決するものであり、下位階層間のインタフェース仕様の整合性を判断することにより、誤りのない上位階層のタイミング制約を作成するタイミング制約作成方法を提供することを目的とする。
上記目的を達成するために、本発明のタイミング制約作成方法は、下位階層回路を組み合わせて論理回路を設計する階層設計において、下位階層の個々の下位階層回路に設定されたタイミング制約に基づき、これらの下位階層のタイミング制約間のインターフェイス仕様の整合性を判断する構成を採用する。
更に、不整合が見つかった場合には下位階層のタイミング制約に修正を施した後に、確実に上位階層のタイミング制約を満たす状態にして、上位階層のタイミング制約へ制約変換する。
すなわち、請求項1記載の発明のタイミング制約作成方法は、論理回路の下位階層回路及び上位階層回路のデータからなる階層関係を考慮した階層設計上の前記上位階層のタイミング制約を作成するタイミング制約作成方法において、階層構造を持つ前記論理回路のデータ、前記論理回路のプリミティブ情報を保持するライブラリデータ、及び前記下位階層回路が有するタイミング制約を入力手段によって入力するデータ入力ステップと、互いに接続される前記各下位階層回路が有する下位階層のタイミング制約間の少なくとも1つのインターフェイス仕様の整合性を判定手段によって判定する整合性判定ステップとを含み、前記インターフェイス仕様は、前記下位階層回路の有するタイミング制約であって、前記下位階層回路が参照するクロックである参照クロック情報、前記下位階層回路と接続される他の下位階層回路間のタイミングを変更するタイミング例外情報、前記下位階層回路の入力又は出力に付される外部遅延制約としての入力遅延情報又は出力遅延情報を含むことを特徴とする。
請求項2記載の発明は、請求項1記載のタイミング制約作成方法において、前記整合性判定ステップは、前記下位階層回路の入力ピン又は出力ピンに設定された前記入力遅延情報又は前記出力遅延情報が参照する前記参照クロック情報を抽出する参照クロック情報抽出ステップと、接続される前記下位階層回路と前記他の下位階層回路において前記入力ピンを経由するパスの始点又は前記出力ピンを経由するパスの終点の前記参照クロック情報を抽出する参照先クロック情報抽出ステップと、前記参照クロック情報抽出ステップ及び前記参照先クロック情報抽出ステップでそれぞれ抽出した前記参照クロック情報同士を比較するクロック情報比較ステップとを含むことを特徴とする。
請求項3記載の発明は、請求項1記載のタイミング制約作成方法において、前記整合性判定ステップは、前記下位階層回路の入力ピン又は出力ピンに設定された前記タイミング例外情報を抽出するタイミング例外情報抽出ステップと、前記入力ピンを有する前記下位階層回路の接続対象となる接続先下位階層回路のピン又は前記出力ピンを有する前記接続先下位階層回路のピンに設定された接続先の前記タイミング例外情報を抽出する接続先タイミング例外情報抽出ステップと、前記タイミング例外情報抽出ステップ及び前記接続先タイミング例外情報抽出ステップでそれぞれ抽出した前記タイミング例外情報と前記接続先のタイミング例外情報とを比較するタイミング例外情報比較ステップとを含むことを特徴とする。
請求項4記載の発明は、請求項1記載のタイミング制約作成方法において、前記整合性判定ステップは、前記下位階層回路の入力ピンに設定された前記入力遅延情報又は出力ピンに設定された前記出力遅延情報を抽出する入出力遅延情報抽出ステップと、前記入力ピン又は出力ピンの接続対象となる接続先下位階層回路の出力ピン又は入力ピンに設定された接続先入出力遅延情報を抽出する接続先入出力遅延情報抽出ステップと、前記入出力遅延情報抽出ステップで前記入出力遅延情報が抽出された前記入力ピン又は出力ピンと、この入力ピン又は出力ピンの接続対象となる下位階層回路のピンであって、前記接続先入出力遅延情報抽出ステップで入出力遅延情報が抽出された出力ピン又は入力ピンを経由するパスの始点又は終点の参照クロック情報に基づいて前記パスの始点から終点までの信号の伝播時間の最大許容値を算出するパス要求時間算出ステップと、前記入力ピンとこの入力ピンを経由するパスの終点との間における信号伝播時間の最大制約要求時間と、前記出力ピンとこの出力ピンを経由するパスの始点との間における信号伝播時間の最大制約要求時間とを、前記入出力遅延情報と、前記出力ピン又は入力ピンを経由するパスの始点又は終点の参照クロック情報とに基づいて算出する、制約要求時間算出ステップと、整合性判定の基準となる許容値を指定する許容値指定ステップと、前記パス要求時間算出ステップ及び前記制約要求時間算出ステップで算出した伝播時間の前記最大許容値及び前記最大制約要求時間と前記許容値指定ステップで指定した前記許容値とに基づいて、入出力遅延情報の妥当性を判定する入出力遅延情報判定ステップとを含むことを特徴とする。
請求項5記載の発明は、請求項1記載のタイミング制約作成方法において、前記整合性判定ステップで不整合であると判断された場合、警告表示手段によって不整合箇所を警告表示する警告表示ステップを有することを特徴とする。
請求項6記載の発明は、請求項5記載のタイミング制約作成方法において、前記警告表示ステップで警告表示された不整合箇所のタイミング制約を整合の取れるように制約編集手段によって編集するタイミング制約編集ステップを有することを特徴とする。
請求項7記載の発明は、請求項6記載のタイミング制約作成方法において、前記整合性判定ステップで不整合であると判断された場合、前記下位階層回路のタイミング制約情報に対して前記タイミング制約編集ステップで編集した結果に基づいて、入力された前記下位階層回路のタイミング制約を制約変換手段によって上位階層回路のタイミング制約に変換する制約変換ステップを有することを特徴とする。
請求項8記載の発明は、請求項7記載のタイミング制約作成方法において、前記制約変換ステップは、前記上位階層回路のタイミング制約及び編集後の下位階層回路のタイミング制約を出力することを特徴とする。
請求項9記載の発明は、請求項7記載のタイミング制約作成方法において、前記下位階層回路のタイミング制約情報は、前記制約変換ステップにより制約変換されて、前記上位階層回路のタイミング制約となる上位変換タイミング制約と、前記制約変換ステップにより制約変換されて、前記上位階層回路のタイミング制約に現れない下位限定タイミング制約とのうち、少なくとも一方を含むことを特徴とする。
請求項10記載の発明は、請求項9記載のタイミング制約作成方法において、前記上位変換タイミング制約は、マルチサイクル制約であることを特徴とする。
請求項11記載の発明は、請求項9記載のタイミング制約作成方法において、前記下位限定タイミング制約は、入出力遅延制約であることを特徴とする。
以上により、請求項1記載の発明では、データ入力ステップにおいて入力された下位階層の複数の回路のタイミング制約等のデータに基づいて、それらの下位階層のタイミング制約間のインターフェイス仕様の整合性を整合性判定ステップにより判定することにより、過小又は過剰となる上位階層のタイミング制約の作成を防ぐことができる。
請求項2記載の発明では、上位階層のタイミング制約作成の対象となる各下位階層の回路ブロックに属する入力又は出力ピン間における下位階層タイミング制約のうち、それぞれのピンに設定された入出力遅延制約の参照するクロック情報を抽出し、これら抽出した参照クロック情報を比較することにより、クロック情報についての整合性を判定する。
請求項3記載の発明では、上位階層のタイミング制約作成の対象となる各下位階層の回路ブロックに属する入力又は出力ピン間における下位階層タイミング制約のうち、参照クロックに基づいて、参照クロック以外のタイミングを例外的に作成するための、それぞれのピンに設定されたタイミング例外情報を抽出し、この抽出した情報を比較することにより、タイミング例外情報の設定についての整合性を判定する。
請求項4記載の発明では、上位階層のタイミング制約作成の対象となる各下位階層の回路ブロックに属する入力又は出力ピン間における下位階層タイミング制約のうち、それぞれのピンに設定され、このピンの属する回路ブロック外部に許容される入出力遅延情報を抽出し、それぞれのピンが参照するクロック情報に基づいて信号の伝播時間の最大許容値を算出し、これら算出された信号伝播時間の最大許容値を、所定の許容値から差し引き、この結果により、入出力遅延情報の妥当性、すなわち、整合性を判定する。
請求項5記載の発明では、請求項1記載のタイミング制約作成方法における整合性判定ステップにより、不整合があると判断された場合に、その不整合箇所を警告表示する。
請求項6記載の発明では、請求項5記載のタイミング制約作成方法において警告表示された不整合箇所を、整合性のとれるタイミング制約に編集する。
請求項7記載の発明では、請求項6記載のタイミング制約作成方法において、編集された、整合性の確保された下位階層のタイミング制約に対して、上位階層のタイミング制約に変換する。
以上説明したように、請求項1〜4記載の発明によれば、下位階層のタイミング制約間のインタフェース仕様の整合性を予め判断することで、過小又は過剰となる上位階層のタイミング制約の作成を防止し、回路面積増大の要因および上位階層のタイミング解析時の擬似エラーの要因を事前に排除できる。
また、請求項5記載の発明によれば、下位階層のタイミング制約間のインターフェイス仕様の不整合箇所を警告表示することで、下位階層のインタフェース仕様の不整合に気づき、無駄な論理合成処理時間、タイミング解析処理時間を抑制できる。
また、請求項6記載の発明によれば、関連する下位階層間の不整合箇所を確認しながら、タイミング制約を容易に修正できる。
さらに、請求項7記載の発明によれば、編集した下位階層のタイミング制約を反映できるので、下位階層のタイミング制約間のインタフェース仕様に不整合があった場合でも、完成度の高い上位階層のタイミング制約を作成することができる。
加えて、請求項8記載の発明によれば、不整合があった下位階層のタイミング制約を再度作成する手間も省ける。
以下、本発明の実施の形態について図面を参照しながら説明する。
(第1の実施の形態)
先ず、図1、図2及び図8を用いて、第1の実施の形態を示す。
図1は本発明の実施の形態1における処理ステップの流れ図である。ここで、11はデータ入力ステップであり、階層構造を有する論理回路のデータ、論理回路のプリミティブ情報を保持するライブラリデータ、及び論理回路における下位階層の論理回路が有するタイミング制約が入力される。12は整合性判定ステップであり、データ入力ステップ11において入力されたデータに基づいて下位階層のタイミング制約の整合性が判定される。また、13は制約変換ステップであり、整合性判定後の下位階層のタイミング制約が上位階層のタイミング制約に変換される。図4に示した従来例との違いは、データ入力ステップ11と制約変換ステップ13との間に、下位階層のタイミング制約間のインターフェイス仕様の整合性を判断する整合性判定ステップ12を備えた点である。
図2は整合性判定ステップ12の実施の形態1における処理ステップの流れ図であり、21は、下位階層の入力又は出力ピンに設定された入出力遅延制約(入力遅延情報及び出力遅延情報)において参照されるクロック情報を抽出する参照クロック情報抽出ステップ、22は、ステップ21の入力又は出力ピンを経由するパスにおいて、入力ピンに対しては始点、また、出力ピンに対しては終点の参照クロック情報を抽出する参照先クロック情報抽出ステップ、23は、ステップ21及びステップ22において抽出された参照クロック情報を比較することにより整合性を判定するクロック情報比較ステップである。
図8は、本実施の形態における入力された論理回路及びタイミング制約の例を示す図である。80は、図中の全ての論理回路を含む上位階層を示している。下位階層ブロックBLKA81及び下位階層ブロックBLKB82は、上位階層80の1つ下の階層を示しており、下位階層ブロックBLKA81は、フリップフロップ(FF)811と、組合せ回路812とからなり、また、下位階層ブロックBLKB82は、FF821と、組合せ回路822とからなる。下位階層ブロックBLKA81のFF811は周期5nsのクロックCK1を受け、下位階層ブロックBLKB82のFF822は周期10nsのクロックCK2を受ける。下位階層81のブロックピンOUT813にはタイミング制約83が設定されており、出力遅延制約831及びマルチサイクル制約832を含み、また、下位階層82のブロックピンIN823にはタイミング制約84が設定されており、入力遅延制約841及びマルチサイクル制約842を含む。
ここで、図1のように構成されたタイミング制約作成方法について、図2および図8を参照しながらその動作について説明する。
まず、図2の参照クロック情報抽出ステップ21により、下位階層のピンに設定された入出力遅延情報の参照クロック情報を抽出する。例えば、図8においては、下位階層ブロックBLKA81のピンOUT813に設定された出力遅延制約831が選択された場合、参照クロックとしてクロックCK1が抽出される。
次に、参照先クロック情報抽出ステップ22により、下位階層のピンを経由するパスの始点または終点の参照クロック情報を抽出する。ここで、ピンを経由するパスの探索は選択されているピンの入出力属性によって決まる。例えば、入力ピンが選択された場合は、入力方向に探索し、パスの始点を抽出する。同様にして、出力ピンが選択された場合は、出力方向に探索し、パスの終点を抽出する。具体的には、図8において、ピンOUT813が選択された場合、出力方向に探索し、パスの終点となるFF822が抽出され、参照クロックとしてCK2が抽出される。
最後に、クロック情報比較ステップ23において、参照クロック情報抽出ステップ21で抽出した参照クロックと参照先クロック情報抽出ステップ22で抽出した参照クロックとが比較される。
本実施の形態では、参照クロック情報抽出ステップ21で抽出した参照クロックCK1の周期は5ns、参照先クロック情報抽出ステップ22で抽出した参照クロックの周期は10nsである。したがって、ピンOUT813に関しては、下位階層のタイミング制約83及び84からなるインタフェース仕様、すなわち、参照クロック情報CK1と参照先クロック情報CK2との間に不整合があると判断される。
(第2の実施の形態)
次に、図8、図9及び図10を用いて、第2の実施の形態について示す。
図9は本発明の第2の実施の形態における処理ステップの流れ図である。第1の実施の形態との違いは、参照クロック情報を比較してインタフェース仕様の不整合を判断する整合性判定ステップ12の代わりに、接続される下位階層間のタイミングを変更する、タイミング制約としてのタイミング例外情報を比較することにより、インタフェース仕様の不整合を判断する整合性判定ステップ12Aを備えた点である。尚、本実施の形態以下において、同じ符号は同じ構成要素であることを示す。
図10は第2の実施の形態における整合性判定ステップ12Aの処理を示した流れ図である。図10において、101はタイミング例外情報抽出ステップであり、下位階層の入力ピン又は出力ピンに設定されたタイミング例外情報を抽出する。102は接続先タイミング例外情報抽出ステップであり、上記タイミング例外情報抽出ステップ101においてタイミング例外情報を抽出する対象となった下位階層の回路ブロックと接続される他の下位階層の回路ブロックの入力ピン又は出力ピンに設定されたタイミング例外情報を抽出する。また、103はタイミング例外情報比較ステップであり、上記タイミング例外情報抽出ステップ101及び参照先タイミング例外情報抽出ステップ102において抽出されたそれぞれのタイミング例外情報同士を比較する。
ここで、図9のように構成されたタイミング制約作成方法について、図8および図10を参照しながらその動作について説明する。
まず、図10のタイミング例外情報抽出ステップ101により、接続される下位階層同士のタイミングを変更するためのタイミング制約であるタイミング例外情報を抽出する。例えば、図8においては、下位階層ブロックBLKA81のピンOUT813に設定されたマルチサイクル制約(タイミング例外情報)832が抽出されたとする。
次に、接続先タイミング例外情報抽出ステップ102により、下位階層のピンに接続する前記下位階層以外の階層、すなわち、前記下位階層のピンが接続される他の回路ブロックの入力又は出力ピンに設定されたタイミング例外情報を抽出する。ここで、ピンに接続するパスの探索は選択されているピンの入出力属性によって決まる。例えば、入力ピンが選択された場合は、入力方向に探索し、接続される他の下位階層のピンを抽出する。また、出力ピンが選択された場合は、出力方向に探索し、接続される他の下位階層のピンを抽出する。具体的には、図8において、ピンOUT813が抽出された場合、出力方向に探索し、下位階層ブロックBLKA81に接続される他の下位階層ブロックBLKB82のピンIN823が抽出され、マルチサイクル制約842が抽出される。
最後に、タイミング例外情報比較ステップ103において、タイミング例外情報抽出ステップ101で抽出したタイミング例外情報と接続先タイミング例外情報抽出ステップ102で抽出したタイミング例外情報とが比較される。
本実施の形態では、タイミング例外情報抽出ステップ101で抽出したマルチサイクル制約832の乗数は4、接続先タイミング例外情報抽出ステップ102で抽出したマルチサイクル制約842の乗数は2である。したがって、ピンOUT813に関しては、下位階層のタイミング制約83及び84間のインタフェース仕様には、マルチサイクル制約842とマルチサイクル制約832との間に不整合があると判断される。
(第3の実施の形態)
次に、図11、図12、図13及び図14を用いて、第3の実施の形態について説明する。
図11は本発明の第3の実施の形態における処理ステップの流れ図である。第1の実施の形態との違いは、参照クロック情報を比較してインタフェース仕様の整合性を判断する整合性判定ステップ12の代わりに、入出力遅延情報の妥当性を判断してインタフェース仕様の整合性を判断する整合性判定ステップ12Bを備えた点である。ここで、入出力遅延情報とは、出力ピンに対する外部制約としての出力遅延制約又は入力ピンに対する外部制約としての入力遅延制約のことである。
図12は、図11における整合性判定ステップ12Bの処理を示した流れ図である。ここで、121は入出力遅延情報抽出ステップであり、下位階層のピンに設定されたタイミング制約のうち、入出力遅延情報が抽出される。122は接続先入出力遅延情報抽出ステップであり、ステップ121において入出力遅延情報が抽出されたピンが接続される他の下位階層、すなわち、他の論理回路ブロックの入力又は出力ピンに設定された入出力遅延情報が抽出される。123はパス要求時間算出ステップであり、ステップ121及びステップ122における情報抽出対象となるピンを経由するパスの始点から終点までの信号伝播時間の最大許容値が算出される。124は制約要求時間算出ステップであり、ステップ123と同様に、ステップ121及びステップ122で情報抽出対象となったピンにおいて、入力ピンと、この入力ピンを経由するパスの終点との間における信号伝播時間の最大のタイミング制約の要求時間が算出され、また、出力ピンと、この出力ピンを経由するパスの始点との間の信号伝播時間の最大のタイミング制約要求時間が算出される。125は、入出力遅延情報に基づくタイミング制約の整合性を判断する際の基準値となる許容値を設定する許容値指定ステップ、126は、ステップ123及びステップ124において算出された最大許容値及びタイミング制約の要求時間との関係が、ステップ125で指定された基準値である許容値と比較して、妥当か否かを判定する入出力遅延情報判定ステップである。
図14は、本実施の形態における入力された論理回路及びタイミング制約の例を示す図である。140は、図中の全ての論理回路を含む上位階層を示している。下位階層ブロックBLKA141及び下位階層ブロックBLKB142は、上位階層140の1つ下の階層を示しており、下位階層ブロックBLKA141は、フリップフロップ(FF)1411と、組合せ回路1412とからなり、また、下位階層ブロックBLKB142は、FF1421と、組合せ回路1422とからなる。下位階層ブロックBLKA141のFF1411は周期10nsのクロックCKを受け、下位階層ブロックBLKB142のFF1422は同じく周期10nsのクロックCKを受ける。下位階層141のブロックピンOUT1413にはタイミング制約143が設定されており、ここでは、タイミング制約として出力遅延制約が設定されている。また、下位階層142のブロックピンIN1423にはタイミング制約144が設定されており、ここでは、タイミング制約として入力遅延制約が設定されている。
以上のように構成されたタイミング制約作成方法について、図12および図14の具体的回路例を参照しながらその動作について説明する。
まず、図12の入出力遅延情報抽出ステップ121により、下位階層のピンに設定された入出力遅延情報を抽出する。例えば、図14において、下位階層ブロックBLKA141のピンOUT1413に設定された出力遅延制約143が抽出されたとする。
次に、接続先入出力遅延情報抽出ステップ122により、下位階層のピンに接続する前記下位階層以外の階層のピンに設定された入出力遅延情報を抽出する。ここで、ピンの探索は選択されているピンの入出力属性によって決まる。例えば、入力ピンが選択された場合は入力方向に探索し、また、出力ピンが選択された場合は出力方向に探索する。具体的には、図14において、ピンOUT1413が選択された場合、出力方向に探索し、ピンIN1423が抽出される。
次に、パス要求時間算出ステップ123により、入出力遅延情報抽出ステップ121で抽出された入出力遅延情報が設定されたピンと、接続先入出力遅延情報抽出ステップ122で抽出された入出力遅延情報が設定されたピンとを経由するパスの始点又は終点の参照クロックに基づき信号の伝播時間の最大許容値を算出する。ここで、ピンを経由するパスの探索は選択されているピンの入出力属性によって決まる。例えば、入力ピンが選択された場合は、入力方向に探索し、パスの始点を抽出する。また、出力ピンが選択された場合は、出力方向に探索し、パスの終点を抽出する。具体的には、図14において、ピンOUT1413が選択された場合、出力方向に探索し、パスの終点となるFF1422が抽出され、参照クロックとしてCKが抽出される。また、ピンIN1423が選択された場合、入力方向に探索し、パスの始点となるFF1411が抽出され、参照クロックとしてCKが抽出される。なお、モデル簡易化のため、FFのセットアップ時間及びホールド時間、クロックスキュー、並びに配線遅延時間等は無視する。本実施の形態では、参照クロックCKの周期は10nsであり、伝播時間の最大許容値は10nsとなる。
次に、制約要求時間算出ステップ124により、入出力遅延情報と、入出力遅延情報が設定されたピンを経由するパスの始点又は終点の参照クロックとに基づいて、前記ピンと前記パスの始点又は終点との間の信号の伝播時間の最大許容値を算出する。ここで、ピンを経由するパスの探索は選択されているピンの入出力属性によって決まる。例えば、入力ピンが選択された場合は、出力方向に探索し、パスの終点を抽出する。また、出力ピンが選択された場合は、入力方向に探索し、パスの始点を抽出する。具体的には、図14において、ピンOUT1413が選択された場合、入力方向に探索し、パスの始点となるFF1411が抽出され、参照クロックとしてCKが抽出される。ピンIN1423が選択された場合、出力方向に探索し、パスの終点となるFF1422が抽出され、参照クロックとしてCKが抽出される。なお、モデル簡易化のため、FFのセットアップ時間及びホールド時間、クロックスキュー、並びに配線遅延時間等は無視する。本実施の形態では、参照クロックCKの周期は10nsであり、出力遅延制約143の遅延値が3nsであるので、FF1411からピンOUT1413への伝播時間の最大許容値は7nとなる。同様にピンIN1423からFF1422への伝播時間の最大許容値は8nとなる。
続いて、許容値指定ステップ125により、整合性判定時の許容値を指定する。本実施の形態においては、この許容値が2nsに指定された場合について説明する。
最後に、入出力遅延情報判定ステップ126により、パス要求時間算出ステップ123および制約要求時間算出ステップ124で算出した伝播時間の最大許容値と許容値指定ステップ125で指定した許容値とに基づいて、入出力遅延情報の妥当性を判定する。この入出力遅延情報判定ステップ126における判定基準の一例を図13に示す。ここで、図13のValue=パス要求時間−max(入力ピンを始点とする制約要求時間)−max(出力ピンを終点とする制約要求時間)と表される式について、図14を用いて具体的に考えると、ピンOUT1413とピンIN1423との間の遅延値(Value)の計算については、FF1411からFF1422までのパスに要求される時間から、入力ピンを始点とする信号の伝播時間の最大値、すなわち、ピンIN1423からFF1422までの信号の伝播時間の最大制約要求時間と、出力ピンを終点とする信号の伝播時間の最大値、すなわち、FF1411からピンOUT1413までの信号の伝播時間の最大制約要求時間とを算出した計算値を、上記のパス要求時間からそれぞれ引き、Valueを得る。本実施の形態の場合、下位階層ブロックBLKA141のFF1411及び下位階層ブロックBLKB142のFF1422の受けるクロックCKが共に周期10nsであることから、パス要求時間は10nsであり、これに対して、max(入力ピンを始点とする制約要求時間)は、入力ピンIN1423に設定された入力遅延の外部制約が2nsであることから、10−2=8(ns)となり、また、max(出力ピンを終点とする制約要求時間)は、出力ピンOUT1413に設定された出力遅延の外部制約が3nsであることから、10−3=7(ns)であるため、Value=10−8−7=−5<0(ns)となる。すなわち、図13に示す基準により、上記の下位階層のタイミング制約は過小制約であると判定される。したがって、ピンOUT1413に関しては、2つの下位階層のタイミング制約間のインタフェース仕様には、入力遅延制約144と出力遅延制約143と間に不整合があると判断される。
(第4の実施の形態)
次に、本実施の形態について、図15、図16、図17、図18及び図19を用いて説明を行う。
図15は本発明の実施の形態4における処理ステップの流れ図である。図15に示す本実施の形態と、上述の第1、第2及び第3の実施の形態とが異なるのは、整合性判定ステップ12において分岐を設け、整合性がないものと判定された場合には、警告表示ステップ151において整合性がないことを警告表示し、続いて、タイミング制約編集ステップ152において整合性を満たすように編集を行うというステップを備えている点である。
タイミング制約編集ステップ152において編集処理が行われた場合及び、整合性判定ステップ12において整合性があると判断された場合には、制約変換ステップ13Aに移行し、上位階層のタイミング制約に変換される。
以上のように構成されたタイミング制約作成方法について、図14、図16、図17、図18に示す具体例及び図12、図15の流れ図を参照しながらその動作について説明する。
整合性判定ステップ12の整合性を判定するところまでは、第3の実施の形態において図12に示した流れと同様である。
ここで、整合性判定ステップ12で整合性がないと判断された場合、警告表示ステップ151により、不整合箇所が警告表示される。警告表示の一例を図16に示す。入出力遅延値が過小になっており、このままでは下位階層間のインタフェース仕様を満たせないと警告している。
次に、タイミング制約編集ステップ152により、不整合箇所を編集する画面が表示される。編集画面の一例を図17に示す。ドライバ側とレシーバ側とのそれぞれに対して、警告表示された不整合箇所に関するブロック名(ピン名)、参照クロック(周期)及び入出力遅延値等の情報が表示される。この警告表示に基づいて各ブロックの状況を考慮し、値の編集を行う。編集後の結果の一例を図18に示す。尚、本実施の形態においては、第3の実施の形態と同様、許容値指定ステップ125において指定する許容値は2nsであるとして説明する。図18では、ドライバの入出力遅延値(出力遅延値)を3nsから6nsに修正し、また、レシーバの入出力遅延値(入力遅延値)を2nsから5nsに修正したことを示している。これにより、max(入力ピンを始点とする制約要求時間)は、10−5=5(ns)となり、また、max(出力ピンを終点とする制約要求時間)は、10−6=4(ns)となる。従って、Value=10−5−4=1(ns)となり、許容値2(ns)に対して、下位階層のタイミング制約は不整合をなくすように編集され、妥当に作成されたことになる。
上記編集作業が終了すると、制約変換ステップ13Aにより、上位階層のタイミング制約と下位階層のタイミング制約が作成される。タイミング制約の出力例を図19に示す。この出力例は下位階層のタイミング制約のうち、入出力遅延制約(下位限定タイミング制約)を示しており、変換対象の制約が存在しないために、上位階層のタイミング制約は出力されていない。これに対して、本実施の形態においては考慮していないが、タイミング制約には、図7に示すように上位階層のタイミング制約として出力されるマルチサイクル制約(上位変換タイミング制約)を含む場合もある。この場合は、図15に示した制約変換ステップ13Aでは、タイミング編集ステップ152において編集された下位階層のタイミング制約と共に、変換された上位階層のタイミング制約も出力される。
尚、上記の第1〜第4の実施の形態においては、上位階層のタイミング制約を作成する対象として、下位階層の回路ブロックが2つの場合について説明を行ったが、本発明はこれに限るものではなく、隣接する下位階層間の少なくとも1つのインターフェイス仕様に対して整合性の判定を行うものであり、複数のインターフェイス仕様の整合性判定を行う場合をも含むものである。
本発明に係るタイミング制約作成方法は、下位階層間のインタフェース仕様の整合性を判断することにより、過小制約および過剰制約の作成を防止し、回路面積増大の要因およびタイミング解析時の擬似エラーの要因を事前に排除できるという効果を有し、論理回路を階層設計する際のタイミング制約作成方法として有用である。
本発明の第1の実施の形態における処理ステップの流れ図である。 本発明の第1の実施の形態における整合性判定ステップの流れ図である。 階層設計した論理回路の一例を示す図である。 従来のタイミング制約作成方法の一例を示す処理ステップの流れ図である。 制約変換ステップの詳細を示す図である。 入力された論理回路およびタイミング制約の一例を示す図である。 タイミング制約の出力例を示す図である。 入力された論理回路およびタイミング制約の一例を示す図である。 本発明の第2の実施の形態における処理ステップの流れ図である。 整合性判定ステップの実施の形態2における処理ステップの流れ図である。 本発明の第3の実施の形態における処理ステップの流れ図である。 本発明の第3の実施の形態における整合性判定ステップの流れ図である。 整合性判定基準の一例を示す図である。 入力された論理回路およびタイミング制約の一例を示す図である。 本発明の第4の実施の形態における処理ステップの流れ図である。 警告表示の一例を示す図である。 編集画面の一例示す図である。 編集結果の一例を示す図である。 タイミング制約の出力例を示す図である。
11、41 データ入力ステップ
12、12A、12B 整合性判定ステップ
13、13A、42 制約変換ステップ
21 参照クロック情報抽出ステップ
22 参照先クロック情報抽出ステップ
23 クロック情報比較ステップ
63、64、83、84 タイミング制約
101 タイミング例外情報抽出ステップ
102 接続先タイミング例外情報抽出ステップ
103 タイミング例外情報比較ステップ
121 入出力遅延情報抽出ステップ
122 接続先入出力遅延情報抽出ステップ
123 パス要求時間算出ステップ
124 制約要求時間算出ステップ
125 許容値指定ステップ
126 入力遅延情報判定ステップ
151 警告表示ステップ
152 タイミング制約編集ステップ
613、813、1413 出力ピン
623、823、1423 入力ピン
631、831、143 出力遅延制約
(出力遅延情報、下位限定タイミング制約)
641、841、144 入力遅延制約
(入力遅延情報、下位限定タイミング制約)
632、642、
832、842 マルチサイクル制約(上位変換タイミング制約)

Claims (11)

  1. 論理回路の下位階層回路及び上位階層回路のデータからなる階層関係を考慮した階層設計上の前記上位階層のタイミング制約を作成するタイミング制約作成方法において、
    階層構造を持つ前記論理回路のデータ、前記論理回路のプリミティブ情報を保持するライブラリデータ、及び前記下位階層回路が有するタイミング制約を入力手段によって入力するデータ入力ステップと、
    互いに接続される前記各下位階層回路が有する下位階層のタイミング制約間の少なくとも1つのインターフェイス仕様の整合性を判定手段によって判定する整合性判定ステップとを含み、
    前記インターフェイス仕様は、前記下位階層回路の有するタイミング制約であって、前記下位階層回路が参照するクロックである参照クロック情報、前記下位階層回路と接続される他の下位階層回路間のタイミングを変更するタイミング例外情報、前記下位階層回路の入力又は出力に付される外部遅延制約としての入力遅延情報又は出力遅延情報を含む
    ことを特徴とするタイミング制約作成方法。
  2. 請求項1記載のタイミング制約作成方法において、
    前記整合性判定ステップは、
    前記下位階層回路の入力ピン又は出力ピンに設定された前記入力遅延情報又は前記出力遅延情報が参照する前記参照クロック情報を抽出する参照クロック情報抽出ステップと、
    接続される前記下位階層回路と前記他の下位階層回路において前記入力ピンを経由するパスの始点又は前記出力ピンを経由するパスの終点の前記参照クロック情報を抽出する参照先クロック情報抽出ステップと、
    前記参照クロック情報抽出ステップ及び前記参照先クロック情報抽出ステップでそれぞれ抽出した前記参照クロック情報同士を比較するクロック情報比較ステップとを含む
    ことを特徴とするタイミング制約作成方法。
  3. 請求項1記載のタイミング制約作成方法において、
    前記整合性判定ステップは、
    前記下位階層回路の入力ピン又は出力ピンに設定された前記タイミング例外情報を抽出するタイミング例外情報抽出ステップと、
    前記入力ピンを有する前記下位階層回路の接続対象となる接続先下位階層回路のピン又は前記出力ピンを有する前記接続先下位階層回路のピンに設定された接続先の前記タイミング例外情報を抽出する接続先タイミング例外情報抽出ステップと、
    前記タイミング例外情報抽出ステップ及び前記接続先タイミング例外情報抽出ステップでそれぞれ抽出した前記タイミング例外情報と前記接続先のタイミング例外情報とを比較するタイミング例外情報比較ステップとを含む
    ことを特徴とするタイミング制約作成方法。
  4. 請求項1記載のタイミング制約作成方法において、
    前記整合性判定ステップは、
    前記下位階層回路の入力ピンに設定された前記入力遅延情報又は出力ピンに設定された前記出力遅延情報を抽出する入出力遅延情報抽出ステップと、
    前記入力ピン又は出力ピンの接続対象となる接続先下位階層回路の出力ピン又は入力ピンに設定された接続先入出力遅延情報を抽出する接続先入出力遅延情報抽出ステップと、
    前記入出力遅延情報抽出ステップで前記入出力遅延情報が抽出された前記入力ピン又は出力ピンと、この入力ピン又は出力ピンの接続対象となる下位階層回路のピンであって、前記接続先入出力遅延情報抽出ステップで入出力遅延情報が抽出された出力ピン又は入力ピンを経由するパスの始点又は終点の参照クロック情報に基づいて前記パスの始点から終点までの信号の伝播時間の最大許容値を算出するパス要求時間算出ステップと、
    前記入力ピンとこの入力ピンを経由するパスの終点との間における信号伝播時間の最大制約要求時間と、前記出力ピンとこの出力ピンを経由するパスの始点との間における信号伝播時間の最大制約要求時間とを、前記入出力遅延情報と、前記出力ピン又は入力ピンを経由するパスの始点又は終点の参照クロック情報とに基づいて算出する、制約要求時間算出ステップと、
    整合性判定の基準となる許容値を指定する許容値指定ステップと、
    前記パス要求時間算出ステップ及び前記制約要求時間算出ステップで算出した伝播時間の前記最大許容値及び前記最大制約要求時間と前記許容値指定ステップで指定した前記許容値とに基づいて、入出力遅延情報の妥当性を判定する入出力遅延情報判定ステップとを含む
    ことを特徴とするタイミング制約作成方法。
  5. 請求項1記載のタイミング制約作成方法において、
    前記整合性判定ステップで不整合であると判断された場合、警告表示手段によって不整合箇所を警告表示する警告表示ステップを有する
    ことを特徴とするタイミング制約作成方法。
  6. 請求項5記載のタイミング制約作成方法において、
    前記警告表示ステップで警告表示された不整合箇所のタイミング制約を整合の取れるように制約編集手段によって編集するタイミング制約編集ステップを有する
    ことを特徴とするタイミング制約作成方法。
  7. 請求項6記載のタイミング制約作成方法において、
    前記整合性判定ステップで不整合であると判断された場合、前記下位階層回路のタイミング制約情報に対して前記タイミング制約編集ステップで編集した結果に基づいて、入力された前記下位階層回路のタイミング制約を制約変換手段によって上位階層回路のタイミング制約に変換する制約変換ステップを有する
    ことを特徴とするタイミング制約作成方法。
  8. 請求項7記載のタイミング制約作成方法において、
    前記制約変換ステップは、前記上位階層回路のタイミング制約及び編集後の下位階層回路のタイミング制約を出力する
    ことを特徴とするタイミング制約作成方法。
  9. 請求項7記載のタイミング制約作成方法において、
    前記下位階層回路のタイミング制約情報は、前記制約変換ステップにより制約変換されて、前記上位階層回路のタイミング制約となる上位変換タイミング制約と、前記制約変換ステップにより制約変換されて、前記上位階層回路のタイミング制約に現れない下位限定タイミング制約とのうち、少なくとも一方を含む
    ことを特徴とするタイミング制約作成方法。
  10. 請求項9記載のタイミング制約作成方法において、
    前記上位変換タイミング制約は、マルチサイクル制約である
    ことを特徴とするタイミング制約作成方法。
  11. 請求項9記載のタイミング制約作成方法において、
    前記下位限定タイミング制約は、入出力遅延制約である
    ことを特徴とするタイミング制約作成方法。
JP2004138916A 2004-05-07 2004-05-07 タイミング制約作成方法 Expired - Fee Related JP4418708B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004138916A JP4418708B2 (ja) 2004-05-07 2004-05-07 タイミング制約作成方法
US11/121,083 US7249334B2 (en) 2004-05-07 2005-05-04 Method for generating timing constraints of logic circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004138916A JP4418708B2 (ja) 2004-05-07 2004-05-07 タイミング制約作成方法

Publications (2)

Publication Number Publication Date
JP2005321980A JP2005321980A (ja) 2005-11-17
JP4418708B2 true JP4418708B2 (ja) 2010-02-24

Family

ID=35240785

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004138916A Expired - Fee Related JP4418708B2 (ja) 2004-05-07 2004-05-07 タイミング制約作成方法

Country Status (2)

Country Link
US (1) US7249334B2 (ja)
JP (1) JP4418708B2 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2057568A2 (en) 2006-08-18 2009-05-13 Advanced Micro Devices, Inc. Integrated circuit chip with repeater flops and method for automated design of same
US7962886B1 (en) * 2006-12-08 2011-06-14 Cadence Design Systems, Inc. Method and system for generating design constraints
EP2129090B1 (en) * 2008-05-29 2016-06-15 LG Electronics Inc. Mobile terminal and display control method thereof
CN101877014B (zh) * 2009-04-30 2012-07-25 国际商业机器公司 一种检测时序约束冲突的方法和装置
US8443328B2 (en) * 2010-06-14 2013-05-14 Synopsys, Inc. Recursive hierarchical static timing analysis
WO2011161771A1 (ja) * 2010-06-22 2011-12-29 富士通株式会社 タイミング制約生成支援装置、タイミング制約生成支援プログラム、及び、タイミング制約生成支援方法
US8560988B2 (en) 2010-08-13 2013-10-15 Atrenta, Inc. Apparatus and method thereof for hybrid timing exception verification of an integrated circuit design
US8468479B2 (en) 2011-03-18 2013-06-18 Synopsys, Inc. Consistent hierarchical timing model with crosstalk consideration
US9542524B2 (en) 2015-01-27 2017-01-10 International Business Machines Corporation Static timing analysis (STA) using derived boundary timing constraints for out-of-context (OOC) hierarchical entity analysis and abstraction
US10394983B2 (en) * 2017-06-14 2019-08-27 Excellicon Corporation Method to automatically generate and promote timing constraints in a Synopsys Design Constraint format

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5128737A (en) * 1990-03-02 1992-07-07 Silicon Dynamics, Inc. Semiconductor integrated circuit fabrication yield improvements
US5956257A (en) * 1993-03-31 1999-09-21 Vlsi Technology, Inc. Automated optimization of hierarchical netlists
US5475607A (en) * 1994-04-12 1995-12-12 International Business Machines Corporation Method of target generation for multilevel hierarchical circuit designs
US5917728A (en) * 1995-07-26 1999-06-29 Matsushita Electric Industrial Co., Ltd. Method for designing path transistor logic circuit
JP2874628B2 (ja) * 1996-01-30 1999-03-24 日本電気株式会社 論理回路の最適化装置及びその方法
JPH10187787A (ja) 1996-12-26 1998-07-21 Toshiba Corp 論理合成の境界条件抽出システム
US6367060B1 (en) * 1999-06-18 2002-04-02 C. K. Cheng Method and apparatus for clock tree solution synthesis based on design constraints
JP4031905B2 (ja) 2000-11-09 2008-01-09 富士通株式会社 回路設計装置及び回路設計方法
US20020073389A1 (en) * 2000-12-13 2002-06-13 Yaron Elboim Clock tuning circuit in chip design
US6910196B2 (en) * 2003-05-08 2005-06-21 Intel Corporation Clocked and non-clocked repeater insertion in a circuit design

Also Published As

Publication number Publication date
US7249334B2 (en) 2007-07-24
JP2005321980A (ja) 2005-11-17
US20050251780A1 (en) 2005-11-10

Similar Documents

Publication Publication Date Title
US7249334B2 (en) Method for generating timing constraints of logic circuit
US6026228A (en) Integrated circuit design method, database apparatus for designing integrated circuit and integrated circuit design support apparatus
US7480878B2 (en) Method and system for layout versus schematic validation of integrated circuit designs
US7945878B2 (en) Rules and directives for validating correct data used in the design of semiconductor products
US8239795B2 (en) Timing analyzing system for clock delay
US6829754B1 (en) Method and system for checking for power errors in ASIC designs
US8037436B2 (en) Circuit verification apparatus, a method of circuit verification and circuit verification program
JP3005538B1 (ja) 機能ブロックのモデル作成によるlsi設計システム及びそのlsi設計方法
US8281269B2 (en) Method of semiconductor integrated circuit device and program
JP5265151B2 (ja) ゲートレベルでの動的シミュレーション方法及び装置
WO1999009497A1 (fr) Procede d'extraction de caracteristiques de synchronisation de circuits a transistors, support de stockage stockant une bibliotheque de caracteristiques de synchronisation, procede de conception de lsi et procede d'extraction par grille
JP3923920B2 (ja) 集積回路の設計システム及び集積回路の設計方法
Xu et al. Automatic synthesis of computation interference constraints for relative timing verification
US7945882B2 (en) Asynchronous circuit logical verification method, logical verification apparatus, and computer readable storage medium
US7328415B2 (en) Modeling blocks of an integrated circuit for timing verification
JP5605087B2 (ja) 設計支援装置、設計支援方法および設計支援プログラム
Khvatov et al. Development of an IP-cores Libraries as Part of the Design Flow of Integrated Circuits on FPGA
US7127693B2 (en) Device for creating timing constraints
JP2006338090A (ja) 半導体集積回路の設計方法および設計装置
JP5059657B2 (ja) マクロ内端子配線を考慮したネットリストによって信号の遅延時間を予測する設計方法、及び、プログラム
US7284217B2 (en) Method of LSI designing and a computer program for designing LSIS
JP4139236B2 (ja) タイミング解析プログラム
Golshan The Art of Timing Closure
JP2004280279A (ja) トップダウン設計装置およびトップダウン設計プログラム
JP3561661B2 (ja) 半導体集積回路及び半導体集積回路の設計方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090924

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091130

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees