JP4304988B2 - Semiconductor device substrate cleaning method - Google Patents

Semiconductor device substrate cleaning method Download PDF

Info

Publication number
JP4304988B2
JP4304988B2 JP2003016206A JP2003016206A JP4304988B2 JP 4304988 B2 JP4304988 B2 JP 4304988B2 JP 2003016206 A JP2003016206 A JP 2003016206A JP 2003016206 A JP2003016206 A JP 2003016206A JP 4304988 B2 JP4304988 B2 JP 4304988B2
Authority
JP
Japan
Prior art keywords
cleaning
substrate
semiconductor device
acid
cleaning liquid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003016206A
Other languages
Japanese (ja)
Other versions
JP2003289060A (en
Inventor
慎 池本
康弘 河瀬
均 森永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Chemical Corp
Original Assignee
Mitsubishi Chemical Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Chemical Corp filed Critical Mitsubishi Chemical Corp
Priority to JP2003016206A priority Critical patent/JP4304988B2/en
Publication of JP2003289060A publication Critical patent/JP2003289060A/en
Application granted granted Critical
Publication of JP4304988B2 publication Critical patent/JP4304988B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体デバイス用基板の洗浄液および洗浄方法に関し、金属汚染やパーティクル汚染が問題となる半導体、ガラス、金属、セラミックス、樹脂、磁性体、超伝導体などの基板表面の洗浄に使用される洗浄液に関する。詳しくは、本発明は、高清浄な基板表面が要求される、半導体素子やディスプレイデバイス用などの半導体デバイス用基板を製造する工程における、半導体デバイス用基板表面を洗浄するための洗浄液および洗浄方法に関する。
【0002】
本発明の洗浄液および洗浄方法は、特に、シリコン等の半導体材料、窒化シリコン、酸化シリコン、ガラス、低誘電率(Low−k)材料などの絶縁材料、遷移金属または遷移金属化合物などを表面の一部または全面に有する半導体デバイス用基板に於いて、基板表面に付着したシリカ粒子、アルミナ粒子、有機物粒子の様な微小粒子(パーティクル)、レジスト残渣などの有機汚染、金属汚染を除去し、併せて再付着を抑制し、基板表面の荒れや腐食を引き起こすことなく高度に清浄化することが出来る。
【0003】
【従来の技術】
TFT液晶などのフラットパネルディスプレイ、マイクロプロセッサー、メモリー、CCD等の半導体デバイスの製造工程では、シリコン、酸化シリコン(SiO2)、ガラス等の基板表面にサブミクロン乃至クォータミクロンの寸法でパターン形成や薄膜形成を行っている。従って、これらの製造の各工程に於いては、当該基板表面の僅かな汚染も除去し、基板表面を高度に清浄化することが極めて重要な課題となっている。汚染の中でも、特に、微小な汚染であるパーティクル汚染および金属汚染は、その全てを除去することが困難である。しかし、斯かる汚染によって半導体デバイスの電気的特性や歩留まりが低下するため、この様な汚染を次工程に持ち込む前に極力除去する必要がある。そして、この様な汚染の除去には、洗浄液による基板表面の洗浄が一般的に行われている。
【0004】
近年、半導体デバイスの製造に於いては一層のスループット向上、生産効率化が要求されている。そして、益々微細化・高集積化傾向にある半導体デバイス製造用の基板については、基板表面のパーティクル汚染および金属汚染の除去性のみならず除去後の再付着防止性に優れ、且つ、迅速に基板表面を高度に清浄化し得る洗浄液および洗浄方法が望まれている。
【0005】
一般に、パーティクル汚染の除去に使用する洗浄液としては、アルカリ性水溶液が有効であることが知られている。半導体デバイス用基板表面の洗浄には、アンモニア水溶液、水酸化カリウム水溶液、水酸化テトラメチルアンモニウム水溶液などのアルカリ性水溶液が使用されている。また、アンモニア、過酸化水素、水を含む洗浄液(「SC−1洗浄液」又は「APM洗浄液」という。)による洗浄(「SC−1洗浄」又は「APM洗浄」という。)も広く使用されている(例えば非特許文献1参照)。
【0006】
そして、最近では、この様なアルカリ性洗浄液の性能を改善すべく、具体的には半導体デバイス用基板表面のエッチングを抑制し、また、表面荒れを抑制し且つ基板表面の濡れ性も向上させ、そして、パーティクル汚染の除去性を向上させること等を目的として、アルカリ性洗浄液に様々な界面活性剤を添加することが種々提案されている。
【0007】
例えば、洗浄液による基板表面の荒れを抑制するため、アルカリ性の過酸化水素水溶液に界面活性剤を添加し、基板表面に対する洗浄液の接触角を10度以下にすることが提案されている(例えば特許文献1参照)。また、基板表面への洗浄液の濡れ性を向上させるため、エチレンオキサイドの付加モル数が3〜10であるエチレンオキサイド付加型非イオン系界面活性剤を添加した過酸化水素含有アルカリ性洗浄液が提案されている(例えば特許文献2参照)。
【0008】
また、代表的な半導体デバイス基板であるシリコン基板の表面のエッチングを抑制するため、アルカリ性洗浄液に様々な界面活性剤を添加することが提案されている(例えば特許文献3参照)。特に、有機物汚染の除去性能を向上させるために、特定の界面活性剤を含有する半導体デバイス用基板の洗浄に使用する洗浄液が提案されている(例えば特許文献4参照)。汚染除去性向上のため、過酸化水素含有アルカリ性洗浄液にアルキルベンゼンスルホン酸を添加することも提案されている(例えば特許文献5参照)。また、パーティクル除去性向上のため、フルオロアルキルスルホンアミド化合物よりなるフッ素系界面活性剤をAPM洗浄液に添加することも提案されている(例えば特許文献6参照)。
【0009】
また、半導体デバイス用基板の洗浄に於いては、上記のアルカリ性洗浄液以外に、酸性洗浄液も有用である。一般的に、酸性洗浄液は基板表面の金属汚染除去には有効だが、パーティクル汚染の除去には不向きであることから、パーティクル汚染の除去性向上などを目的として、酸性洗浄液にも様々な界面活性剤を添加することが提案されている。例えば、特定の界面活性剤とフッ化水素酸を使用してシリコンウエーハを洗浄することが提案されている(例えば特許文献7参照)。
【0010】
また、シリコンウエーハの洗浄に使用するフッ酸水溶液に、界面活性剤およびオゾンを添加することが提案されている(例えば特許文献8参照)。金属配線を表面に有する基板に吸着した金属不純物およびパーティクル汚染の除去のため、分散剤および/または界面活性剤に有機酸化合物を添加することも提案されている(例えば特許文献9参照)。
【0011】
また、近年、半導体デバイスの微細化・高積層化に伴い、半導体デバイスにおける微小な半導体素子間を繋ぐ、配線(以下、単に「配線」という。)や、半導体素子における電極(以下、単に「電極」という。)に使用する金属材料として、新たに銅(Cu)やタングステン(W)等の新金属材料が導入されつつある。具体的には、例えば、配線材料としては、従来から使用されたきたアルミニウム(Al)より抵抗値が低いCuが採用されつつある。
【0012】
また、他の新規な材料として、積層構造を有する半導体素子間の層間絶縁膜が挙げられる。この層間絶縁膜としては、従来から使用されているSiO膜よりも、誘電率の低い、有機ポリマー材料や無機ポリマー材料からなる膜を使用した低誘電率膜が採用されつつある。この層間絶縁膜は、半導体デバイスの製造工程中、金属配線がその表面に形成された後に行う基板の洗浄工程(以下、「後工程」ということがある。)の際、配線と共に基板上に露出している。
【0013】
また、電極には、抵抗値が低く微細加工に有利な電極材料として、タングステンが導入されつつある。電極は、通常、金属配線形成前の基板の洗浄工程(以下、「前工程」ということがある。)の際、基板表面上に露出している。従来、前工程に於いて洗浄する基板表面は全てSi化合物で構成されていたので、僅かな汚染でも半導体デバイスに影響が出るために、基板表面を高度に清浄化する必要があった。そのため、RCA洗浄による強力な洗浄が必須であった。
【0014】
近年は、上述した様な新材料が表面に露出している様な基板をも、高度に洗浄すべく、先述した様々な提案の適応が試みられている。
【0015】
【非特許文献1】
W.Kern and D.A.Puotinen: RCA Review, p.187, June (1970)
【特許文献1】
特開平5−335294号公報
【特許文献2】
特許第3169024号公報
【特許文献3】
特開2001−40389号公報
【特許文献4】
特開平11−121418号公報
【特許文献5】
特開平7−245281号公報
【特許文献6】
特開平5−251416号公報
【特許文献7】
特開平7−216392号公報
【特許文献8】
特開平8−69990号公報
【特許文献9】
特開2001−7071号公報
【0016】
【発明が解決しようとする課題】
Al配線を使用した従来の後工程では、Al配線が強酸や強アルカリに弱いことや、前工程に比べて金属汚染の影響が低いことから、超純水や有機溶媒による簡単な洗浄のみが行われていた。しかし、Alに代わりCuを使用すると、以下の様な二つの問題が新たに生じた。
【0017】
第一に、CuはSiにとって最も嫌われる汚染物質の一つであり、半導体素子表面の酸化膜(SiO膜)中におけるCuの拡散速度が速く、その悪影響度はAlを遙かに上回ることが問題となっていた。
【0018】
第二に、Alと異なりCuはドライエッチングが出来ない点が問題である。Cuによる配線を形成するには、予め(Cu配線を形成するための)溝を掘った絶縁膜にCuめっきを施して配線を形成し、次いで、不要部分をCMP(Chemical Mechanical Polishing)等の方法によって削り取る方法、所謂ダマシン法による配線形成をせざるを得ない。
【0019】
上記のダマシン法による配線形成では大量のCuと、CMPに使用したスラリー中の研磨粒子(酸化アルミニウム粒子などに代表されるパーティクル)が、Cu配線や低誘電率膜表面を汚染してしまうことが問題となる。この様な基板表面の汚染は、もはや超純水や有機溶媒による簡単な洗浄では除去できず、深刻な問題となっていた。
【0020】
上記の様な汚染に対して、強酸や強アルカリによる従来のRCA洗浄を行うと、CuやW等の新金属材料は過酸化水素に対して溶解してしまうという問題が新たに生じていた。そして、更に、低誘電率膜表面は疎水性なので洗浄液の濡れ性が悪く、洗浄液をはじいてしまい、特に、パーティクル汚染の除去を充分に除去し難いという問題がある。
【0021】
従って、上記の様な新材料を表面に有する基板の洗浄工程では、今後、過酸化水素水を含有する、RCA洗浄液を使用した洗浄が不可能となる等、深刻な問題が生じており、過酸化水素などの薬液に弱い新金属材料を表面に有する基板を洗浄するに当たり、新たな洗浄液の開発が強く望まれている。
【0022】
これに対し、前述した様に、界面活性剤を含有する洗浄液の開発がなされてきた。しかし、金属汚染除去やパーティクル汚染除去と共に、再付着防止が充分になされ、且つ、下記(1)〜(3)に記載の課題を満足する洗浄液は、これ迄になく、基板の表面洗浄に於ける課題となっていた。
【0023】
(1)室温あるいは加温時に、界面活性剤が洗浄液中に油滴となって析出・白濁することなく、洗浄性能の低下や、基板表面への油滴の残留などを引き起こさないこと。
(2)発泡性が小さく、洗浄装置の動作に悪影響を与えないこと。
(3)界面活性剤が自然環境に悪影響を与えない物質であり、洗浄廃液が適切に処理できること。
【0024】
例えば、アニオン系界面活性剤は、一般的に曇点が無いので、高い洗浄効果を期待して洗浄液の温度を高く(例えば80℃以上)して使用することが可能である。しかし、高発泡性であるので洗浄装置での操作性に悪影響を及ぼす恐れがある。
【0025】
また、非イオン系界面活性剤は、洗浄性能が高く、低発泡性ではあるが、曇点は一般的に低い。従って、高い洗浄効果を期待し、洗浄液の温度を高くして洗浄を行うと、この界面活性剤が洗浄液中に油滴状として現れ、基板上に残留するという問題がある。
【0026】
【課題を解決するための手段】
本発明者らは、上述した課題につき、界面活性剤を使用する半導体デバイス用基板洗浄液について鋭意検討した。特に、洗浄液に使用する界面活性剤、とりわけ非イオン系界面活性剤であるエチレンオキサイド型界面活性剤に着目した。
【0027】
エチレンオキサイド型界面活性剤は、炭化水素基とポリオキシエチレン基を同一分子構造内に有するものである。本発明者らは、この様な構造のエチレンオキサイド型界面活性剤に於いて、炭化水素基に含まれる炭素数(m)とポリオキシエチレン基中のオキシエチレン基の数(n)との比率(m/n)が1〜1.5、炭素数(m)が9以上、ポリオキシエチレン基中のオキシエチレン基数(n)が7以上の条件を満たす特定範囲内の界面活性剤に着目した。
【0028】
この特定範囲内のエチレンオキサイド型界面活性剤の多くは、室温、大気圧の条件下に於いて、固体であり且つ水への溶解度が低い。故に、この様なエチレンオキサイド型界面活性剤は、工業的生産工程に於いて取り扱い性が低く、使用が避けられていた。しかし、この様な、特定範囲内のエチレンオキサイド型界面活性剤を加熱溶融させ、水に溶解させて調製した、アルカリ又は有機酸を含有する半導体デバイス用基板洗浄液は、意外にも、実質的に過酸化水素を含有せずとも、良好な洗浄性能を示した。とりわけ、一般的な汚染洗浄効果からは予測できない、微小粒子汚染に対する洗浄性(粒径0.1μmオーダーのパーティクル除去性)に優れていた。しかも、上記の半導体デバイス用基板洗浄液は、疎水性のために水性洗浄液をはじき易く、パーティクル除去性が低い低誘電率膜表面へも、充分な濡れ性を示し、優れた洗浄効果を奏した。本発明者らは、これらのことを見出し、本発明を完成させるに至った。
【0029】
すなわち、本発明の要旨は、表面にシリコン、遷移金属または遷移金属化合物を有する半導体デバイス用基板を化学的機械研磨した後、少なくとも、以下の成分(A)、(B)及び(C)を含有し、過酸化水素含有量が10ppm以下である洗浄液を使用して半導体デバイス用基板を洗浄することを特徴とする半導体デバイス用基板の洗浄方法に存する。
【0030】
成分(A):下記一般式(II)で表されるポリオキシエチレンアルキルエーテル
【化3】

Figure 0004304988
(但し、Rは、水酸基、アミノ基、アルコキシ基、ハロゲンにて置換されていてもよいアルキル基を示し、アルキル基に含まれる炭素数(m)は9以上であり、(n)は7以上の数を表す。そして、アルキル基中の炭素数(m)とポリオキシエチレン基中のオキシエチレン基数(n)の比率(m/n)が1〜1.5である。
成分(B):水
成分(C):アルカリ
【0031】
【発明の実施の形態】
以下、本発明を詳細に説明する。本発明の洗浄液は、少なくとも、成分(A)として特定の界面活性剤、成分(B)として水、成分(C)としてアルカリ又は有機酸を含有する。
【0032】
本発明に於いて、成分(A)として使用する界面活性剤は、置換基(フェニル基を除く)を有していてもよい炭化水素基とポリオキシエチレン基とを有し、炭化水素基中の炭素数(m)とポリオキシエチレン基中のオキシエチレン基数(n)の比率(m/n)が1〜1.5であり、炭素数(m)が9以上、オキシエチレン基数(n)が7以上であるエチレンオキサイド型界面活性剤である。
【0033】
上記の比率(m/n)が1未満の場合は、液中におけるパーティクル除去能力やシリコンの腐食抑制が不十分となる。また、オキシエチレン鎖長増による水への溶解性の低下、廃液処理の負荷も増加する。一方、1.5を超える場合は、アルカリ液中で洗浄時にO/W型のエマルジョンを形成し、界面活性剤が細かい油滴となって析出して白濁してしまい、洗浄性能の低下や油滴の残留などの問題を引き起こす。比率(m/n)は、好ましくは1〜1.4である。
【0034】
上記の炭素数(m)が9未満の場合は、(m/n)比が前記最適範囲内であってもパーティクル除去性が低下する。また、(m)が大きすぎる場合は、水への溶解性の低下や廃液処理の負荷も増加するので好ましくない。従って、炭素数(m)は、好ましくは9〜16、更に好ましくは10〜14である。ただし、成分(A)を構成する炭化水素基が、置換基として炭化水素基を有する際には、主鎖となる炭化水素基と、置換基である炭化水素基における炭素数の合計数をmとする。
【0035】
また、上記の(n)が7未満の場合は、(m/n)比が前記最適範囲内であってもパーティクル除去性が低下する。(n)が大きすぎる場合は、廃液処理の負荷が大きくなり、また、界面活性剤が洗浄液中で分解し易くなる。従って、(n)は、好ましくは7〜16、更に好ましくは7〜14である。
【0036】
本発明で規定する上記のエチレンオキサイド型界面活性剤を使用することにより、洗浄液の濡れ性とパーティクルの除去性の両方が良くなる。上記のエチレンオキサイド型界面活性剤としては、例えば、ポリオキシエチレンアルキルエーテル、ポリオキシエチレン脂肪酸エステル、ポリオキシエチレンアルキルアミン、ポリオキシエチレンアルキルエーテル硫酸塩などが挙げられる。特に、パーティクル汚染の除去性や再付着防止能などの観点から、下記一般式(II)で表されるポリオキシエチレンアルキルエーテルが好ましい。
【0037】
【化4】
Figure 0004304988
(但し、Rは、水酸基、アミノ基、アルコキシ基、ハロゲンにて置換されていてもよいアルキル基を示し、アルキル基に含まれる炭素数(m)は9以上であり、(n)は7以上の数を表す。)
【0038】
上記のポリオキシエチレンアルキルエーテルの具体例としては、ポリオキシエチレン(n=8)ノニルエーテル、ポリオキシエチレン(n=9)デシルエーテル、ポリオキシエチレン(n=11)ウンデシルエーテル、ポリオキシエチレン(n=10)ラウリルエーテル、ポリオキシエチレン(n=11)ラウリルエーテル、ポリオキシエチレン(n=10)トリデシルエーテル、ポリオキシエチレン(n=12)トリデシルエーテル、ポリオキシエチレン(n=11)テトラデシルエーテル、ポリオキシエチレン(n=13)テトラデシルエーテル、ポリオキシエチレン(n=12)ペンタデシルエーテル、ポリオキシエチレン(n=14)ペンタデシルエーテル、ポリオキシエチレン(n=12)セチルエーテル、ポリオキシエチレン(n=15)セチルエーテル、ポリオキシエチレン(n=18)オレイルエーテル等が挙げられる。なお、上記のnにおける数値は前記の一般式(II)におけるnを表す。
【0039】
本発明に於いては、本発明の範囲内であれば(m)及び(n)の異なる複数のエチレンオキサイド型界面活性剤を任意の割合で併用してもよい。更に複数種の界面活性剤を併用する際、全界面活性剤の(m/n)の平均値が1〜1.5、(m)の平均値が9以上、(n)の平均値が7以上の条件を満たせば、各々個別の界面活性剤に於いて(m/n)が1.0未満または1.5を超えていても、また、(m)が9未満、(n)が7未満であってもよい。
【0040】
洗浄液中における成分(A)の含有量は、通常0.0001〜1重量%、好ましくは0.0003〜0.5重量%、更に好ましくは0.001〜0.1重量%、特に好ましくは0.001〜0.05重量%である。成分(A)の濃度が低すぎる場合は、パーティクル汚染除去性能が十分でなく、一方、成分(A)の濃度が高すぎる場合は、パーティクル汚染の除去性能に変化がなく、泡立ちが顕著となり洗浄工程に不向きとなったり、また、廃液を生分解処理する場合の負荷が増大する場合がある。
【0041】
成分(A)は、通常販売されている形態に於いて1〜数千ppm程度のNa、K、Fe等の金属不純物が含有している場合がある。斯かる場合は、成分(A)が金属汚染源となる。そのため、成分(A)として使用する界面活性剤は、精製して使用するのが好ましい。そして、金属不純物各々の含有量は、通常10ppm以下、好ましくは1ppm以下、更に好ましくは0.1ppm以下とされる。精製方法としては、例えば、界面活性剤を水で溶解した後、イオン交換樹脂に通液し、樹脂に金属不純物を捕捉させる方法が好適である。
【0042】
上記の様に精製された成分(A)を使用することで、金属不純物含有量が極めて低減された洗浄液を得ることが出来る。本発明の洗浄液としては、洗浄液中の金属不純物のうち、少なくとも、Na、Mg、Al、K、Ca、Fe、Cu、Pb、Znの各々の含有量が、20ppb以下、中でも5ppb以下、特に0.1ppb以下であることが好ましい。
【0043】
なお、本発明に於いては、本発明の効果を損ねない範囲で成分(A)以外の界面活性剤を使用してもよい。成分(A)以外の界面活性剤としては、カチオン系界面活性剤、アニオン系界面活性剤およびノニオン系界面活性剤の何れでもよい。中でも、アニオン系界面活性剤やノニオン系界面活性剤を使用することが好まし く、具体的には、例えば、アニオン系界面活性剤としては、炭素数8〜12のアルキ ルベンゼンスルホン酸およびその塩、炭素数8〜12のアルキルメチルタウリン酸 およびその塩、炭素数8〜12のアルキル硫酸エステル及びその塩などが挙げられ る。ノニオン系界面活性剤としては、ポリオキシアルキレンのみからなる界面活 性剤などが挙げられる。
【0044】
本発明に於いては、成分(B)として水を使用する。高清浄な基板表面を得たい場合は、通常、脱イオン水、好ましくは超純水が使用される。また、水の電気分解によって得られる電解イオン水、水に水素ガスを溶存させた水素水などを使用することも出来る。
【0045】
本発明に於いては、成分(C)としてアルカリ又は有機酸を使用する。すなわち、本発明の洗浄液はアルカリ性洗浄液または酸性洗浄液とされる。
【0046】
本発明で使用されるアルカリの種類は、特に限定されないが、代表的なアルカリとしては、水酸化アンモニウム(アンモニア水溶液)と有機アルカリが挙げられる。有機アルカリとしては、水酸化第4級アンモニウム、アミン、アミノアルコール等のアミン類が挙げられる。水酸化第4級アンモニウムとしては、水酸基、アルコキシ基、ハロゲンにて置換されていてもよい炭素数1〜4のアルキル基または炭素数1〜4のヒドロキシアルキル基を有するものが好ましく、これらの置換基は全て同一でも異なっていてもよい。
【0047】
上記の様なアルキル基としては、メチル基、エチル基、プロピル基、ブチル基などの炭素数1〜4の低級アルキル基が挙げられ、ヒドロキシアルキル基としては、ヒドロキシメチル基、ヒドロキシエチル基、ヒドロキシプロピル基、ヒドロキシブチル基などの炭素数1〜4の低級ヒドロキシアルキル基が挙げられる。
【0048】
上記の置換基を有する水酸化第4級アンモニウムの具体例としては、テトラメチルアモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド、トリメチル(ヒドロキシエチル)アンモニウムヒドロキシド(通称:コリン)、トリエチル(ヒドロキシエチル)アンモニウムヒドロキシド等が挙げられる。一方、アミン類としては、エチレンジアミン、モノエタノールアミン、トリメタノールアミン等が挙げられる。
【0049】
上述のアルカリの中では、洗浄効果、金属残留が少ないこと、経済性、洗浄液の安定性などの理由から、水酸化アンモニウム、テトラメチルアンモニウムヒドロキシド(TMAH)、トリメチル(ヒドロキシエチル)アンモニウムヒドロキシド(通称:コリン)が好ましい。これらのアルカリは、単独で使用してもよいし、2種以上を任意の割合で使用してもよい。
【0050】
洗浄液中のアルカリの濃度は、適宜選択すればよいが、洗浄液のpHが9以上のアルカリ性となる濃度であることが好ましい。アルカリ濃度が低すぎてpHが高くない場合は、本発明の目的である汚染除去効果が得られない場合がある。一方、pHが高すぎる場合は、pHを高めたことによる効果が得られずに経済的に不利であるばかりか、基板表面がエッチングにより損傷する危険性が増すので好ましくない。従って、アルカリ性洗浄液のpHは、好ましくは9〜13、更に好ましくは10〜12.5、特に好ましくは10.5〜12である。
【0051】
本発明で使用される有機酸の種類は、特に限定されないが、有機カルボン酸または有機スルホン酸が好ましい。有機カルボン酸の代表的なものとしては、蟻酸、酢酸、プロピオン酸、酪酸、イソ酪酸、吉草酸、エチルメチル酢酸、トリメチル酢酸、蓚酸、コハク酸、マロン酸、クエン酸、酒石酸、リンゴ酸などが挙げられる。これらの中では、酢酸、プロピオン酸、蓚酸、コハク酸、マロン酸、クエン酸、酒石酸、リンゴ酸の群から選択される1種または2種以上が好ましく、酢酸、蓚酸、クエン酸の群から選択される1種または2種以上が更に好ましい。酢酸は、半導体基板のエッチャント材料などに使用されており、蒸留操作により高純度で金属不純物の少ない物が安価で入手が可能であり、水分蒸発による粉体の発生も起こらない点で最も好ましい。
【0052】
有機スルホン酸の代表的なものとしては、メタンスルホン酸、エタンスルホン酸、n−プロパンスルホン酸、i−プロパンスルホン酸、n−ブタンスルホン酸、フェニルスルホン酸などが挙げられる。これらの中では、メタンスルホン酸および/またはエタンスルホン酸が好ましく、メタンスルホン酸が特に好ましい。上記の有機酸は、単独で使用してもよいし、2種以上を任意の割合で使用してもよい。
【0053】
洗浄液中の有機酸の濃度は、適宜選択すればよいが、酸性洗浄液のpHが1〜5となる濃度であることが好ましい。有機酸の濃度が低すぎてpHが充分低くない場合は、本発明の目的である汚染の除去や付着防止効果が得られない場合がある。一方、濃度が高すぎる場合は、pHを低下させたことによる効果が得られずに経済的に不利で有るばかりか、基板表面の腐食の原因にもなり得るので好ましくない。酸性洗浄液のpHは好ましくは2〜3である。
【0054】
本発明の洗浄液に於いては、錯化剤を含有させると基板表面の金属汚染を更に低減した極めて高度に清浄化された表面が得られるので好ましい。錯化剤としては、従来公知の任意のものを使用できる。錯化剤の種類は、基板表面の汚染レベル、金属の種類、基板表面に要求される清浄度レベル、錯化剤コスト、化学的安定性などから総合的に判断して選択すればよく、例えば、以下の(1)〜(4)にて示すものが挙げられる。
【0055】
(1)ドナー原子である窒素とカルボキシル基および/またはホスホン酸基を有する化合物:
例えば、グリシン等のアミノ酸類;イミノ2酢酸、ニトリロ3酢酸、エチレンジアミン4酢酸[EDTA]、トランス−1,2−ジアミノシクロヘキサン4酢酸[CyDTA]、ジエチレントリアミン5酢酸[DTPA]、トリエチレンテトラミン6酢酸[TTHA]等の含窒素カルボン酸類;エチレンジアミンテトラキス(メチレンホスホン酸)[EDTPO]、ニトリロトリス(メチレンホスホン酸)[NTPO]、プロピレンジアミンテトラ(メチレンホスホン酸)[PDTMP]等の含窒素ホスホン酸類などが挙げられる。
【0056】
(2)芳香族炭化水素環を有し且つ芳香族炭化水素環を構成する炭素原子に直接結合したOH基および/またはO-基を2つ以上有する化合物:
例えば、カテコール、レゾルシノール、タイロン等のフェノール類、その誘導体などが挙げられる。
【0057】
(3)上記(1)及び(2)の構造を併せ持った化合物:
【0058】
(3−1)エチレンジアミンジオルトヒドロキシフェニル酢酸[EDDHA]及びその誘導体:
例えば、エチレンジアミンジオルトヒドロキシフェニル酢酸[EDDHA]、エチレンジアミン−N,N’−ビス〔(2−ヒドロキシ−5−メチルフェニル)酢酸〕[EDDHMA]、エチレンジアミン−N,N’−ビス〔(2−ヒドロキシ−5−クロルフェニル)酢酸〕[EDDHCA]、エチレンジアミン−N,N’−ビス〔(2−ヒドロキシ−5−スルホフェニル)酢酸〕[EDDHSA]等の芳香族含窒素カルボン酸類;エチレンジアミン−N,N’−ビス〔(2−ヒドロキシ−5−メチルフェニル)ホスホン酸〕、エチレンジアミン−N,N’−ビス〔(2−ヒドロキシ−5−ホスホフェニル)ホスホン酸〕等の芳香族含窒素ホスホン酸類が挙げられる。
【0059】
(3−2)N,N’−ビス(2−ヒドロキシベンジル)エチレンジアミン−N,N’−2酢酸[HBED]及びその誘導体:
例えば、N,N’−ビス(2−ヒドロキシベンジル)エチレンジアミン−N,N’−2酢酸[HBED]、N,N’−ビス(2−ヒドロキシ−5−メチルベンジル)エチレンジアミン−N,N’−2酢酸[HMBED]、N,N’−ビス(2−ヒドロキシ−5−クロルベンジル)エチレンジアミン−N,N’−2酢酸などが挙げられる。
【0060】
(4)その他:
例えば、エチレンジアミン、8−キノリノール、o−フェナントロリン等のアミン類;ギ酸、酢酸、シュウ酸、酒石酸などのカルボン酸類;フッ化水素酸、塩酸、臭化水素、ヨウ化水素などのハロゲン化水素、それらの塩;リン酸、縮合リン酸などのオキソ酸類、それらの塩などが挙げられる。
【0061】
上記の錯化剤は、酸の形態のものを使用してもよいし、アンモニウム塩などの塩の形態のものを使用してもよい。
【0062】
上述した錯化剤の中でも、洗浄効果、化学的安定性などの理由から、エチレンジアミン4酢酸[EDTA]、ジエチレントリアミン5酢酸[DTPA]等の含窒素カルボン酸類;エチレンジアミンテトラキス(メチレンホスホン酸)[EDTPO]、プロピレンジアミンテトラ(メチレンホスホン酸)[PDTMP]等の含窒素ホスホン酸類;エチレンジアミンジオルトヒドロキシフェニル酢酸[EDDHA]及びその誘導体;N,N’−ビス(2−ヒドロキシベンジル)エチレンジアミン−N,N’−2酢酸[HBED]が好ましい。
【0063】
中でも洗浄効果の観点からエレンジアミンジオルトヒドロキシフェニル酢酸[EDDHA]、エチレンジアミン−N,N’−ビス〔(2−ヒドロキシ−5−メチルフェニル)酢酸〕[EDDHMA]、ジエチレントリアミン5酢酸[DTPA]、エチレンジアミン4酢酸[EDTA]、プロピレンジアミンテトラ(メチレンホスホン酸)[PDTMP]が好ましい。上記の錯化剤は、単独で使用してもよいし、2種以上を任意の割合で使用してもよい。
【0064】
洗浄液中の錯化剤の濃度は、汚染金属不純物の種類と量、基板表面に要求される清浄度レベルによって任意に選択すればよいが、通常1〜10000ppm、好ましくは5〜1000ppm、更に好ましくは10〜200ppmである。錯化剤の濃度が低すぎる場合は、錯化剤による汚染除去や付着防止効果が得られず、高すぎる場合は、濃度増加に見合う効果が得られずに経済的に不利であるばかりか、基板表面に錯化剤が付着し、表面処理後に残留する危険性が増す。
【0065】
なお、錯化剤は、通常販売されている試薬に於いて1〜数千ppm程度のFeAl、Zn等の金属不純物を含有しているので、本発明で使用する錯化剤が金属汚染源となる場合が考えられる。これらの金属は、初期には錯化剤と安定な錯体を形成して存在しているが、表面洗浄液として長時間使用しているうちに錯化剤が分解してくると、遊離し、基板表面に付着する。そのため、本発明で使用する錯化剤は、予め、精製して使用するのが好ましい。そして、含まれる金属不純物各々の含有量は、通常5ppm以下、好ましくは1ppm以下、更に好ましくは0.1ppm以下とされる。精製方法としては、例えば、酸性またはアルカリ性溶液に錯化剤を溶解した後、不溶性不純物をろ過分離して取り除き、再び中和して結晶を析出させ、当該結晶を液と分離する方法が好適である。
【0066】
また、本発明の洗浄液は、その性能を損なわない範囲に於いて、その他の成分を任意の割合で含有していてもよい。他の成分としては、含硫黄有機化合物(2−メルカプトチアゾリン、2−メルカプトイミダゾリン、2−メルカプトエタノール、チオグリセロール等)、含窒素有機化合物(ベンゾトリアゾール、アルキルベンゾトリアゾール、テトラソール、3−アミノトリアゾール、N(R)(Rは炭素数1〜4のアルキル基)、N(ROH)(Rは炭素数1〜4のアルキル基)、ウレア、チオウレア等)、水溶性ポリマー(ポリエチレングリコール、ポリビニルアルコール等)、アルキルアルコール系化合物(ROH(Rは炭素数1〜4のアルキル基))等の防食剤、硫酸、塩酸などの酸、ヒドラジン等の還元剤、水素、アルゴン、窒素などの溶存ガス、フッ酸、フッ化アンモニウム、BHF等のドライエッチング後に強固に付着したポリマー等の除去効果が期待できるエッチング促進剤などが挙げられる。
【0067】
そして、本発明の洗浄液に含有させる他の成分として、オゾン、酸素などの酸化剤も挙げられる。半導体デバイス用基板の洗浄工程に於いて、酸化膜のないシリコン(ベアシリコン)基板表面を洗浄する際には、酸化剤の配合により、基板表面へのエッチングによる表面荒れを抑えることが出来るので好ましい
【0068】
ところで、洗浄する基板の表面には、過酸化水素と反応して溶解する金属材料からなる半導体デバイスの配線やデバイス素子電極が露出している場合がある。この様な金属材料としては、例えば、CuやWなどの遷移金属または遷移金属化合物が挙げられる。この際、洗浄に使用する洗浄液は、実質的に過酸化水素を含有しないことが好ましい。本発明の洗浄液は、従来のAPM洗浄液とは異なり、過酸化水素を実質的に含有しなくても、この様な金属材料に悪影響を及ぼすことなく、充分な洗浄性能を示す。
【0069】
なお、本発明の洗浄液に於いて、「実質的に過酸化水素を含有しない」とは、洗浄する基板上の材料、例えばCuやW等の配線材料や電極材料、及び低誘電率膜に対し、過酸化水素による腐食や変質などの悪影響を生じさせないことを意味する。つまり、これらの材料が、半導体デバイスとした際に、配線や電極などとして充分に機能することを意味する。そのためには、本発明の洗浄液に過酸化水素が含まれない様にし、含有されたとしてもその含有量を少なく抑えるほど好ましい。その含有量は、10ppm以下、好ましくは1ppm、更に好ましくは10ppb以下とされる。
【0070】
本発明の洗浄液は、金属汚染やパーティクル汚染が問題となる半導体、ガラス、金属、セラミックス、樹脂、磁性体、超伝導体などの基板表面の洗浄に使用される。特に高清浄な基板表面が要求される、半導体素子、ディスプレイデバイス用などの半導体デバイス用基板を製造する工程における、半導体デバイス用基板表面の洗浄に好適に使用される。これらの基板の表面には、配線、電極などが存在していてもよい。配線や電極の材料としては、Si、Ge、GaAs等の半導体材料;SiO2、窒化シリコン、ガラス、低誘電率材料、酸化アルミニウム、遷移金属酸化物(酸化チタン、酸化タンタル、酸化ハフニウム、酸化ジルコニウム等)、(Ba、Sr)TiO3(BST)、ポリイミド、有機熱硬化性樹脂などの絶縁材料;W、Cu、Al等の金属またはこれらの合金、シリサイド、窒化物などが挙げられる。低誘電率材料とは、比誘電率が3.5以下である材料の総称である。因に、SiO2の比誘電率は3.8〜3.9である。
【0071】
特に、本発明の洗浄液は、表面に遷移金属または遷移金属化合物を有する半導体デバイス用基板の洗浄に好適に使用される。遷移金属としては、W、Cu、Ti、Cr、Co、Zr、Hf、Mo、Ru、Au、Pt、Ag等が挙げられ、遷移金属化合物としては、これらの遷移金属のチッ化物、酸化物、シリサイド等が挙げられる。これらの中では、W及び/又はCuが好ましい。
【0072】
表面にタングステンを有する基板の洗浄を行う工程としては、タングステンをゲート電極材料として使用した場合の、ゲート電極とシリコン等を有する基板表面の洗浄が挙げられる。具体的には、半導体デバイスにタングステン膜を形成した後の洗浄工程、特に、タングステン膜をドライエッチングした後の洗浄工程、その後にシリコン露出部にイオン注入した後の洗浄工程が挙げられる。
【0073】
本発明の洗浄液を使用すれば、超音波照射やブラシスクラブを行わなくても、パーティクルや金属の除去を行なうことが出来る。従って、本発明の洗浄液は、超音波洗浄やブラシスクラブを行うと壊れてしまう恐れが大きい、極微細な(例えば、ゲート電極の幅が0.15μm程度の)ゲート電極をタングステンで形成した場合の、ゲート電極および基板表面の洗浄に好適である。
【0074】
表面にCuを有する基板の洗浄を行う工程としては、Cuを配線材料として使用した場合の、Cu配線と層間絶縁膜などを有する基板表面の洗浄が挙げられる。具体的には、半導体デバイスにCu膜を形成した後の洗浄工程、特にCu膜に対してCMP(Chemical Mechanical Polishing)を行った後の洗浄工程、配線上の層間絶縁膜にドライエッチングによりホールを開けた後の洗浄工程が挙げられる。
【0075】
また、本発明の洗浄液は、表面に層間絶縁膜材料となる低誘電率材料を有する半導体デバイス用基板の洗浄にも好適に使用される。低誘電率材料としては、有機ポリマー材料、無機ポリマー(シロキサン系)材料、多孔質(ポーラス)材料と、大きく3つに分けられる。有機ポリマー材料としては、Polyimide、BCB(Benzocyclobutene)、Flare(Honeywell社)、SiLK(Dow Chemical)等が挙げられ、無機ポリマー材料としては、FSG(Fluorinated silicate glass)、BLACK DIAMOND(Applied Materials)、Aurora(日本ASM)等が挙げられる。
【0076】
本発明の洗浄液は、上述の様に、基板表面に於ける電極や配線材料の有無に拘わらず、半導体デバイス用基板の表面洗浄に好適に使用される。その中でも、本発明の洗浄液は、基板表面に於ける水の接触角が60゜以上の疎水性を示す半導体デバイス用基板の洗浄に好適に使用される。
【0077】
本発明の洗浄液の調製方法は、従来公知の方法によればよい。洗浄液の構成成分(例えば、界面活性剤、水酸化アンモニウム、水、必要に応じて錯化剤など、他の成分)のうち、何れか2成分または3成分以上を予め配合し、その後に残りの成分を混合してもよいし、一度に全部を混合してもよい。
【0078】
前述の様に、本発明の半導体デバイス用基板洗浄液は、今後の新材料、つまり過酸化水素などの薬液に対して耐性の低い金属材料が表面にある半導体デバイス用基板でも、これら新材料を実質的に腐食しないので、前工程および後工程の何れにも使用可能な、優れた洗浄効果を奏する洗浄液となる。
【0079】
すなわち、本発明の他の要旨は、以下の条件(a)、(b)及び(c)を満たすことを特徴とする、少なくとも半導体素子電極または金属配線を表面に有する、半導体デバイス用基板洗浄液に存する。
【0080】
(a)実質的に半導体素子電極および金属配線を腐食しない。
(b)汚染金属量が1000〜5000(×1010atoms/cm)である基板を洗浄した場合に於いて、洗浄後の汚染金属量が10(×1010atoms/cm)以下である。
(c)粒径0.1μm以上のパーティクルを8000〜100000(個/0.03m)有する半径rの略円形状基板表面をt(分)間洗浄した場合に於いて、洗浄後、基板と中心を同じくする基板表面上の円周内でのパーティクル個数が、t=0.5〜1の際、円周半径0.6rの円周内で200/t個以下、または、円周半径0.9rの円周内では800/t個以下である。
【0081】
なお、上記の(b)及び(c)の規定は、本発明の洗浄液の特性を規定したものであり、本発明の洗浄液が使用される洗浄条件を規定したものではない。また、本発明の洗浄液に於いて、「実質的に半導体素子電極及び金属配線を腐食しない」とは、洗浄する基板上の半導体素子電極や金属配線、具体的には、例えばWやCu等の電極材料や配線材料に対して、腐食や変質などの悪影響を生じさせず、これらの材料が、半導体デバイスとした際に、電極や配線などとして充分に機能することを意味する。
【0082】
上記の本発明の洗浄液に於いて、条件(b)及び(c)を満たすということは、金属汚染、パーティクル汚染、何れの汚染をも充分に除去することが可能であることを示す。
【0083】
条件(c)は、洗浄対象が略円板状基板の表面、つまり略円形状基板表面の際、短時間の洗浄であっても、基板表面の位置によらず、基板表面を高度に清浄化出来ることを意味する。つまり粒径0.1μm以上のパーティクルを8000〜100000(個/0.03m)有する半径rの略円形状基板表面を洗浄時間t:0.5〜1[分]として洗浄した後、基板と中心を同じくする基板表面上の比較的内周部である円周半径0.6rの円周内では、残留するパーティクルを200/t個以下まで除去し、且つ、比較的外周部までをも含む円周半径0.9rの円周内に於いても、パーティクルを800/t個以下とし、基板表面を高度に清浄化できることを意味する。
【0084】
また、上述した、本発明の半導体デバイス用基板洗浄液における、「洗浄した場合に於いて」とは、後述する様な洗浄方法によって、半導体デバイス用基板を洗浄液によって洗浄した場合であることを示す。洗浄方法は、通常、半導体デバイス用基板の洗浄時に採用されうる方法であれば特に限定されない。中でも、洗浄液の基板への接触方法は、基板上に洗浄液を流しながら基板を高速回転させるスピン式とし、洗浄液の液温度は室温〜90℃の範囲とすることが安定した結果が得られるので好ましい。
【0085】
更に、洗浄の際、物理力による洗浄方法、例えば洗浄ブラシを使用したスクラブ洗浄などの機械的洗浄や、基板に周波数0.5メガヘルツ以上の超音波を照射する超音波洗浄、そしてこれらを併用する洗浄方法などを採用することにより、より安定した洗浄結果が得られるので好ましい。
【0086】
本発明の洗浄方法は、洗浄液を基板に直接接触させる方法で行われる。洗浄液の基板への接触方法には、洗浄槽に洗浄液を満たして基板を浸漬させるディップ式、ノズルから基板上に洗浄液を流しながら基板を高速回転させるスピン式、基板に液を噴霧して洗浄するスプレー式などが挙げられる。この様な洗浄を行うための装置としては、カセットに収容された複数枚の基板を同時に洗浄するバッチ式洗浄装置、1枚の基板をホルダーに装着して洗浄する枚葉式洗浄装置などがある。
【0087】
洗浄時間は、バッチ式洗浄装置の場合、通常30秒から30分、好ましくは1〜15分、枚葉式洗浄装置の場合、通常1秒から15分、好ましくは5秒から5分である。洗浄時間が短すぎる場合は洗浄効果が十分でなく、長すぎる場合は、洗浄効果の向上は小さく、スループットの低下を招く。本発明の洗浄液は、上記の何れの方法にも適用できるが、短時間でより効率的な汚染除去が出来る点から、スピン式やスプレー式の洗浄に好ましく使用される。そしては、洗浄時間の短縮、洗浄液使用量の削減が問題となっている枚葉式洗浄装置に適用するならば、これらの問題が解消されるので好ましい。
【0088】
洗浄液の温度は、通常は室温とされるが、洗浄効果を向上させる目的で、40〜70℃程度に加温することが好ましい。更に、表面にシリコンが露出している基板を洗浄する場合は、シリコン表面に有機物汚染が残留し易いため、基板を温度300℃以上の加熱処理工程に供して熱分解させるか、または、オゾン水処理によって有機物を酸化分解処することが好ましい。
【0089】
また、本発明の洗浄方法は、物理力による洗浄方法、例えば、洗浄ブラシを使用したスクラブ洗浄などの機械的洗浄や超音波洗浄と併用させることが好ましい。特に、超音波照射またはブラシスクラブを併用するならば、パーティクル汚染の除去性が更に向上し、洗浄時間の短縮にも繋がるので好ましい。特に、CMP後の洗浄で樹脂製ブラシを使用して洗浄するのが好ましい。
【0090】
樹脂製ブラシの材質は、任意に選択し得るが、例えばPVA(ポリビニルアルコール)を使用するのが好ましい。また、基板に周波数0.5メガヘルツ以上の超音波を照射するならば、界面活性剤との相乗作用により、パーティクルの除去性が著しく向上するので好ましい。更に、本発明の洗浄方法の前および/または後に、水の電気分解によって得られる電解イオン水、または、水に水素ガスを溶存させた水素水による洗浄を組み合わせてもよい。
【0091】
【実施例】
次に、実施例により、本発明を具体的に説明するが、本発明はその要旨を超えない限り、以下の実施例により限定されるものではない。
【0092】
実施例1、2及び比較例1〜3
(スクラブ式洗浄によるパーティクル汚染の洗浄性評価)
低誘電率膜(SiOC:炭素含有SiO2)付きの8インチシリコン基板(半径rが4インチの円板状基板)を、SiO2スラリー溶液に10分間浸漬した。浸漬後の基板を超純水で1分間水洗し、マルチスピンナー((株)カイジョー製「KSSP−201」)でスピン乾燥させた。その後、レーザー表面検査装置(日立電子エンジニアリング社製「LS−5000」)により、基板表面に付着した微粒子数を測定し、0.2μm以上のSiO粒子が一定数量以上(ただし、上限は100000個)付着していることを確認した。
【0093】
表1に示す洗浄液を使用し、上記のマルチスピンナーにより、PVA製のブラシで上記のSiO粒子付着基板をブラシスクラブ洗浄し、パーティクルを除去した。洗浄液による洗浄は室温で1分間行なった。その後、超純水で基板を1分間洗浄した後、スピン乾燥し、洗浄済基板を得た。結果を表1に示す。
【0094】
【表1】
Figure 0004304988
【0095】
実施例3〜6及び比較例4〜8
(スクラブ式洗浄によるパーティクル汚染の洗浄性評価)
先ず、実施例1と同様にSiO2粒子付着基板を作成した。次いで、表2に示す洗浄液を使用し、洗浄時間を0.5分間とした以外は、実施例1と同様にSiO2粒子付着基板を洗浄し、洗浄済基板を得た。結果を表2に示す。
【0096】
表2中の濡れ性評価は次の方法で行った。すなわち、低誘電率膜(SiOC:炭素含有SiO2)付きのテスト片(2cm角)を表2に記載の各洗浄液に垂直に漬ける。0.5分後、テスト片を垂直に引き出し、テスト片の全面積に対する洗浄液が付いた面積の割合で評価した。評価基準は、○:80%以上,△:50%以上80%未満,×:50%未満とした。
【0097】
【表2】
Figure 0004304988
【0098】
実施例7〜10
(スクラブ式洗浄によるパーティクル汚染の洗浄性評価)
低誘電率膜(SiOC:炭素含有SiO2)付きの8インチシリコン基板(半径rが4インチの円板状基板)を、0.5重量%フッ酸で1分間表面処理した後、SiO2スラリー溶液に10分間浸漬した。浸漬後の基板を超純水で1分間水洗し、マルチスピンナー((株)カイジョー製「KSSP−201」)でスピン乾燥させた。その後、レーザー表面検査装置(日立電子エンジニアリング社製「LS−6600」)で基板表面に付着した微粒子数を測定し、0.11μm以上のSiO粒子が一定数量以上(ただし、上限は100000個)付着していることを確認した。
【0099】
表3に示す洗浄液を使用し、前述のマルチスピンナーにより、PVA製のブラシで上記のSiO2粒子付着基板をブラシスクラブ洗浄し、パーティクルを除去した。洗浄液による洗浄は室温で0.5分間行なった。その後、超純水で基板を1分間洗浄した後、スピン乾燥して、洗浄済基板を得た。結果を表3に示す。
【0100】
【表3】
Figure 0004304988
【0101】
実施例11、12及び比較例9
(スクラブ式洗浄によるパーティクル汚染の洗浄性評価)
先ず、実施例1と同様にSiO2粒子付着基板を作成した。次いで、表4に示す洗浄液を使用し、洗浄時間を0.5分間とした以外は、実施例1と同様にSiO2粒子付着基板を洗浄し、洗浄済基板を得た。結果を表4に示す。
【0102】
【表4】
Figure 0004304988
【0103】
実施例13及び比較例10
基板表面に厚さ約100nmの熱酸化膜の付いた4インチシリコン基板(半径rが2インチの円板状基板)を大気中に3時間暴露し、気中浮遊物を付着させた。基板表面検査装置(日立電子エンジニアリング社製「LS−5000」)で測定した結果、基盤には粒径0.2μm以上のパーティクルが1万個以上(ただし、上限は100000個)付着していた。この基板を50℃に温度制御された表3に記載の各洗浄液に各10分間浸漬処理した後、10分間純水による流水洗浄を行ない、スピンドライヤーにて乾燥した。洗浄処理後の基板上に残存するパーティクル数の測定結果を表5に示す。
【0104】
比較例11
実施例13に於いて、洗浄液として、29重量%水酸化アンモニウム水溶液、50重量%過酸化水素水、超純水を容量比1:4:20にて混合して調製した溶液(APM洗浄液)を使用した以外は、実施例13と同様に行なって評価した。結果を表5に示す。
【0105】
比較例11の洗浄液は、洗浄後の付着粒子数が比較的少ないが、洗浄液に過酸化水素を含むために、今後の新材料への適用が出来ず、将来的には使用不可能となる。
【0106】
【表5】
Figure 0004304988
【0107】
実施例14及び比較例12〜14
自然酸化膜の付いた4インチシリコン基板(半径rが2インチの円板状基板)を0.5重量%HF水溶液に5分間浸漬処理して表面酸化膜を除去した基板を得た。これをSilicon(IV)Nitride粒子(Johnson Matthey社製「Stk#12145」)0.02g/Lが添加され且つ50℃に温度制御された表4に記載の各洗浄液に10分間浸漬処理し後、5分間純水による流水洗浄を行ない、スピンドライヤーにて乾燥した。基板表面検査装置(日立電子エンジニアリング社製「LS−5000」)により、洗浄処理後の基板上に残存する粒径0.2μm以上のパーティクルの数を測定した。結果を表6に示す。
【0108】
【表6】
Figure 0004304988
【0109】
実施例15、比較例15、16
0.5重量%HF水溶液に5分間浸漬処理することにより表面自然酸化膜を除去した4インチシリコン基板(半径rが2インチの円板状基板)を用意した。これを各温度制御された表5に記載の洗浄液に所定時間浸漬処理した後、5分間純水による流水洗浄を行ない、スピンドライヤーにて乾燥した。基板乾燥後、直ちに原子間力顕微鏡(Digital Instruments社製Nano ScopeIIIa)で基板表面のZ軸変位の標準偏差であるRms(nm)を測定した。結果を表7に示す。
【0110】
上記の基板の表面荒れについて目視による評価を行ない。次の結果を得た。すなわち、比較例15及び16の場合は、基板表面に直径約1〜10mm程度の無数のクレーター状凹凸と基板表面全体に亘る干渉縞の様な表面荒れが観察されたが、実施例15の場合は観察されなかった。
【0111】
【表7】
Figure 0004304988
【0112】
実施例16〜19及び比較例17〜19
0.5重量%HF水溶液に5分間浸漬処理することにより表面酸化膜を除去した膜厚約100nmの多結晶ポリシリコンのテスト片を用意した。このテスト片を50℃に温度制御された表6に記載の各洗浄液に10分間浸漬処理した後、5分間純水による流水洗浄を行ない、窒素ブローにて乾燥した。多結晶ポリシリコンの膜厚は、光干渉式膜厚測定器(ナノメトリクス社製「ナノスペックL−6100」)で測定した。洗浄処理前後の膜厚測定よりエッチングレートを算出した。結果を表8に示す。
【0113】
【表8】
Figure 0004304988
【0114】
実施例20、参考例1
0.3重量%アンモニア水溶液に5分間浸漬処理することにより表面酸化膜を除去した膜厚約100nmのタングステンのテスト片を用意した。このテスト片を、40℃に温度制御された表9に記載の各洗浄液に10分間浸漬処理した後、5分間純水による流水洗浄を行ない、窒素ブローにて乾燥した。タングステンの膜厚は、全反射蛍光X線(Jeol社製「RIX−3000」)を使用し、反射強度からの換算により導出した。洗浄処理前後の膜厚測定よりエッチングレートを算出した。結果を表9に示す。
【0115】
ここで、実施例20と参考例1とを比較して明らかな通り、本発明の洗浄液は、単なるアルカリ水溶液に対して、基板表面のエッチングレートを抑制し、半導体デバイス用基板洗浄液として優れていることが分かる。
【0116】
比較例20
実施例20に於いて、洗浄液として、比較例11と同様のAPM洗浄液を使用した以外は、実施例20と同様に行なって評価した。結果を表9に示す。
【0117】
【表9】
Figure 0004304988
【0118】
実施例21、比較例21
4インチシリコン基板(半径rが2インチの円板状基板)を、金属イオン(Fe、Cu)を含有したAPM洗浄液に浸漬した。このAPM洗浄液は、29重量%アンモニア水、31重量%過酸化水素水および水を容量比1:1:5で混合し、これに金属含有量がFe(20ppb)、Cu(1ppm)となる様に金属イオン含有水溶液を添加して調製した。浸漬後のシリコン基板を超純水で10分間水洗し、窒素ブローにより乾燥し、金属で汚染されたシリコン基板を得た。
【0119】
このシリコン基板上の汚染金属(Fe、Cu)の分析は、汚染されたシリコン基板および洗浄後のシリコン基板共に、次の方法で行なった。すなわち、基板表面にある金属を、フッ酸0.1重量%と過酸化水素1重量%を含む水溶液で基板を処理することによって回収し、誘導結合プラズマ質量分析計(ICP−MS)で金属量を測定し、基板表面での金属濃度(atoms/cm)に換算する。
【0120】
金属で汚染された上記のシリコン基板の洗浄を、表10に示す洗浄液を使用し、洗浄液温度60℃、洗浄時間10分とし、ディップ式洗浄法により行った。汚染されたシリコン基板の分析結果および洗浄済シリコン基板表面の残留金属(Fe,Cu)を表10に示す。
【0121】
【表10】
Figure 0004304988
【0122】
以上の結果から、本発明の洗浄液は、疎水性である低誘電率膜に付着した微粒子(パーティクル)の除去性に優れていることが明らかである。また、水酸化アンモニウム溶液やAPM溶液による従来の洗浄方法に比し、気中浮遊物由来のパーティクル付着物に対しても、より優れた除去性を有していることが判る。
【0123】
同様に、系内に微粒子(パーティクル)等が混入しても、本発明の洗浄方法で除去することにより、基板への付着を抑制することが可能となる。更に、従来の洗浄方法と比較し、アルカリ性の洗浄液に於いてもシリコン表面のラフネス(表面あれ)を極めて小さく抑制することが可能であり、ポリシリコンやタングステンへのエッチングによる加工寸法変化などの副作用が殆ど無く、洗浄性とラフネス抑制および低エッチング性を両立することが可能となる。
【0124】
そして、本発明の洗浄液は、過酸化水素などの薬液に対して耐性の低い材料が表面にある半導体デバイス用基板であっても、前工程および後工程の何れにも使用可能な、優れた洗浄効果を奏する洗浄液であることが明白である。
【0125】
【発明の効果】
本発明の洗浄液によれば、シリコン等の半導体材料、窒化シリコン、酸化シリコン、ガラス、低誘電率材料などの絶縁材料、遷移金属または遷移金属化合物などを表面の一部あるいは全面に有する半導体デバイス用基板に於いて、基板表面に付着した微粒子(パーティクル)、有機汚染、金属汚染を洗浄により効果的に除去し、系内に微粒子などが混入した際にも付着抑制が可能である。特に、薬液をはじき易い疎水性の低誘電率材料の濡れ性を良くし、洗浄性に優れている。また、アルカリ性洗浄液に於いても、洗浄性に加え、シリコン表面のラフネス抑制および低エッチング性を両立することが可能であり、半導体デバイス、ディスプレイデバイス等の製造工程における汚染洗浄用などの表面処理方法として、工業的に非常に有用である。[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a cleaning solution and a cleaning method for a substrate for a semiconductor device, and is used for cleaning a substrate surface of a semiconductor, glass, metal, ceramics, resin, magnetic material, superconductor, etc. in which metal contamination or particle contamination is a problem. It relates to a cleaning solution. Specifically, the present invention relates to a cleaning liquid and a cleaning method for cleaning a semiconductor device substrate surface in a process of manufacturing a semiconductor device substrate for a semiconductor element or a display device, which requires a highly clean substrate surface. .
[0002]
The cleaning liquid and the cleaning method of the present invention have a surface of a semiconductor material such as silicon, an insulating material such as silicon nitride, silicon oxide, glass, a low dielectric constant (Low-k) material, a transition metal or a transition metal compound, in particular. In the semiconductor device substrate on the entire surface or the entire surface, remove silica particles, alumina particles, fine particles (particles) such as organic particles, organic contaminants such as resist residues, and metal contamination on the substrate surface. Re-adhesion can be suppressed, and the substrate surface can be highly purified without causing roughness or corrosion of the substrate surface.
[0003]
[Prior art]
In the manufacturing process of semiconductor devices such as flat panel displays such as TFT liquid crystal, microprocessors, memories, and CCDs, silicon, silicon oxide (SiO2)2), Pattern formation and thin film formation are performed on the surface of a substrate such as glass with a size of submicron to quarter micron. Therefore, in each of these manufacturing steps, it is an extremely important issue to remove even slight contamination of the substrate surface and to highly clean the substrate surface. Among contaminations, in particular, particle contamination and metal contamination, which are minute contaminations, are difficult to remove. However, since the electrical characteristics and yield of the semiconductor device are reduced due to such contamination, it is necessary to remove such contamination as much as possible before bringing it into the next process. In order to remove such contamination, the substrate surface is generally cleaned with a cleaning liquid.
[0004]
In recent years, there has been a demand for further improvement in throughput and production efficiency in the manufacture of semiconductor devices. And for semiconductor device manufacturing substrates that are becoming increasingly miniaturized and highly integrated, they have excellent removability prevention after removal as well as particle surface contamination and metal contamination on the substrate surface, and quickly. A cleaning liquid and a cleaning method capable of highly cleaning the surface are desired.
[0005]
Generally, it is known that an alkaline aqueous solution is effective as a cleaning liquid used for removing particle contamination. An alkaline aqueous solution such as an aqueous ammonia solution, an aqueous potassium hydroxide solution, or an aqueous tetramethylammonium hydroxide solution is used for cleaning the substrate surface for a semiconductor device. In addition, cleaning (referred to as “SC-1 cleaning” or “APM cleaning”) by a cleaning liquid (referred to as “SC-1 cleaning liquid” or “APM cleaning liquid”) containing ammonia, hydrogen peroxide, and water is also widely used. (For example, refer nonpatent literature 1).
[0006]
And recently, in order to improve the performance of such alkaline cleaning liquid, specifically, the etching of the surface of the substrate for semiconductor devices is suppressed, the surface roughness is suppressed and the wettability of the substrate surface is improved, and Various proposals have been made to add various surfactants to an alkaline cleaning solution for the purpose of improving the removability of particle contamination.
[0007]
For example, in order to suppress the roughening of the substrate surface due to the cleaning liquid, it has been proposed to add a surfactant to an alkaline hydrogen peroxide aqueous solution so that the contact angle of the cleaning liquid with respect to the substrate surface is 10 degrees or less (for example, Patent Documents). 1). Further, in order to improve the wettability of the cleaning liquid to the substrate surface, a hydrogen peroxide-containing alkaline cleaning liquid to which an ethylene oxide addition type nonionic surfactant having an addition mole number of ethylene oxide of 3 to 10 is added has been proposed. (For example, refer to Patent Document 2).
[0008]
In addition, in order to suppress the etching of the surface of a silicon substrate, which is a typical semiconductor device substrate, it has been proposed to add various surfactants to an alkaline cleaning solution (see, for example, Patent Document 3). In particular, in order to improve the performance of removing organic contaminants, a cleaning liquid used for cleaning a semiconductor device substrate containing a specific surfactant has been proposed (see, for example, Patent Document 4). In order to improve decontamination, it has also been proposed to add alkylbenzene sulfonic acid to an alkaline cleaning liquid containing hydrogen peroxide (see, for example, Patent Document 5). In order to improve particle removability, it has also been proposed to add a fluorine-based surfactant made of a fluoroalkylsulfonamide compound to the APM cleaning liquid (see, for example, Patent Document 6).
[0009]
Further, in the cleaning of the semiconductor device substrate, an acidic cleaning solution is also useful in addition to the above alkaline cleaning solution. In general, acidic cleaning solutions are effective for removing metal contamination on the substrate surface, but they are not suitable for removing particle contamination. For the purpose of improving particle contamination, various cleaning agents are also used in acidic cleaning solutions. It has been proposed to add. For example, it has been proposed to clean a silicon wafer using a specific surfactant and hydrofluoric acid (see, for example, Patent Document 7).
[0010]
In addition, it has been proposed to add a surfactant and ozone to a hydrofluoric acid aqueous solution used for cleaning a silicon wafer (see, for example, Patent Document 8). It has also been proposed to add an organic acid compound to a dispersant and / or a surfactant in order to remove metal impurities adsorbed on a substrate having metal wiring on the surface and particle contamination (see, for example, Patent Document 9).
[0011]
In recent years, with the miniaturization and high stacking of semiconductor devices, wiring (hereinafter simply referred to as “wiring”) and electrodes in semiconductor elements (hereinafter simply referred to as “electrodes”) connecting minute semiconductor elements in semiconductor devices. As a metal material used for "", new metal materials such as copper (Cu) and tungsten (W) are being introduced. Specifically, for example, Cu having a resistance value lower than that of conventionally used aluminum (Al) is being adopted as a wiring material.
[0012]
Another novel material is an interlayer insulating film between semiconductor elements having a stacked structure. As this interlayer insulating film, conventionally used SiO 22A low dielectric constant film using a film made of an organic polymer material or an inorganic polymer material having a lower dielectric constant than the film is being adopted. This interlayer insulating film is exposed on the substrate together with the wiring during a substrate cleaning process (hereinafter, also referred to as a “post process”) performed after the metal wiring is formed on the surface during the manufacturing process of the semiconductor device. is doing.
[0013]
Further, tungsten is being introduced into the electrode as an electrode material having a low resistance value and advantageous for fine processing. The electrode is usually exposed on the surface of the substrate during the substrate cleaning step before metal wiring formation (hereinafter also referred to as “pre-process”). Conventionally, since the substrate surface to be cleaned in the previous process is entirely composed of a Si compound, even a slight contamination affects the semiconductor device, so that the substrate surface has to be highly cleaned. Therefore, powerful cleaning by RCA cleaning is essential.
[0014]
In recent years, attempts have been made to adapt the various proposals described above in order to highly clean even a substrate on which a new material as described above is exposed.
[0015]
[Non-Patent Document 1]
W. Kern and D.A. Puotinen: RCA Review, p.187, June (1970)
[Patent Document 1]
JP-A-5-335294
[Patent Document 2]
Japanese Patent No. 3169024
[Patent Document 3]
Japanese Patent Laid-Open No. 2001-40389
[Patent Document 4]
JP-A-11-112418
[Patent Document 5]
JP 7-245281 A
[Patent Document 6]
JP-A-5-251416
[Patent Document 7]
JP 7-216392 A
[Patent Document 8]
JP-A-8-69990
[Patent Document 9]
JP 2001-7071 A
[0016]
[Problems to be solved by the invention]
In the conventional post-process using Al wiring, the Al wiring is weak against strong acids and strong alkalis, and is less affected by metal contamination than the previous process, so only simple cleaning with ultrapure water or organic solvent is performed. It was broken. However, when Cu was used instead of Al, the following two problems were newly generated.
[0017]
First, Cu is one of the most disliked contaminants for Si, and is an oxide film (SiO2) on the surface of a semiconductor device.2The diffusion rate of Cu in the film) is fast, and the adverse effect is much higher than that of Al.
[0018]
Second, unlike Al, Cu is problematic in that it cannot be dry etched. In order to form a wiring made of Cu, a wiring is formed by performing Cu plating on an insulating film dug in advance (for forming a Cu wiring), and then an unnecessary portion is formed by a method such as CMP (Chemical Mechanical Polishing). Therefore, it is unavoidable to form a wiring by a so-called damascene method.
[0019]
In wiring formation by the damascene method, a large amount of Cu and abrasive particles (particles represented by aluminum oxide particles) in the slurry used for CMP may contaminate the Cu wiring and the surface of the low dielectric constant film. It becomes a problem. Such contamination of the substrate surface can no longer be removed by simple cleaning with ultrapure water or an organic solvent, which has been a serious problem.
[0020]
When conventional RCA cleaning with strong acid or strong alkali is performed against the contamination as described above, a new problem arises that new metal materials such as Cu and W are dissolved in hydrogen peroxide. Furthermore, since the surface of the low dielectric constant film is hydrophobic, the wettability of the cleaning liquid is poor, and the cleaning liquid is repelled. In particular, there is a problem that it is difficult to sufficiently remove particle contamination.
[0021]
Therefore, in the process of cleaning a substrate having a new material as described above, serious problems have arisen in the future, such as it becomes impossible to perform cleaning using an RCA cleaning solution containing hydrogen peroxide. In cleaning a substrate having a new metal material weak against chemicals such as hydrogen oxide on the surface, development of a new cleaning liquid is strongly desired.
[0022]
On the other hand, as described above, a cleaning liquid containing a surfactant has been developed. However, there has been no cleaning solution that can sufficiently prevent re-adhesion along with the removal of metal contamination and particle contamination and satisfy the problems described in (1) to (3) below. It was an issue to be solved.
[0023]
(1) At room temperature or at the time of heating, the surfactant does not precipitate as oil droplets in the cleaning liquid and does not become cloudy, so that the cleaning performance is not deteriorated or the oil droplets remain on the substrate surface.
(2) The foaming property is small and does not adversely affect the operation of the cleaning device.
(3) The surfactant is a substance that does not adversely affect the natural environment, and the cleaning waste liquid can be appropriately treated.
[0024]
For example, since an anionic surfactant generally has no cloud point, it can be used at a high temperature (for example, 80 ° C. or higher) in expectation of a high cleaning effect. However, since it is highly foamable, the operability of the cleaning device may be adversely affected.
[0025]
Nonionic surfactants have high cleaning performance and low foaming properties, but generally have a low cloud point. Therefore, if a cleaning effect is expected with a high cleaning effect, the surfactant appears as oil droplets in the cleaning solution and remains on the substrate.
[0026]
[Means for Solving the Problems]
In order to solve the above-described problems, the present inventors diligently studied a substrate cleaning solution for a semiconductor device using a surfactant. In particular, attention was focused on surfactants used in cleaning liquids, especially ethylene oxide surfactants that are nonionic surfactants.
[0027]
The ethylene oxide type surfactant has a hydrocarbon group and a polyoxyethylene group in the same molecular structure. In the ethylene oxide type surfactant having such a structure, the inventors of the present invention have a ratio between the number of carbon atoms (m) contained in the hydrocarbon group and the number of oxyethylene groups in the polyoxyethylene group (n). We focused on surfactants in a specific range where (m / n) is 1 to 1.5, carbon number (m) is 9 or more, and the number of oxyethylene groups (n) in the polyoxyethylene group is 7 or more. .
[0028]
Many of the ethylene oxide surfactants within this specific range are solid and have low solubility in water at room temperature and atmospheric pressure. Therefore, such an ethylene oxide type surfactant has a low handleability in an industrial production process and has been avoided. However, a substrate cleaning solution for a semiconductor device containing an alkali or organic acid prepared by heating and melting an ethylene oxide surfactant within a specific range and dissolving in water is surprisingly substantially Even without hydrogen peroxide, good cleaning performance was exhibited. In particular, it was excellent in cleanability against fine particle contamination (particle removal property with a particle size of the order of 0.1 μm), which cannot be predicted from a general contamination cleaning effect. In addition, the substrate cleaning solution for semiconductor devices described above has an excellent cleaning effect because of its hydrophobicity, which easily repels the aqueous cleaning solution and exhibits sufficient wettability even on the surface of a low dielectric constant film having low particle removability. The present inventors have found these things and have completed the present invention.
[0029]
  That is, the gist of the present invention is as follows.After chemical mechanical polishing a substrate for a semiconductor device having silicon, a transition metal or a transition metal compound on the surface,Contains at least the following components (A), (B) and (C)And cleaning the substrate for a semiconductor device using a cleaning solution having a hydrogen peroxide content of 10 ppm or less.Exist.
[0030]
  Component (A): Polyoxyethylene alkyl ether represented by the following general formula (II)
[Chemical 3]
Figure 0004304988
(However, R2Represents a hydroxyl group, an amino group, an alkoxy group, or an alkyl group which may be substituted with a halogen, the number of carbons (m) contained in the alkyl group is 9 or more, and (n) represents a number of 7 or more. .And the ratio (m / n) of carbon number (m) in an alkyl group and oxyethylene group number (n) in a polyoxyethylene group is 1-1.5.)
  Ingredient (B): Water
  Component (C): Alkali
[0031]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, the present invention will be described in detail. The cleaning liquid of the present invention contains at least a specific surfactant as the component (A), water as the component (B), and an alkali or organic acid as the component (C).
[0032]
In the present invention, the surfactant used as component (A) has a hydrocarbon group which may have a substituent (excluding a phenyl group) and a polyoxyethylene group, and the hydrocarbon group contains The ratio (m / n) of the number of carbons (m) and the number of oxyethylene groups (n) in the polyoxyethylene group is 1 to 1.5, the number of carbons (m) is 9 or more, and the number of oxyethylene groups (n) Is an ethylene oxide type surfactant having 7 or more.
[0033]
When the ratio (m / n) is less than 1, the particle removing ability in the liquid and the corrosion inhibition of silicon are insufficient. In addition, the solubility in water decreases due to the increase in oxyethylene chain length, and the load of waste liquid treatment also increases. On the other hand, when the ratio exceeds 1.5, an O / W type emulsion is formed during washing in an alkaline solution, and the surfactant precipitates as fine oil droplets and becomes cloudy. Causes problems such as droplet residue. The ratio (m / n) is preferably 1 to 1.4.
[0034]
When the above carbon number (m) is less than 9, even if the (m / n) ratio is within the optimum range, the particle removability is lowered. On the other hand, when (m) is too large, the solubility in water and the load of waste liquid treatment increase, which is not preferable. Therefore, carbon number (m) becomes like this. Preferably it is 9-16, More preferably, it is 10-14. However, when the hydrocarbon group constituting the component (A) has a hydrocarbon group as a substituent, the total number of carbon atoms in the hydrocarbon group as the main chain and the hydrocarbon group as the substituent is m. And
[0035]
Moreover, when said (n) is less than 7, even if (m / n) ratio is in the said optimal range, particle removability will fall. When (n) is too large, the load of the waste liquid treatment is increased, and the surfactant is easily decomposed in the cleaning liquid. Therefore, (n) is preferably 7 to 16, more preferably 7 to 14.
[0036]
By using the above-mentioned ethylene oxide type surfactant defined in the present invention, both the wettability of the cleaning liquid and the removability of particles are improved. Examples of the ethylene oxide type surfactant include polyoxyethylene alkyl ether, polyoxyethylene fatty acid ester, polyoxyethylene alkylamine, polyoxyethylene alkyl ether sulfate, and the like. In particular, polyoxyethylene alkyl ethers represented by the following general formula (II) are preferable from the viewpoints of particle contamination removability and anti-reattachment ability.
[0037]
[Formula 4]
Figure 0004304988
(However, R2Represents a hydroxyl group, an amino group, an alkoxy group, or an alkyl group which may be substituted with a halogen, the number of carbons (m) contained in the alkyl group is 9 or more, and (n) represents a number of 7 or more. . )
[0038]
Specific examples of the polyoxyethylene alkyl ether include polyoxyethylene (n = 8) nonyl ether, polyoxyethylene (n = 9) decyl ether, polyoxyethylene (n = 11) undecyl ether, polyoxyethylene. (N = 10) lauryl ether, polyoxyethylene (n = 11) lauryl ether, polyoxyethylene (n = 10) tridecyl ether, polyoxyethylene (n = 12) tridecyl ether, polyoxyethylene (n = 11 ) Tetradecyl ether, polyoxyethylene (n = 13) tetradecyl ether, polyoxyethylene (n = 12) pentadecyl ether, polyoxyethylene (n = 14) pentadecyl ether, polyoxyethylene (n = 12) cetyl Ether, polyoxyethylene ( = 15) cetyl ether, polyoxyethylene (n = 18) oleyl ether, and the like. In addition, the numerical value in said n represents n in the said general formula (II).
[0039]
In the present invention, within the scope of the present invention, a plurality of ethylene oxide surfactants different in (m) and (n) may be used in an arbitrary ratio. Furthermore, when using multiple types of surfactant together, the average value of (m / n) of all the surfactants is 1 to 1.5, the average value of (m) is 9 or more, and the average value of (n) is 7 If the above conditions are satisfied, in each individual surfactant, (m / n) is less than 1.0 or more than 1.5, (m) is less than 9, and (n) is 7 It may be less.
[0040]
The content of the component (A) in the cleaning liquid is usually 0.0001 to 1% by weight, preferably 0.0003 to 0.5% by weight, more preferably 0.001 to 0.1% by weight, and particularly preferably 0. 0.001 to 0.05% by weight. When the concentration of the component (A) is too low, the particle contamination removal performance is not sufficient. On the other hand, when the concentration of the component (A) is too high, there is no change in the particle contamination removal performance, and foaming becomes remarkable and cleaning is performed. It may be unsuitable for the process, and the load in the case of biodegrading the waste liquid may increase.
[0041]
The component (A) may contain metal impurities such as Na, K, and Fe of about 1 to several thousand ppm in the form that is usually sold. In such a case, the component (A) becomes a metal contamination source. Therefore, it is preferable to purify and use the surfactant used as component (A). The content of each metal impurity is usually 10 ppm or less, preferably 1 ppm or less, more preferably 0.1 ppm or less. As a purification method, for example, a method in which a surfactant is dissolved in water, then passed through an ion exchange resin, and a metal impurity is captured by the resin is suitable.
[0042]
By using the component (A) purified as described above, it is possible to obtain a cleaning liquid in which the metal impurity content is extremely reduced. As the cleaning liquid of the present invention, among the metal impurities in the cleaning liquid, the content of at least Na, Mg, Al, K, Ca, Fe, Cu, Pb, and Zn is 20 ppb or less, particularly 5 ppb or less, particularly 0 .1 ppb or less is preferable.
[0043]
In the present invention, a surfactant other than the component (A) may be used as long as the effects of the present invention are not impaired. As the surfactant other than the component (A), any of a cationic surfactant, an anionic surfactant and a nonionic surfactant may be used. Among them, it is preferable to use an anionic surfactant or a nonionic surfactant. Specifically, for example, as an anionic surfactant, an alkylbenzene sulfonic acid having 8 to 12 carbon atoms and its surfactant are used. Examples thereof include salts, alkyl methyl tauric acids having 8 to 12 carbon atoms and salts thereof, and alkyl sulfates having 8 to 12 carbon atoms and salts thereof. Examples of nonionic surfactants include surfactants composed only of polyoxyalkylene.
[0044]
In the present invention, water is used as component (B). When it is desired to obtain a highly clean substrate surface, deionized water, preferably ultrapure water is usually used. Further, electrolytic ion water obtained by electrolysis of water, hydrogen water in which hydrogen gas is dissolved in water, or the like can also be used.
[0045]
In the present invention, alkali or organic acid is used as component (C). That is, the cleaning liquid of the present invention is an alkaline cleaning liquid or an acidic cleaning liquid.
[0046]
Although the kind of alkali used by this invention is not specifically limited, Ammonium hydroxide (ammonia aqueous solution) and an organic alkali are mentioned as a typical alkali. Examples of the organic alkali include amines such as quaternary ammonium hydroxide, amine and amino alcohol. As the quaternary ammonium hydroxide, those having a hydroxyl group, an alkoxy group, an alkyl group having 1 to 4 carbon atoms which may be substituted with a halogen, or a hydroxyalkyl group having 1 to 4 carbon atoms are preferable. All groups may be the same or different.
[0047]
Examples of the alkyl group as described above include lower alkyl groups having 1 to 4 carbon atoms such as a methyl group, an ethyl group, a propyl group, and a butyl group, and examples of the hydroxyalkyl group include a hydroxymethyl group, a hydroxyethyl group, a hydroxy group. C1-C4 lower hydroxyalkyl groups, such as a propyl group and a hydroxybutyl group, are mentioned.
[0048]
Specific examples of the quaternary ammonium hydroxide having the above substituent include tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide, trimethyl (hydroxyethyl) ammonium hydroxide (common name: choline), triethyl (hydroxy). And ethyl) ammonium hydroxide. On the other hand, examples of amines include ethylenediamine, monoethanolamine, and trimethanolamine.
[0049]
Among the alkalis described above, ammonium hydroxide, tetramethylammonium hydroxide (TMAH), trimethyl (hydroxyethyl) ammonium hydroxide (for reasons such as cleaning effect, low metal residue, economy, and stability of the cleaning solution ( Common name: choline) is preferred. These alkalis may be used independently and may use 2 or more types in arbitrary ratios.
[0050]
The concentration of the alkali in the cleaning liquid may be selected as appropriate, but is preferably a concentration at which the pH of the cleaning liquid is 9 or higher. If the alkali concentration is too low and the pH is not high, the decontamination effect that is the object of the present invention may not be obtained. On the other hand, if the pH is too high, the effect of increasing the pH cannot be obtained, which is economically disadvantageous, and the risk of damage to the substrate surface due to etching increases. Accordingly, the pH of the alkaline cleaning liquid is preferably 9 to 13, more preferably 10 to 12.5, and particularly preferably 10.5 to 12.
[0051]
Although the kind of organic acid used by this invention is not specifically limited, Organic carboxylic acid or organic sulfonic acid is preferable. Typical organic carboxylic acids include formic acid, acetic acid, propionic acid, butyric acid, isobutyric acid, valeric acid, ethylmethylacetic acid, trimethylacetic acid, succinic acid, succinic acid, malonic acid, citric acid, tartaric acid, malic acid, etc. Can be mentioned. Among these, one or more selected from the group of acetic acid, propionic acid, succinic acid, succinic acid, malonic acid, citric acid, tartaric acid and malic acid are preferable, and selected from the group of acetic acid, succinic acid and citric acid. One or two or more of these are more preferred. Acetic acid is most preferably used because it is used as an etchant material for a semiconductor substrate, and can be obtained at a low cost by a distillation operation with high purity and low metal impurities, and no generation of powder due to water evaporation occurs.
[0052]
Typical examples of the organic sulfonic acid include methanesulfonic acid, ethanesulfonic acid, n-propanesulfonic acid, i-propanesulfonic acid, n-butanesulfonic acid, and phenylsulfonic acid. Among these, methanesulfonic acid and / or ethanesulfonic acid are preferable, and methanesulfonic acid is particularly preferable. Said organic acid may be used independently and may use 2 or more types in arbitrary ratios.
[0053]
The concentration of the organic acid in the cleaning solution may be appropriately selected, but is preferably a concentration at which the pH of the acidic cleaning solution is 1 to 5. If the concentration of the organic acid is too low and the pH is not sufficiently low, the effects of removing contamination and preventing adhesion, which are the objects of the present invention, may not be obtained. On the other hand, if the concentration is too high, the effect of lowering the pH is not obtained, which is not only economically disadvantageous, but also can cause corrosion of the substrate surface, which is not preferable. The pH of the acidic cleaning solution is preferably 2 to 3.
[0054]
In the cleaning liquid of the present invention, the inclusion of a complexing agent is preferable because a very highly cleaned surface with further reduced metal contamination on the substrate surface can be obtained. Any conventionally known complexing agent can be used. The type of complexing agent may be selected based on comprehensive judgment from the contamination level of the substrate surface, the type of metal, the level of cleanliness required for the substrate surface, the cost of the complexing agent, chemical stability, etc. The following (1) to (4) are exemplified.
[0055]
(1) Compounds having nitrogen as a donor atom and a carboxyl group and / or a phosphonic acid group:
For example, amino acids such as glycine; iminodiacetic acid, nitrilotriacetic acid, ethylenediaminetetraacetic acid [EDTA], trans-1,2-diaminocyclohexanetetraacetic acid [CyDTA], diethylenetriaminepentaacetic acid [DTPA], triethylenetetramine 6 acetic acid [ Nitrogen-containing carboxylic acids such as TTHA]; nitrogen-containing phosphonic acids such as ethylenediaminetetrakis (methylenephosphonic acid) [EDTPO], nitrilotris (methylenephosphonic acid) [NTPO], propylenediaminetetra (methylenephosphonic acid) [PDTMP], etc. Can be mentioned.
[0056]
(2) OH group and / or O having an aromatic hydrocarbon ring and directly bonded to a carbon atom constituting the aromatic hydrocarbon ring-Compound having two or more groups:
Examples thereof include phenols such as catechol, resorcinol, and tyrone, and derivatives thereof.
[0057]
(3) Compound having both the structures of (1) and (2) above:
[0058]
(3-1) Ethylenediaminedioltohydroxyphenylacetic acid [EDDHA] and its derivatives:
For example, ethylenediaminedioltohydroxyphenylacetic acid [EDDHA], ethylenediamine-N, N′-bis [(2-hydroxy-5-methylphenyl) acetic acid] [EDDHMA], ethylenediamine-N, N′-bis [(2-hydroxy Aromatic nitrogen-containing carboxylic acids such as -5-chlorophenyl) acetic acid] [EDDHCA], ethylenediamine-N, N'-bis [(2-hydroxy-5-sulfophenyl) acetic acid] [EDDHSA]; ethylenediamine-N, N Aromatic nitrogen-containing phosphonic acids such as' -bis [(2-hydroxy-5-methylphenyl) phosphonic acid], ethylenediamine-N, N'-bis [(2-hydroxy-5-phosphophenyl) phosphonic acid] It is done.
[0059]
(3-2) N, N'-bis (2-hydroxybenzyl) ethylenediamine-N, N'-2acetic acid [HBED] and its derivatives:
For example, N, N′-bis (2-hydroxybenzyl) ethylenediamine-N, N′-2acetic acid [HBED], N, N′-bis (2-hydroxy-5-methylbenzyl) ethylenediamine-N, N′— Examples include diacetic acid [HMBED], N, N′-bis (2-hydroxy-5-chlorobenzyl) ethylenediamine-N, N′-2 acetic acid, and the like.
[0060]
(4) Other:
For example, amines such as ethylenediamine, 8-quinolinol and o-phenanthroline; carboxylic acids such as formic acid, acetic acid, oxalic acid and tartaric acid; hydrogen halides such as hydrofluoric acid, hydrochloric acid, hydrogen bromide and hydrogen iodide; Salts of oxo acids such as phosphoric acid and condensed phosphoric acid, and salts thereof.
[0061]
The complexing agent may be in the form of an acid, or may be in the form of a salt such as an ammonium salt.
[0062]
Among the complexing agents described above, nitrogen-containing carboxylic acids such as ethylenediaminetetraacetic acid [EDTA] and diethylenetriaminepentaacetic acid [DTPA]; ethylenediaminetetrakis (methylenephosphonic acid) [EDTPO] for reasons such as cleaning effect and chemical stability. , Nitrogen-containing phosphonic acids such as propylenediaminetetra (methylenephosphonic acid) [PDTMP]; ethylenediaminedioltohydroxyphenylacetic acid [EDDDHA] and its derivatives; N, N′-bis (2-hydroxybenzyl) ethylenediamine-N, N ′ -2 Acetic acid [HBED] is preferred.
[0063]
Among them, from the viewpoint of the cleaning effect, enediaminediolhydroxyhydroxyacetic acid [EDDHA], ethylenediamine-N, N′-bis [(2-hydroxy-5-methylphenyl) acetic acid] [EDDHMA], diethylenetriaminepentaacetic acid [DTPA], ethylenediamine Tetraacetic acid [EDTA] and propylenediaminetetra (methylenephosphonic acid) [PDTMP] are preferred. Said complexing agent may be used independently and may use 2 or more types in arbitrary ratios.
[0064]
The concentration of the complexing agent in the cleaning liquid may be arbitrarily selected according to the type and amount of contaminating metal impurities and the cleanliness level required for the substrate surface, but is usually 1 to 10,000 ppm, preferably 5 to 1000 ppm, more preferably 10 to 200 ppm. If the concentration of the complexing agent is too low, the effect of decontamination and adhesion prevention by the complexing agent cannot be obtained, and if it is too high, the effect corresponding to the increase in concentration cannot be obtained, which is economically disadvantageous, The complexing agent adheres to the substrate surface, increasing the risk of remaining after the surface treatment.
[0065]
In addition, since the complexing agent contains about 1 to several thousand ppm of metal impurities such as FeAl and Zn in reagents that are usually sold, the complexing agent used in the present invention is a source of metal contamination. There are cases. These metals exist in the form of a stable complex with the complexing agent in the initial stage, but when the complexing agent decomposes during a long period of use as a surface cleaning solution, it is liberated and the substrate Adhere to the surface. Therefore, the complexing agent used in the present invention is preferably purified before use. The content of each metal impurity contained is usually 5 ppm or less, preferably 1 ppm or less, more preferably 0.1 ppm or less. As a purification method, for example, a method in which a complexing agent is dissolved in an acidic or alkaline solution, insoluble impurities are removed by filtration, neutralized again to precipitate crystals, and the crystals are separated from the liquid is preferable. is there.
[0066]
In addition, the cleaning liquid of the present invention may contain other components in an arbitrary ratio as long as the performance is not impaired. Other components include sulfur-containing organic compounds (2-mercaptothiazoline, 2-mercaptoimidazoline, 2-mercaptoethanol, thioglycerol, etc.), nitrogen-containing organic compounds (benzotriazole, alkylbenzotriazole, tetrasol, 3-aminotriazole) , N (R)3(R is an alkyl group having 1 to 4 carbon atoms), N (ROH)3(R is an alkyl group having 1 to 4 carbon atoms), urea, thiourea, etc.), water-soluble polymers (polyethylene glycol, polyvinyl alcohol, etc.), alkyl alcohol compounds (ROH (R is an alkyl group having 1 to 4 carbon atoms)) Anti-corrosive agents such as sulfuric acid, hydrochloric acid, etc., reducing agents such as hydrazine, dissolved gases such as hydrogen, argon, nitrogen, etc., removal effect of polymers attached firmly after dry etching such as hydrofluoric acid, ammonium fluoride, BHF Etching accelerators that can be expected to be used.
[0067]
  And as other components to be included in the cleaning liquid of the present inventionAndExamples of the oxidizing agent include ozone and oxygen. When cleaning the surface of a silicon (bare silicon) substrate without an oxide film in the step of cleaning a semiconductor device substrate, it is preferable because the surface roughness due to etching on the substrate surface can be suppressed by adding an oxidizing agent..
[0068]
By the way, the wiring of a semiconductor device and device element electrodes made of a metal material that reacts and dissolves with hydrogen peroxide may be exposed on the surface of the substrate to be cleaned. Examples of such a metal material include transition metals or transition metal compounds such as Cu and W. At this time, it is preferable that the cleaning liquid used for cleaning does not substantially contain hydrogen peroxide. Unlike the conventional APM cleaning liquid, the cleaning liquid of the present invention exhibits sufficient cleaning performance without adversely affecting such a metal material even if it does not substantially contain hydrogen peroxide.
[0069]
  In the cleaning liquid of the present invention, “substantially does not contain hydrogen peroxide” means that the material on the substrate to be cleaned, for example, wiring materials such as Cu and W, electrode materials, and low dielectric constant films are used. This means that it does not cause adverse effects such as corrosion or alteration by hydrogen peroxide. That is, when these materials are used as semiconductor devices, it means that they function sufficiently as wirings or electrodes. For that purpose, it is preferable that hydrogen peroxide is not contained in the cleaning liquid of the present invention, and even if it is contained, the content thereof is suppressed to a low level. Its content is1It is 0 ppm or less, preferably 1 ppm, more preferably 10 ppb or less.
[0070]
The cleaning liquid of the present invention is used for cleaning substrate surfaces of semiconductors, glass, metals, ceramics, resins, magnetic materials, superconductors, and the like, where metal contamination and particle contamination are problems. In particular, it is suitably used for cleaning a semiconductor device substrate surface in a process of manufacturing a semiconductor device substrate such as a semiconductor element or display device, which requires a highly clean substrate surface. Wirings, electrodes, and the like may be present on the surfaces of these substrates. Wiring and electrode materials include Si, Ge, GaAs and other semiconductor materials; SiO2, Silicon nitride, glass, low dielectric constant material, aluminum oxide, transition metal oxide (titanium oxide, tantalum oxide, hafnium oxide, zirconium oxide, etc.), (Ba, Sr) TiOThreeInsulating materials such as (BST), polyimide, and organic thermosetting resin; metals such as W, Cu, and Al, or alloys thereof, silicide, and nitride. The low dielectric constant material is a general term for materials having a relative dielectric constant of 3.5 or less. Incidentally, SiO2The relative dielectric constant of 3.8 to 3.9.
[0071]
In particular, the cleaning liquid of the present invention is suitably used for cleaning a semiconductor device substrate having a transition metal or a transition metal compound on its surface. Examples of transition metals include W, Cu, Ti, Cr, Co, Zr, Hf, Mo, Ru, Au, Pt, and Ag. Transition metal compounds include nitrides, oxides of these transition metals, oxides, Silicide etc. are mentioned. In these, W and / or Cu are preferable.
[0072]
The step of cleaning the substrate having tungsten on the surface includes cleaning of the substrate surface having a gate electrode and silicon or the like when tungsten is used as a gate electrode material. Specifically, a cleaning step after forming the tungsten film on the semiconductor device, particularly a cleaning step after dry etching the tungsten film, and a cleaning step after ion implantation into the silicon exposed portion are exemplified.
[0073]
If the cleaning liquid of the present invention is used, particles and metals can be removed without performing ultrasonic irradiation or brush scrubbing. Therefore, the cleaning liquid of the present invention has a possibility that the cleaning liquid is broken when ultrasonic cleaning or brush scrubbing is performed, and an extremely fine gate electrode (for example, the width of the gate electrode is about 0.15 μm) is formed with tungsten. It is suitable for cleaning the gate electrode and the substrate surface.
[0074]
The step of cleaning the substrate having Cu on the surface includes cleaning the surface of the substrate having Cu wiring and an interlayer insulating film when Cu is used as a wiring material. Specifically, the cleaning process after forming the Cu film on the semiconductor device, particularly the cleaning process after performing CMP (Chemical Mechanical Polishing) on the Cu film, the hole is formed in the interlayer insulating film on the wiring by dry etching. The cleaning process after opening is mentioned.
[0075]
The cleaning liquid of the present invention is also suitably used for cleaning a semiconductor device substrate having a low dielectric constant material as an interlayer insulating film material on the surface. The low dielectric constant materials are roughly classified into three types: organic polymer materials, inorganic polymer (siloxane-based) materials, and porous (porous) materials. Examples of organic polymer materials include Polyimide, BCB (Benzocyclobutene), Flare (Honeywell), SiLK (Dow Chemical), etc., and inorganic polymer materials include FSG (Fluorinated silicate glass), BLACK DIAMOND (Applied Materials), Aurora (Japan ASM).
[0076]
As described above, the cleaning liquid of the present invention is suitably used for cleaning the surface of a semiconductor device substrate regardless of the presence or absence of electrodes and wiring materials on the substrate surface. Among them, the cleaning liquid of the present invention is preferably used for cleaning a substrate for a semiconductor device exhibiting hydrophobicity with a water contact angle of 60 ° or more on the substrate surface.
[0077]
The method for preparing the cleaning liquid of the present invention may be a conventionally known method. Among the components of the cleaning liquid (for example, surfactant, ammonium hydroxide, water, and other components such as a complexing agent as necessary), any two components or three or more components are blended in advance, and then the remaining components The components may be mixed or all at once.
[0078]
As described above, the substrate cleaning solution for semiconductor devices of the present invention can be applied to a new material in the future, that is, a substrate for a semiconductor device having a metal material having low resistance to chemicals such as hydrogen peroxide on the surface. Therefore, the cleaning liquid can be used in both the pre-process and the post-process, and has an excellent cleaning effect.
[0079]
That is, another gist of the present invention is a substrate cleaning solution for a semiconductor device having at least a semiconductor element electrode or a metal wiring on the surface, characterized by satisfying the following conditions (a), (b) and (c): Exist.
[0080]
(A) The semiconductor element electrode and the metal wiring are not substantially corroded.
(B) The amount of contaminating metal is 1000 to 5000 (× 1010atoms / cm2), The amount of contaminated metal after cleaning is 10 (× 1010atoms / cm2)
(C) Particles having a particle size of 0.1 μm or more are 8000 to 100,000 (pieces / 0.03 m).2) When a substantially circular substrate surface having a radius r is cleaned for t (minutes), after cleaning, the number of particles in the circumference on the substrate surface having the same center as the substrate is t = 0.5. In the case of ˜1, it is 200 / t or less within the circumference of the circumferential radius of 0.6r, or 800 / t or less within the circumference of the circumferential radius of 0.9r.
[0081]
The above-mentioned regulations (b) and (c) define the characteristics of the cleaning liquid of the present invention, and do not specify the cleaning conditions under which the cleaning liquid of the present invention is used. Further, in the cleaning liquid of the present invention, “substantially does not corrode the semiconductor element electrode and the metal wiring” means that the semiconductor element electrode and the metal wiring on the substrate to be cleaned, specifically, for example, W, Cu, etc. This means that these materials do not cause adverse effects such as corrosion or alteration on the electrode material or wiring material, and these materials function sufficiently as electrodes or wirings when used as a semiconductor device.
[0082]
In the cleaning liquid of the present invention, satisfying the conditions (b) and (c) indicates that metal contamination, particle contamination, and any contamination can be sufficiently removed.
[0083]
Condition (c) is that when the object to be cleaned is the surface of a substantially disk-shaped substrate, that is, the surface of a substantially circular substrate, the surface of the substrate is highly purified regardless of the position of the substrate surface even if cleaning is performed for a short time. It means you can do it. That is, particles having a particle size of 0.1 μm or more are 8000 to 100,000 (pieces / 0.03 m).2) After cleaning the substantially circular substrate surface having the radius r with the cleaning time t: 0.5 to 1 [minute], the circumferential radius of the relatively inner periphery on the substrate surface having the same center as the substrate is 0. Within the circumference of 6r, the remaining particles are removed up to 200 / t or less, and even within the circumference with a circumference radius of 0.9r including the relatively outer periphery, the particles are reduced to 800 / t. This means that the substrate surface can be highly cleaned.
[0084]
In the above-described semiconductor device substrate cleaning liquid of the present invention, “in the case of cleaning” indicates that the semiconductor device substrate is cleaned with the cleaning liquid by a cleaning method as described later. The cleaning method is not particularly limited as long as it is a method that can be generally employed when cleaning a semiconductor device substrate. Among them, the method of contacting the cleaning liquid with the substrate is preferably a spin type in which the substrate is rotated at high speed while flowing the cleaning liquid on the substrate, and the liquid temperature of the cleaning liquid is preferably in the range of room temperature to 90 ° C. .
[0085]
Further, when cleaning, a cleaning method using physical force, for example, mechanical cleaning such as scrub cleaning using a cleaning brush, ultrasonic cleaning that irradiates a substrate with ultrasonic waves having a frequency of 0.5 megahertz or more, and these are used in combination. By adopting a cleaning method or the like, a more stable cleaning result can be obtained, which is preferable.
[0086]
The cleaning method of the present invention is performed by a method in which a cleaning liquid is brought into direct contact with the substrate. The contact method of the cleaning liquid to the substrate is a dip type in which the cleaning tank is filled with the cleaning liquid and the substrate is immersed, a spin type in which the substrate is rotated at high speed while flowing the cleaning liquid from the nozzle onto the substrate, and the substrate is sprayed and cleaned. A spray type etc. are mentioned. As an apparatus for performing such cleaning, there are a batch-type cleaning apparatus that simultaneously cleans a plurality of substrates housed in a cassette, a single-wafer cleaning apparatus that mounts and cleans a single substrate in a holder, and the like. .
[0087]
In the case of a batch type cleaning apparatus, the cleaning time is usually 30 seconds to 30 minutes, preferably 1 to 15 minutes, and in the case of a single wafer type cleaning apparatus, it is usually 1 second to 15 minutes, preferably 5 seconds to 5 minutes. When the cleaning time is too short, the cleaning effect is not sufficient, and when it is too long, the improvement of the cleaning effect is small and the throughput is reduced. Although the cleaning liquid of the present invention can be applied to any of the above methods, it is preferably used for spin-type or spray-type cleaning because it allows more efficient decontamination in a short time. And if it applies to the single-wafer | sheet-fed washing | cleaning apparatus in which shortening of washing | cleaning time and the reduction of the usage-amount of washing | cleaning liquid are a problem, since these problems are eliminated, it is preferable.
[0088]
The temperature of the cleaning liquid is usually room temperature, but it is preferable to warm to about 40 to 70 ° C. for the purpose of improving the cleaning effect. Further, when cleaning a substrate with silicon exposed on the surface, organic contamination is likely to remain on the silicon surface, so that the substrate is subjected to a heat treatment step at a temperature of 300 ° C. or higher to be thermally decomposed, or ozone water is used. It is preferable to oxidatively decompose organic matter by treatment.
[0089]
The cleaning method of the present invention is preferably used in combination with a cleaning method using physical force, for example, mechanical cleaning such as scrub cleaning using a cleaning brush, or ultrasonic cleaning. In particular, it is preferable to use ultrasonic irradiation or brush scrub in combination because particle contamination can be further improved and cleaning time can be shortened. In particular, it is preferable to use a resin brush for cleaning after CMP.
[0090]
The material of the resin brush can be arbitrarily selected, but for example, PVA (polyvinyl alcohol) is preferably used. In addition, it is preferable to irradiate the substrate with ultrasonic waves having a frequency of 0.5 megahertz or more because particle removability is remarkably improved by a synergistic action with the surfactant. Furthermore, before and / or after the cleaning method of the present invention, cleaning with electrolytic ionic water obtained by electrolysis of water or hydrogen water in which hydrogen gas is dissolved in water may be combined.
[0091]
【Example】
EXAMPLES Next, although an Example demonstrates this invention concretely, this invention is not limited by a following example, unless the summary is exceeded.
[0092]
Examples 1 and 2 and Comparative Examples 1 to 3
(Evaluation of cleanability of particle contamination by scrub cleaning)
Low dielectric constant film (SiOC: carbon-containing SiO2) With an 8-inch silicon substrate (a disk-shaped substrate having a radius r of 4 inches)2It was immersed in the slurry solution for 10 minutes. The substrate after immersion was washed with ultrapure water for 1 minute and spin-dried with a multi-spinner (“KSSP-201” manufactured by Kaijo Corporation). Thereafter, the number of fine particles adhering to the substrate surface was measured by a laser surface inspection device (“LS-5000” manufactured by Hitachi Electronics Engineering Co., Ltd.), and SiO of 0.2 μm or more was measured.2It was confirmed that more than a certain number of particles were attached (however, the upper limit was 100,000).
[0093]
Using the cleaning liquid shown in Table 1, with the above multispinner, the above-mentioned SiO with the PVA brush2The particle-adhered substrate was cleaned with a brush scrub to remove the particles. Washing with the washing solution was performed at room temperature for 1 minute. Thereafter, the substrate was washed with ultrapure water for 1 minute and then spin-dried to obtain a washed substrate. The results are shown in Table 1.
[0094]
[Table 1]
Figure 0004304988
[0095]
Examples 3-6 and Comparative Examples 4-8
(Evaluation of cleanability of particle contamination by scrub cleaning)
First, as in Example 1, SiO 22A particle-adhered substrate was prepared. Next, SiO 2 was used as in Example 1 except that the cleaning liquid shown in Table 2 was used and the cleaning time was 0.5 minutes.2The particle-adhered substrate was washed to obtain a washed substrate. The results are shown in Table 2.
[0096]
The wettability evaluation in Table 2 was performed by the following method. That is, a low dielectric constant film (SiOC: carbon-containing SiO2The test piece (2 cm square) marked with) is immersed in each cleaning solution shown in Table 2 vertically. After 0.5 minute, the test piece was pulled out vertically and evaluated by the ratio of the area with the cleaning solution to the total area of the test piece. The evaluation criteria were as follows: ○: 80% or more, Δ: 50% or more and less than 80%, ×: less than 50%.
[0097]
[Table 2]
Figure 0004304988
[0098]
Examples 7-10
(Evaluation of cleanability of particle contamination by scrub cleaning)
Low dielectric constant film (SiOC: carbon-containing SiO28) silicon substrate (a disk-shaped substrate having a radius r of 4 inches) with a surface treatment with 0.5 wt% hydrofluoric acid for 1 minute, SiO 22It was immersed in the slurry solution for 10 minutes. The substrate after immersion was washed with ultrapure water for 1 minute and spin-dried with a multi-spinner (“KSSP-201” manufactured by Kaijo Corporation). Thereafter, the number of fine particles adhering to the substrate surface was measured with a laser surface inspection device (“LS-6600” manufactured by Hitachi Electronics Engineering Co., Ltd.), and SiO1 of 0.11 μm or more was measured.2It was confirmed that more than a certain number of particles were attached (however, the upper limit was 100,000).
[0099]
Using the cleaning liquid shown in Table 3, the above-mentioned multi-spinner allows the above-mentioned SiO to be brushed with a PVA brush.2The particle-adhered substrate was cleaned with a brush scrub to remove the particles. Cleaning with the cleaning solution was performed at room temperature for 0.5 minutes. Thereafter, the substrate was washed with ultrapure water for 1 minute and then spin-dried to obtain a washed substrate. The results are shown in Table 3.
[0100]
[Table 3]
Figure 0004304988
[0101]
Examples 11 and 12 and Comparative Example 9
(Evaluation of cleanability of particle contamination by scrub cleaning)
First, as in Example 1, SiO 22A particle-adhered substrate was prepared. Next, SiO was used as in Example 1 except that the cleaning liquid shown in Table 4 was used and the cleaning time was 0.5 minutes.2The particle-adhered substrate was washed to obtain a washed substrate. The results are shown in Table 4.
[0102]
[Table 4]
Figure 0004304988
[0103]
Example 13 and Comparative Example 10
A 4-inch silicon substrate (a disk-shaped substrate having a radius r of 2 inches) with a thermal oxide film having a thickness of about 100 nm on the substrate surface was exposed to the atmosphere for 3 hours to allow airborne substances to adhere. As a result of measurement with a substrate surface inspection apparatus (“LS-5000” manufactured by Hitachi Electronics Engineering Co., Ltd.), 10,000 or more particles (with an upper limit of 100,000) having a particle size of 0.2 μm or more adhered to the substrate. The substrate was immersed in each cleaning solution shown in Table 3 controlled at 50 ° C. for 10 minutes, washed with running water with pure water for 10 minutes, and dried with a spin dryer. Table 5 shows the measurement results of the number of particles remaining on the substrate after the cleaning treatment.
[0104]
Comparative Example 11
In Example 13, as a cleaning solution, a solution (APM cleaning solution) prepared by mixing 29% by weight ammonium hydroxide aqueous solution, 50% by weight hydrogen peroxide water and ultrapure water at a volume ratio of 1: 4: 20. The evaluation was performed in the same manner as in Example 13 except that it was used. The results are shown in Table 5.
[0105]
Although the cleaning liquid of Comparative Example 11 has a relatively small number of adhered particles after cleaning, since the cleaning liquid contains hydrogen peroxide, it cannot be applied to new materials in the future and will not be usable in the future.
[0106]
[Table 5]
Figure 0004304988
[0107]
Example 14 and Comparative Examples 12-14
A 4-inch silicon substrate with a natural oxide film (a disk-shaped substrate having a radius r of 2 inches) was immersed in a 0.5 wt% HF aqueous solution for 5 minutes to obtain a substrate from which the surface oxide film was removed. This was immersed for 10 minutes in each cleaning solution shown in Table 4 to which Silicon (IV) Nitride particles (“Stk # 12145” manufactured by Johnson Matthey) 0.02 g / L was added and the temperature was controlled at 50 ° C. Washing with pure water for 5 minutes was performed, followed by drying with a spin dryer. The number of particles having a particle size of 0.2 μm or more remaining on the substrate after the cleaning treatment was measured with a substrate surface inspection apparatus (“LS-5000” manufactured by Hitachi Electronics Engineering Co., Ltd.). The results are shown in Table 6.
[0108]
[Table 6]
Figure 0004304988
[0109]
Example 15 and Comparative Examples 15 and 16
A 4-inch silicon substrate (a disk-shaped substrate having a radius r of 2 inches) from which the surface natural oxide film was removed by immersion in a 0.5 wt% HF aqueous solution for 5 minutes was prepared. This was immersed in a cleaning solution shown in Table 5 controlled at each temperature for a predetermined time, washed with running pure water for 5 minutes, and dried with a spin dryer. Immediately after drying the substrate, Rms (nm), which is the standard deviation of the Z-axis displacement of the substrate surface, was measured with an atomic force microscope (Digital nbsp; Nano Scope IIIa manufactured by Instruments). The results are shown in Table 7.
[0110]
Visual evaluation is performed on the surface roughness of the substrate. The following results were obtained. That is, in Comparative Examples 15 and 16, innumerable crater-like irregularities having a diameter of about 1 to 10 mm and surface roughness like interference fringes over the entire substrate surface were observed on the substrate surface. Was not observed.
[0111]
[Table 7]
Figure 0004304988
[0112]
Examples 16-19 and Comparative Examples 17-19
A test piece of polycrystalline polysilicon having a film thickness of about 100 nm from which the surface oxide film was removed by immersion in a 0.5 wt% HF aqueous solution for 5 minutes was prepared. This test piece was immersed in each cleaning solution shown in Table 6 controlled at 50 ° C. for 10 minutes, washed with running water with pure water for 5 minutes, and dried with nitrogen blow. The film thickness of the polycrystalline polysilicon was measured with an optical interference type film thickness measuring device ("Nanospec L-6100" manufactured by Nanometrics). The etching rate was calculated from the film thickness measurement before and after the cleaning treatment. The results are shown in Table 8.
[0113]
[Table 8]
Figure 0004304988
[0114]
Example 20, Reference Example 1
A tungsten test piece having a film thickness of about 100 nm from which the surface oxide film was removed by immersing in a 0.3 wt% aqueous ammonia solution for 5 minutes was prepared. This test piece was immersed in each cleaning solution shown in Table 9 controlled in temperature at 40 ° C. for 10 minutes, washed with running pure water for 5 minutes, and dried by nitrogen blowing. The film thickness of tungsten was derived by conversion from the reflection intensity using total reflection fluorescent X-ray (“RIX-3000” manufactured by Jeol). The etching rate was calculated from the film thickness measurement before and after the cleaning treatment. The results are shown in Table 9.
[0115]
Here, as is clear from comparison between Example 20 and Reference Example 1, the cleaning liquid of the present invention is excellent as a substrate cleaning liquid for semiconductor devices by suppressing the etching rate of the substrate surface relative to a simple alkaline aqueous solution. I understand that.
[0116]
Comparative Example 20
In Example 20, evaluation was performed in the same manner as in Example 20 except that the same APM cleaning liquid as in Comparative Example 11 was used as the cleaning liquid. The results are shown in Table 9.
[0117]
[Table 9]
Figure 0004304988
[0118]
Example 21, Comparative Example 21
A 4-inch silicon substrate (a disk-shaped substrate having a radius r of 2 inches) was immersed in an APM cleaning solution containing metal ions (Fe, Cu). In this APM cleaning solution, 29% by weight ammonia water, 31% by weight hydrogen peroxide water and water are mixed at a volume ratio of 1: 1: 5 so that the metal content becomes Fe (20 ppb) and Cu (1 ppm). It was prepared by adding a metal ion-containing aqueous solution. The immersed silicon substrate was washed with ultrapure water for 10 minutes and dried by nitrogen blowing to obtain a silicon substrate contaminated with metal.
[0119]
The analysis of the contaminated metals (Fe, Cu) on the silicon substrate was performed by the following method for both the contaminated silicon substrate and the cleaned silicon substrate. That is, the metal on the substrate surface was recovered by treating the substrate with an aqueous solution containing 0.1% by weight of hydrofluoric acid and 1% by weight of hydrogen peroxide, and the amount of metal was measured by an inductively coupled plasma mass spectrometer (ICP-MS). Is measured and the metal concentration on the substrate surface (atoms / cm2).
[0120]
The silicon substrate contaminated with metal was cleaned by a dip cleaning method using the cleaning liquid shown in Table 10 at a cleaning liquid temperature of 60 ° C. and a cleaning time of 10 minutes. Table 10 shows the analysis result of the contaminated silicon substrate and the residual metal (Fe, Cu) on the surface of the cleaned silicon substrate.
[0121]
[Table 10]
Figure 0004304988
[0122]
From the above results, it is clear that the cleaning liquid of the present invention is excellent in the removability of fine particles (particles) adhering to the hydrophobic low dielectric constant film. Moreover, it turns out that it has the more excellent removability also with respect to the particle deposit | attachment derived from an air suspended substance compared with the conventional washing | cleaning method by an ammonium hydroxide solution or APM solution.
[0123]
Similarly, even when fine particles (particles) or the like are mixed in the system, it is possible to suppress adhesion to the substrate by removing the fine particles with the cleaning method of the present invention. Furthermore, compared to conventional cleaning methods, the roughness (surface roughness) of the silicon surface can be suppressed to an extremely small level even with an alkaline cleaning solution, and side effects such as changes in processing dimensions due to etching into polysilicon or tungsten. Therefore, it is possible to achieve both cleanability, roughness suppression and low etching properties.
[0124]
The cleaning liquid of the present invention is an excellent cleaning that can be used for both the pre-process and the post-process even if it is a substrate for a semiconductor device having a material with low resistance to chemicals such as hydrogen peroxide on its surface. It is clear that the cleaning liquid has an effect.
[0125]
【The invention's effect】
According to the cleaning liquid of the present invention, for semiconductor devices having a semiconductor material such as silicon, an insulating material such as silicon nitride, silicon oxide, glass, and a low dielectric constant material, a transition metal or a transition metal compound, etc. on a part of or the entire surface. In the substrate, fine particles (particles) adhering to the substrate surface, organic contamination, and metal contamination can be effectively removed by cleaning, and adhesion can be suppressed even when fine particles are mixed into the system. In particular, the hydrophobic low dielectric constant material that easily repels chemicals improves the wettability and is excellent in cleanability. Moreover, even in alkaline cleaning liquid, it is possible to achieve both suppression of roughness of silicon surface and low etching property in addition to cleanability, and a surface treatment method for contamination cleaning in the manufacturing process of semiconductor devices, display devices, etc. As industrially very useful.

Claims (13)

表面にシリコン、遷移金属または遷移金属化合物を有する半導体デバイス用基板を化学的機械研磨した後、少なくとも、以下の成分(A)、(B)及び(C)を含有し、過酸化水素含有量が10ppm以下である洗浄液を使用して半導体デバイス用基板を洗浄することを特徴とする半導体デバイス用基板の洗浄方法
成分(A):下記一般式(II)で表されるポリオキシエチレンアルキルエーテル
Figure 0004304988
(但し、Rは、水酸基、アミノ基、アルコキシ基、ハロゲンにて置換されていてもよいアルキル基を示し、アルキル基に含まれる炭素数(m)は9以上であり、(n)は7以上の数を表す。そして、アルキル基中の炭素数(m)とポリオキシエチレン基中のオキシエチレン基数(n)の比率(m/n)が1〜1.5である。
成分(B):水
成分(C):アルカリ
After chemically mechanical polishing a semiconductor device substrate having silicon, a transition metal or a transition metal compound on the surface, it contains at least the following components (A), (B) and (C), and has a hydrogen peroxide content: A method for cleaning a substrate for a semiconductor device, wherein the substrate for a semiconductor device is cleaned using a cleaning solution of 10 ppm or less .
Component (A): Polyoxyethylene alkyl ether represented by the following general formula (II)
Figure 0004304988
(However, R 2 represents a hydroxyl group, an amino group, an alkoxy group, or an alkyl group which may be substituted with a halogen, the number of carbon atoms (m) contained in the alkyl group is 9 or more, and (n) is 7 And the ratio (m / n) of the number of carbon atoms (m) in the alkyl group to the number of oxyethylene groups (n) in the polyoxyethylene group is 1 to 1.5.
Component (B): Water Component (C): Alkali
洗浄液が更に錯化剤を含有する請求項に記載の洗浄方法The cleaning method according to claim 1 , wherein the cleaning liquid further contains a complexing agent. 成分(A)における炭素数(m)が9〜16である請求項1又は2に記載の洗浄方法The cleaning method according to claim 1 or 2 , wherein the component (A) has 9 to 16 carbon atoms (m). Hが9以上である請求項1〜3の何れかに記載の洗浄方法 The method of cleaning according to any one of claims 1 to 3 p H is 9 or more. 成分(C)が以下の一般式(I)で表されるアルカリ化合物である請求項1〜3の何れかに記載の洗浄方法
Figure 0004304988
(但し、Rは水素原子、又は水酸基、アルコキシ基、ハロゲンにて置換されていてもよいアルキル基を示し、Rは全て同一でも異なっていてもよい。)
The cleaning method according to claim 1, wherein the component (C) is an alkali compound represented by the following general formula (I).
Figure 0004304988
(However, R 1 represents a hydrogen atom, a hydroxyl group, an alkoxy group, or an alkyl group which may be substituted with a halogen, and R 1 may be the same or different.)
成分(C)が水酸化アンモニウム若しくは炭素数1〜4のアルキル基および/またはヒドロキシアルキル基を有する水酸化第4級アンモニウムである請求項に記載の洗浄方法The cleaning method according to claim 5 , wherein the component (C) is ammonium hydroxide or quaternary ammonium hydroxide having an alkyl group having 1 to 4 carbon atoms and / or a hydroxyalkyl group. 成分(A)の含有量が0.0001〜1重量%である請求項1〜6の何れかに記載の洗浄方法。The cleaning method according to any one of claims 1 to 6 , wherein the content of the component (A) is 0.0001 to 1 % by weight. 周波数0.5メガヘルツ以上の超音波を照射しながら基板を洗浄する請求項1〜7の何れかに記載の洗浄方法。The method of cleaning according to claim 1 for cleaning a substrate while irradiating ultrasonic waves over a frequency 0.5 MHz. 化学的機械研磨した後の半導体デバイス用基板をブラシ洗浄する請求項1〜7の何れかに記載の洗浄方法。The method of cleaning according to any one of claims 1 to 7, the semiconductor device substrate after chemical mechanical polishing brush cleaning. 洗浄液を40〜70℃の温度に加温して使用する請求項1〜9の何れかに記載の洗浄方法。The cleaning method according to claim 1 , wherein the cleaning liquid is heated to a temperature of 40 to 70 ° C. and used. 洗浄液で洗浄した後、更に温度300℃以上の加熱処理か又はオゾン水処理を行う請求項1〜10の何れかに記載の洗浄方法。The washing | cleaning method in any one of Claims 1-10 which perform the heat processing of the temperature of 300 degreeC or more, or an ozone water process after wash | cleaning with a washing | cleaning liquid. 表面に水の接触角が60゜以上の絶縁膜を有する半導体デバイス用基板に適用する請求項1〜11の何れかに記載の洗浄方法。The cleaning method according to claim 1, which is applied to a substrate for a semiconductor device having an insulating film having a water contact angle of 60 ° or more on the surface. 半導体デバイス用基板の表面の遷移金属が銅である請求項1〜12の何れかに記載の洗浄方法 The cleaning method according to claim 1, wherein the transition metal on the surface of the substrate for a semiconductor device is copper .
JP2003016206A 2002-01-28 2003-01-24 Semiconductor device substrate cleaning method Expired - Fee Related JP4304988B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003016206A JP4304988B2 (en) 2002-01-28 2003-01-24 Semiconductor device substrate cleaning method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002018547 2002-01-28
JP2002-18547 2002-01-28
JP2003016206A JP4304988B2 (en) 2002-01-28 2003-01-24 Semiconductor device substrate cleaning method

Publications (2)

Publication Number Publication Date
JP2003289060A JP2003289060A (en) 2003-10-10
JP4304988B2 true JP4304988B2 (en) 2009-07-29

Family

ID=29253258

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003016206A Expired - Fee Related JP4304988B2 (en) 2002-01-28 2003-01-24 Semiconductor device substrate cleaning method

Country Status (1)

Country Link
JP (1) JP4304988B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112017004442T5 (en) 2016-09-05 2019-06-13 Omron Corporation Force detector

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004182773A (en) * 2002-11-29 2004-07-02 Nec Electronics Corp Liquid composition for cleaning hydrophobic substrate
TWI324362B (en) 2003-01-10 2010-05-01 Kanto Kagaku Cleaning solution for semiconductor substrate
JP4323985B2 (en) 2003-08-07 2009-09-02 パナソニック株式会社 Wireless transmission apparatus and wireless transmission method
TWI362415B (en) * 2003-10-27 2012-04-21 Wako Pure Chem Ind Ltd Novel detergent and method for cleaning
KR100682188B1 (en) 2003-11-25 2007-02-12 주식회사 하이닉스반도체 Cleaning Solution for Photoresist and Method for Forming Pattern Using the same
JP4736445B2 (en) * 2004-02-09 2011-07-27 三菱化学株式会社 Substrate cleaning solution for semiconductor device and cleaning method
CN1918698B (en) 2004-02-09 2010-04-07 三菱化学株式会社 Cleaning liquid for substrate for semiconductor device and cleaning method
JP4632290B2 (en) * 2004-03-23 2011-02-16 日本碍子株式会社 Cleaning method for aluminum nitride susceptor
DE602005000732T2 (en) * 2004-06-25 2007-12-06 Jsr Corp. Cleaning composition for semiconductor component and method for producing a semiconductor device
JP4600169B2 (en) * 2004-06-25 2010-12-15 Jsr株式会社 Semiconductor component cleaning composition and method for manufacturing semiconductor device
JP2006032483A (en) * 2004-07-13 2006-02-02 Seiko Epson Corp Manufacturing method of wiring circuit board
JP2006032484A (en) * 2004-07-13 2006-02-02 Seiko Epson Corp Manufacturing method of wiring circuit board
JP2006032694A (en) * 2004-07-16 2006-02-02 Toshiba Corp Method of manufacturing semiconductor device
US7732123B2 (en) * 2004-11-23 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion photolithography with megasonic rinse
JP4777197B2 (en) 2006-09-11 2011-09-21 富士フイルム株式会社 Cleaning liquid and cleaning method using the same
JP2009099945A (en) 2007-09-28 2009-05-07 Fujifilm Corp Cleaning agent for semiconductor device and cleaning method using the same
JP5403890B2 (en) * 2007-10-02 2014-01-29 花王株式会社 Detergent composition for hard disk substrate
JP2010171362A (en) * 2008-12-26 2010-08-05 Fujifilm Corp Cleaning agent for semiconductor device and method for manufacturing the semiconductor device using the same
US8765653B2 (en) 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
JP5502412B2 (en) * 2009-09-30 2014-05-28 三洋電機株式会社 Method for manufacturing photovoltaic device
EP2312618B1 (en) * 2009-10-14 2016-02-10 Rohm and Haas Electronic Materials LLC Method of cleaning and micro-etching semiconductor wafers
JP5948758B2 (en) 2010-08-31 2016-07-06 三菱化学株式会社 Substrate cleaning solution for semiconductor device and cleaning method
WO2013122172A1 (en) * 2012-02-17 2013-08-22 三菱化学株式会社 Semiconductor device cleaning liquid and method for cleaning semiconductor device substrate
WO2013136882A1 (en) * 2012-03-14 2013-09-19 Hoya株式会社 Mask blank, and method for producing mask for transcription use
JP6099266B2 (en) * 2013-06-27 2017-03-22 竹本油脂株式会社 Method for treating nonionic surfactant and method for producing nonionic surfactant with reduced metal ion concentration
JP6343160B2 (en) * 2014-03-28 2018-06-13 株式会社フジミインコーポレーテッド Polishing composition
JP5959134B1 (en) * 2016-01-26 2016-08-02 竹本油脂株式会社 Method for treating nonionic surfactant and method for producing nonionic surfactant with reduced metal ion concentration
JP5959133B1 (en) * 2016-01-26 2016-08-02 竹本油脂株式会社 Method for treating nonionic surfactant and method for producing nonionic surfactant with reduced metal ion concentration
KR102051346B1 (en) * 2016-06-03 2019-12-03 후지필름 가부시키가이샤 Processing liquid, substrate cleaning method and resist removal method
JP2018083869A (en) * 2016-11-21 2018-05-31 日華化学株式会社 Support material removal liquid for 3d printer, removal method of support material for 3d printer, and production method of solid molding
CN110178204B (en) 2017-01-17 2022-11-04 株式会社大赛璐 Cleaning agent for semiconductor substrate
US11446708B2 (en) * 2017-12-04 2022-09-20 Entegris, Inc. Compositions and methods for reducing interaction between abrasive particles and a cleaning brush
WO2019192866A1 (en) * 2018-04-04 2019-10-10 Basf Se IMIDAZOLIDINETHIONE-CONTAINING COMPOSITIONS FOR POST-ASH RESIDUE REMOVAL AND/OR FOR OXIDATIVE ETCHING OF A LAYER OR MASK COMPRISING TiN
KR102062342B1 (en) * 2019-03-08 2020-01-03 영창케미칼 주식회사 Cleaning liquid composition for semiconductor wafer and cleaning method using the same
CN113692640A (en) 2019-04-15 2021-11-23 三菱化学株式会社 Cleaning liquid, cleaning method and method for manufacturing semiconductor wafer
WO2024024759A1 (en) * 2022-07-25 2024-02-01 株式会社日本触媒 Semiconductor cleaning agent composition

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63274149A (en) * 1987-05-06 1988-11-11 Mitsubishi Gas Chem Co Inc Semiconductor treatment
JP3169024B2 (en) * 1991-07-12 2001-05-21 三菱瓦斯化学株式会社 Cleaning liquid for silicon wafers and semiconductor devices
JPH07245281A (en) * 1994-03-04 1995-09-19 Nippon Steel Corp Cleaning liquid and cleaning method
JP2000012500A (en) * 1998-04-20 2000-01-14 Dainippon Screen Mfg Co Ltd Method and system for processing substrate
JP3624809B2 (en) * 2000-02-29 2005-03-02 昭和電工株式会社 Cleaning composition, cleaning method and use thereof
JP2002020787A (en) * 2000-07-05 2002-01-23 Wako Pure Chem Ind Ltd Detergent for copper wiring semiconductor substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112017004442T5 (en) 2016-09-05 2019-06-13 Omron Corporation Force detector

Also Published As

Publication number Publication date
JP2003289060A (en) 2003-10-10

Similar Documents

Publication Publication Date Title
JP4304988B2 (en) Semiconductor device substrate cleaning method
KR100913557B1 (en) Liquid detergent for semiconductor device substrate and method of cleaning
JP5428200B2 (en) Semiconductor device substrate cleaning liquid, semiconductor device substrate cleaning method, and semiconductor device substrate manufacturing method
KR101166002B1 (en) Substrate cleaning liquid for semiconductor device and cleaning method
JP4736445B2 (en) Substrate cleaning solution for semiconductor device and cleaning method
KR100748410B1 (en) Substrate surface cleaning liquid mediums and cleaning method
US6896744B2 (en) Method for cleaning a surface of a substrate
KR20100100841A (en) Method and solution for washing substrate for semiconductor device
JP2013229570A (en) Semiconductor device cleaning liquid and method for cleaning semiconductor device substrate
JP2009105299A (en) Cleaning solution for semiconductor device substrate
JP2003068696A (en) Method for cleaning substrate surface
JP2009071165A (en) Substrate cleaning liquid for semiconductor device
JP2003109930A (en) Cleaning solution and method of cleaning board of semiconductor device
JP2003088817A (en) Method for cleaning surface of substrate
JP2014036136A (en) Substrate cleaning liquid for semiconductor device and cleaning method of substrate for semiconductor device
JP2001345303A (en) Method for processing surface of substrate
JP2015203047A (en) Substrate cleaning liquid for semiconductor device and method for cleaning substrate for semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080520

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080714

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080909

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081029

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20081219

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090407

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090420

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4304988

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120515

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130515

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140515

Year of fee payment: 5

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees