JP4194521B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP4194521B2
JP4194521B2 JP2004112932A JP2004112932A JP4194521B2 JP 4194521 B2 JP4194521 B2 JP 4194521B2 JP 2004112932 A JP2004112932 A JP 2004112932A JP 2004112932 A JP2004112932 A JP 2004112932A JP 4194521 B2 JP4194521 B2 JP 4194521B2
Authority
JP
Japan
Prior art keywords
film
gas
fluorine
plasma
added carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004112932A
Other languages
Japanese (ja)
Other versions
JP2005302811A (en
Inventor
保男 小林
賢一 西澤
隆季 亀嶋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2004112932A priority Critical patent/JP4194521B2/en
Publication of JP2005302811A publication Critical patent/JP2005302811A/en
Application granted granted Critical
Publication of JP4194521B2 publication Critical patent/JP4194521B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

本発明は、フッ素添加カーボン膜を絶縁膜例えば層間絶縁膜として用いた半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device using a fluorine-added carbon film as an insulating film, for example, an interlayer insulating film.

半導体装置の高集積化を図る手法の一つとして配線を多層化する技術があり、多層配線構造をとるためには、n番目の配線層と(n+1)番目の配線層とを導電層で接続すると共に導電層以外の領域は層間絶縁膜と呼ばれる薄膜が形成される。この層間絶縁膜の代表的なものとして二酸化珪素(SiO2)膜があるが、近年デバイスの動作についてより一層の高速化を図るために層間絶縁膜の比誘電率を低くすることが要求されている。このような要請により、炭素(C)及びフッ素(F)の化合物であるフッ素添加カーボン膜(フロロカーボン膜)が注目されている。二酸化ケイ素膜の比誘電率が4付近であるのに対して、フッ素添加カーボン膜は、原料ガスの種類を選定すれば比誘電率が例えば2.5以下になることから層間絶縁膜として極めて有効な膜である。   One of the techniques for achieving high integration of semiconductor devices is a technique for multi-layered wiring. In order to take a multi-layered wiring structure, the nth wiring layer and the (n + 1) th wiring layer are connected by a conductive layer. In addition, a thin film called an interlayer insulating film is formed in a region other than the conductive layer. A typical example of this interlayer insulating film is a silicon dioxide (SiO2) film, but in recent years, it has been required to lower the relative dielectric constant of the interlayer insulating film in order to further increase the operation speed of the device. . Due to such a demand, a fluorine-added carbon film (fluorocarbon film) which is a compound of carbon (C) and fluorine (F) has attracted attention. The relative dielectric constant of silicon dioxide film is around 4, whereas the fluorine-added carbon film is extremely effective as an interlayer insulating film because the relative dielectric constant becomes 2.5 or less, for example, if the type of source gas is selected. It is a simple film.

このため特許文献1には、原料ガスとしてC5F8ガスを用い、2.45GHzのマイクロ波と875ガウスの磁場との相互作用により電子サイクロトロン共鳴(Electron Cyclotron Resonance)を起こしてアルゴン(Ar)ガスなどのプラズマ発生用のガスをプラズマ化し、このプラズマにより原料ガスをプラズマ化して半導体ウエハ(以下、ウエハという。)上にフッ素添加カーボン膜を成膜する技術が記載されている。ところで、この特許文献1にも記載されているように、フッ素添加カーボン膜は、いわば有機系の膜であることから、エッチング工程において、フッ素添加カーボン膜をエッチングするガスは、同時に有機系材料であるレジスト膜をもエッチングしてしまう。このため一般のエッチングのようにフッ素添加カーボン膜の上にレジスト膜を積層すると、両者の膜の選択比が近似するため、レジスト膜の膜厚をフッ素添加カーボン膜以上の厚さにしなければならないなどの不都合が生じるし、また、レジスト膜を酸素プラズマでアッシングして除去するときに、フッ素添加カーボン膜までもアッシングされてしまう。   For this reason, in Patent Document 1, C5F8 gas is used as a source gas, and electron cyclotron resonance is caused by the interaction between a 2.45 GHz microwave and an 875 gauss magnetic field, such as argon (Ar) gas. A technique is described in which a gas for generating plasma is turned into plasma, and a raw material gas is turned into plasma by this plasma to form a fluorine-added carbon film on a semiconductor wafer (hereinafter referred to as a wafer). By the way, as described in Patent Document 1, since the fluorine-added carbon film is an organic film, the gas for etching the fluorine-added carbon film is an organic material at the same time in the etching process. A certain resist film is also etched. For this reason, when a resist film is laminated on a fluorine-added carbon film as in general etching, the selectivity of the two films approximates, so the thickness of the resist film must be greater than that of the fluorine-added carbon film. In addition, when the resist film is removed by ashing with oxygen plasma, the fluorine-added carbon film is also ashed.

このようなことから、フッ素添加カーボン膜を用いるときには、エッチング時にマスクとしての機能を果たすハードマスク用の薄膜をフッ素添加カーボン膜の上に積層しておくことが必要である。このハードマスク用の薄膜の材質としては、SiO2膜又はシリコン窒化膜(Si3N4膜)などが知られているが(特許文献1)、層間絶縁膜全体の比誘電率が高くなることを抑えるために、本発明者は、ハードマスク用の材料として、比誘電率の低い酸素添加炭化ケイ素(SiCO)膜に着目している。このSiCO膜は、例えば酸素を20原子%程度含む炭化ケイ素膜であり、例えば配線となる銅を層間絶縁膜に埋め込むときに銅の拡散防止のためのバリヤ層であるシリコン窒化膜や炭化ケイ素膜との密着性が良く、また銅を埋め込んだ後に銅をCMP(Chemical Mechanical Polishing)と呼ばれる研磨を行って層間絶縁膜上の銅を除去するときにCMPに対する耐性が大きいなどの利点があり、有効な膜と考えられる。   For this reason, when a fluorine-added carbon film is used, it is necessary to laminate a hard mask thin film that functions as a mask during etching on the fluorine-added carbon film. As a material for the thin film for the hard mask, an SiO2 film or a silicon nitride film (Si3N4 film) is known (Patent Document 1). In order to suppress an increase in the relative dielectric constant of the entire interlayer insulating film. The present inventors pay attention to an oxygen-added silicon carbide (SiCO) film having a low relative dielectric constant as a material for a hard mask. This SiCO film is, for example, a silicon carbide film containing about 20 atomic% of oxygen. For example, a silicon nitride film or a silicon carbide film which is a barrier layer for preventing copper diffusion when copper serving as a wiring is embedded in an interlayer insulating film In addition, there is an advantage such as high resistance to CMP when removing copper on the interlayer insulating film by polishing copper called CMP (Chemical Mechanical Polishing) after embedding copper, and effective. It is thought that it is a perfect film.

しかしながら、フッ素添加カーボン膜の上にSiCO膜を成膜するときには例えばトリメチルシランなどの有機ソースの蒸気(ガス)と酸素ガスとをプラズマ化するので、このとき酸素の活性種がフッ素添加カーボン膜中の炭素と反応して二酸化炭素(CO2)となって放出されてしまう。特に酸素に関しては、フッ素添加カーボン膜は酸素が例えば1ppmとごく僅か存在する雰囲気で加熱すると、フッ素添加カーボン膜からの脱ガス量が多いことを把握しており、このためフッ素添加カーボン膜の表面部の緻密性が悪くなって、結果としてSiCO膜との密着性が悪いという問題を引き起こしている。   However, when the SiCO film is formed on the fluorine-added carbon film, for example, the vapor (gas) of an organic source such as trimethylsilane and oxygen gas are turned into plasma, and at this time, active species of oxygen are contained in the fluorine-added carbon film. It reacts with carbon and is released as carbon dioxide (CO2). With regard to oxygen in particular, it is known that the fluorine-added carbon film has a large amount of degassing from the fluorine-added carbon film when heated in an atmosphere in which oxygen is present at a very low level of 1 ppm, for example. As a result, the denseness of the part deteriorates, resulting in a problem of poor adhesion to the SiCO film.

また、フッ素添加カーボン膜の上にSiCO膜の代わりにハードマスク用のSiO2膜を成膜するときにおいても、例えばテトラエチルオルトシリケート(Si(OC2H5)4)などの有機ソースの蒸気(ガス)及び酸素ガスとをプラズマ化するので、このプラズマ化された酸素ガスによって、上述と同様な問題が生じる。   Further, when a hard mask SiO2 film is formed on the fluorine-added carbon film instead of the SiCO film, for example, vapor (gas) of organic source such as tetraethylorthosilicate (Si (OC2H5) 4) and oxygen Since the gas is converted into plasma, the oxygenated gas causes a problem similar to that described above.

特開平10−144676号公報(段落0008、0029、0031)Japanese Patent Laid-Open No. 10-144676 (paragraphs 0008, 0029, 0031)

本発明はこのような事情に鑑みてなされたものであり、その目的は、絶縁膜であるフッ素添加カーボン膜を含む半導体装置を製造する方法において、フッ素添加カーボン膜上に酸素の活性種を含むプラズマを用いてハードマスク用の薄膜を成膜するにあたり、その薄膜とフッ素添加カーボン膜との間で高い密着性が得られる方法を提供することにある。   The present invention has been made in view of such circumstances, and an object thereof is to include an active species of oxygen on a fluorine-added carbon film in a method of manufacturing a semiconductor device including a fluorine-added carbon film as an insulating film. An object of the present invention is to provide a method for obtaining high adhesion between a thin film and a fluorine-added carbon film when forming a thin film for a hard mask using plasma.

本発明の半導体の製造方法は、基板上にフッ素添加カーボン膜からなる絶縁膜を成膜する工程と、次いで、前記基板の表面を、窒素ガスをプラズマ化して得たプラズマに曝して、前記フッ素添加カーボン膜の表面部を窒化する工程と、しかる後、前記基板の表面を珪素及び酸素の活性種を含むプラズマに曝して、珪素及び酸素を含むハードマスク用の薄膜を成膜する工程と、を含むことを特徴とする。珪素及び酸素の活性種を含むプラズマは、例えば珪素を含む有機化合物のガスと酸素ガスとをプラズマ化して得たプラズマである。また、前記ハードマスク用の薄膜は、例えば酸素添加炭化珪素膜または二酸化珪素膜である。   The method of manufacturing a semiconductor of the present invention includes a step of forming an insulating film made of a fluorine-added carbon film on a substrate, and then exposing the surface of the substrate to plasma obtained by converting nitrogen gas into plasma, so that the fluorine Nitriding the surface portion of the additive carbon film, and then exposing the surface of the substrate to plasma containing active species of silicon and oxygen to form a thin film for a hard mask containing silicon and oxygen, It is characterized by including. The plasma containing active species of silicon and oxygen is, for example, plasma obtained by converting an organic compound gas containing silicon and oxygen gas into plasma. The thin film for the hard mask is, for example, an oxygen-added silicon carbide film or a silicon dioxide film.

本発明のより具体的な方法は、前記ハードマスク用の薄膜の表面にレジスト膜を所定のパターンで形成する工程と、次いで、前記ハードマスク用の薄膜をプラズマによりエッチングし、この薄膜に前記パターンに対応するパターンを形成してハードマスクを得る工程と、その後、このハードマスクを用いて前記フッ素添加カーボン膜をプラズマによりエッチングする工程と、をさらに含む。   A more specific method of the present invention includes a step of forming a resist film in a predetermined pattern on a surface of the thin film for the hard mask, and then etching the thin film for the hard mask with plasma, and the pattern is formed on the thin film. The method further includes a step of obtaining a hard mask by forming a pattern corresponding to the above, and a step of etching the fluorine-added carbon film by plasma using the hard mask.

本発明によれば、珪素と酸素とを含む薄膜例えばSiCO膜またはSiO2膜をハードマスクとして使用する場合に、フッ素添加カーボン膜の表面部を窒化処理してからその上にSiCO膜やSiO2膜を成膜しているため、ハードマスク用の薄膜を成膜するときに用いられる酸素の活性種の膜中への侵入がフッ素添加カーボン膜の表面部の窒化領域により抑えられる。従って、後工程においてフッ素添加カーボン膜からの脱ガス量が低減するので、結果としてフッ素添加カーボン膜とハードマスクであるSiCO膜やSiO2膜との密着性が大きくなる。   According to the present invention, when a thin film containing silicon and oxygen, for example, a SiCO film or a SiO2 film is used as a hard mask, the surface portion of the fluorine-added carbon film is nitrided and then a SiCO film or a SiO2 film is formed thereon. Since the film is formed, the penetration of the active species of oxygen used when forming the thin film for the hard mask into the film is suppressed by the nitriding region on the surface portion of the fluorine-added carbon film. Accordingly, the amount of degassing from the fluorine-added carbon film is reduced in the subsequent process, and as a result, the adhesion between the fluorine-added carbon film and the SiCO film or SiO2 film as the hard mask is increased.

本発明に係る半導体装置の製造方法の実施の形態として、多層配線構造を製造するための基板であって、絶縁膜内に銅配線からなるn(nは1以上の整数)番目の配線層の上に(n+1)番目の配線層を形成する場合を例にとって説明する。図1(a)は、絶縁膜10内にn番目の配線層である銅配線11が形成された例えば半導体ウエハである基板1の表面構造の概略を示している。この実施の形態では、炭素とフッ素とを含む化合物の成膜ガス例えばC5F8ガスをプラズマ化し、前記基板1が置かれている雰囲気をプラズマ雰囲気にすることにより、C5F8ガスから生成された活性種が基板1の表面に堆積して図1(b)に示すようにフッ素添加カーボン膜20からなる層間絶縁膜が例えば200nmの膜厚で成膜される。   An embodiment of a method for manufacturing a semiconductor device according to the present invention is a substrate for manufacturing a multilayer wiring structure, wherein an nth (n is an integer of 1 or more) wiring layer made of copper wiring in an insulating film The case where the (n + 1) th wiring layer is formed on the upper side will be described as an example. FIG. 1A schematically shows a surface structure of a substrate 1 which is a semiconductor wafer, for example, in which a copper wiring 11 which is an nth wiring layer is formed in an insulating film 10. In this embodiment, a film forming gas of a compound containing carbon and fluorine, for example, C5F8 gas is converted into plasma, and the atmosphere in which the substrate 1 is placed is changed to a plasma atmosphere. As shown in FIG. 1B, an interlayer insulating film made of a fluorine-added carbon film 20 is formed to a thickness of 200 nm, for example, on the surface of the substrate 1.

次いで、N2(窒素)ガスをプラズマ化し、前記基板1が置かれている雰囲気をプラズマ雰囲気にすることにより、N2ガスから生成された活性種が基板1の前記フッ素添加カーボン膜20中に侵入する。この場合、プロセス圧力は例えば10〜100Paに設定され、またウエハ温度は例えば0〜100℃、好ましくは25〜80℃に設定される。窒化処理に要する時間は、例えば数秒〜数十秒である。このような窒化処理を行うことにより、後述の実施例からも明らかなように、窒素がフッ素添加カーボン膜20の中に侵入するが、膜表面から20nm程度よりも深い領域では、窒素の侵入量が極端に少なく、従って図1(c)に示すように実質、膜表面から20nm程度までの表面部のみが窒化され、窒素添加フッ素添加カーボン膜(以下、「CFN膜」と略す。)21が形成されることになる。   Next, N2 (nitrogen) gas is converted into plasma, and the atmosphere in which the substrate 1 is placed is changed to a plasma atmosphere, so that active species generated from the N2 gas enter the fluorine-added carbon film 20 of the substrate 1. . In this case, the process pressure is set to, for example, 10 to 100 Pa, and the wafer temperature is set to, for example, 0 to 100 ° C., preferably 25 to 80 ° C. The time required for the nitriding treatment is, for example, several seconds to several tens of seconds. By performing such nitriding treatment, as will be apparent from the examples described later, nitrogen penetrates into the fluorine-added carbon film 20, but in a region deeper than about 20 nm from the film surface, the amount of nitrogen penetration Accordingly, as shown in FIG. 1C, only the surface portion from the film surface to about 20 nm is substantially nitrided, and a nitrogen-added fluorine-added carbon film (hereinafter abbreviated as “CFN film”) 21 is formed. Will be formed.

このようにフッ素添加カーボン膜20の表面を窒化処理した後、後工程でハードマスクとして使用されることとなる第1のハードマスク用のSiCO膜22を成膜する。SiCO膜22を成膜するための原料ガスとしては、珪素を含む有機化合物のガス例えばトリメチルシラン(SiH(CH3)3)ガス及び酸素(O2)ガスが用いられ、このトリメチルシランガス及び酸素ガスをプラズマ化させることで、プラズマ中に含まれる珪素、炭素及び酸素の活性種により図2(a)に示すようにCFN21膜の表面にSiCO膜22が形成される。   After nitriding the surface of the fluorine-added carbon film 20 in this way, a first hard mask SiCO film 22 to be used as a hard mask in a subsequent process is formed. As a raw material gas for forming the SiCO film 22, a gas of an organic compound containing silicon, for example, trimethylsilane (SiH (CH3) 3) gas and oxygen (O2) gas is used, and the trimethylsilane gas and oxygen gas are used as plasma. As a result, the SiCO film 22 is formed on the surface of the CFN 21 film by the active species of silicon, carbon, and oxygen contained in the plasma, as shown in FIG.

次いで、図2(b)に示すようにSiCO膜22の表面に当該SiCOとは別の材質である第2のハードマスク用の例えばSiO2膜23を成膜する。SiO2膜23を成膜するための原料ガスとしては、例えばテトラエチルオルトシリケート(Si(OC2H5)4)などの有機ソースの蒸気(ガス)及び酸素ガスが用いられる。このテトラエチルオルトシリケートガス及び酸素ガスをプラズマ化させることで、プラズマ中に含まれる珪素及び酸素の活性種によりSiCO膜22の表面にSiO2膜23が形成される。その後、SiO2膜23の上にレジスト膜を成膜し、且つパターンを形成し、そのレジストマスクを用いてSiO2膜23をエッチングして前記パターンに対応するパターンを有する第2のハードマスクを得る(図2(c))。   Next, as shown in FIG. 2B, for example, a SiO 2 film 23 for a second hard mask made of a material different from the SiCO is formed on the surface of the SiCO film 22. As the source gas for forming the SiO2 film 23, for example, vapor (gas) of an organic source such as tetraethylorthosilicate (Si (OC2H5) 4) and oxygen gas are used. By converting the tetraethylorthosilicate gas and the oxygen gas into plasma, the SiO2 film 23 is formed on the surface of the SiCO film 22 by the active species of silicon and oxygen contained in the plasma. Thereafter, a resist film is formed on the SiO2 film 23, a pattern is formed, and the SiO2 film 23 is etched using the resist mask to obtain a second hard mask having a pattern corresponding to the pattern ( FIG. 2 (c)).

しかる後、ウエハの表面にレジスト膜24を成膜し、且つ前記パターンよりも幅の狭いパターンを形成し(図2(d))、そのレジストマスク24を用いてSiCO膜22を例えばハロゲン化物の活性種を含むプラズマによりエッチングして第1のハードマスクを得て、そしてこの第1のハードマスクを用いてCFN膜21及びフッ素添加カーボン膜20(詳しくは、表面部のCFN膜21を含むことから、エッチングに関する記載では以下、CFN膜21及びフッ素添加カーボン膜20と記載する。)を例えば酸素プラズマによりエッチングする(図2(e))。なお、下地の層のフッ素添加カーボン膜10及び銅配線11の表面には、実際にはバリヤ層やハードマスク層が存在するが、図では便宜上省略してある。また、第1のハードマスクにて露出しているCFN膜21及びフッ素カーボン膜20を酸素プラズマによりエッチングすると、前述の通り、レジスト膜24とフッ素添加カーボン膜20の選択比が近似しているため、同時にレジスト膜24も除去される。   Thereafter, a resist film 24 is formed on the surface of the wafer, and a pattern narrower than the above pattern is formed (FIG. 2D), and the SiCO film 22 is made of, for example, a halide using the resist mask 24. The first hard mask is obtained by etching with plasma containing active species, and the CFN film 21 and the fluorine-added carbon film 20 (specifically, the CFN film 21 on the surface portion is included using the first hard mask). Therefore, in the description relating to etching, the CFN film 21 and the fluorine-added carbon film 20 will be described below) by, for example, oxygen plasma (FIG. 2E). In addition, although a barrier layer and a hard mask layer actually exist on the surface of the fluorine-added carbon film 10 and the copper wiring 11 as the underlying layer, they are omitted for convenience in the drawing. Further, when the CFN film 21 and the fluorine carbon film 20 exposed by the first hard mask are etched by oxygen plasma, the selection ratio between the resist film 24 and the fluorine-added carbon film 20 is approximated as described above. At the same time, the resist film 24 is also removed.

更に、SiO2膜23からなる第2のハードマスクを用いて、SiCO膜22をエッチングし、さらにCFN膜21及びフッ素添加カーボン膜20をエッチングして、先のエッチングにより形成された凹部よりも幅の広い凹部を形成する(図3(a))。なお、幅の狭い凹部はビヤホールに相当し、幅の広い凹部は当該層の回路の配線埋め込み領域に相当する。しかる後、図3(b)に示すように配線金属である例えば銅25が埋め込まれ、凹部以外の部分の銅25が例えばCMP(Chemical Mechanical Polishing)と呼ばれる研磨により除去されて銅配線25が形成される(図3(c))。その後、図示していないが、表面にバリヤ層である例えばSiC層などが形成される。   Further, using the second hard mask made of the SiO2 film 23, the SiCO film 22 is etched, and further the CFN film 21 and the fluorine-added carbon film 20 are etched, so that the width is smaller than the recess formed by the previous etching. A wide concave portion is formed (FIG. 3A). A narrow concave portion corresponds to a via hole, and a wide concave portion corresponds to a wiring embedding region of a circuit in the layer. Thereafter, as shown in FIG. 3B, for example, copper 25 which is a wiring metal is embedded, and copper 25 other than the recesses is removed by polishing called, for example, CMP (Chemical Mechanical Polishing) to form the copper wiring 25. (FIG. 3C). Thereafter, although not shown, a barrier layer such as a SiC layer is formed on the surface.

上述の実施の形態によれば、フッ素添加カーボン膜20の表面部を窒素ガスをプラズマ化して得られるプラズマ雰囲気に曝すことにより窒化処理して、膜表面にCFN膜21を形成することで、SiCO膜22を成膜するときに用いられる酸素ガスの活性種の膜中への侵入がフッ素添加カーボン膜20の表面部の窒化領域(CFN膜21)により抑えられる。従って、後工程において、フッ素添加カーボン膜20中の酸素と炭素との反応による二酸化炭素の放出と考えられるフッ素添加カーボン膜20からの脱ガスが抑えられ、フッ素添加カーボン膜20の表面部の緻密性が良好に保たれるので、その結果、層間絶縁膜であるフッ素添加カーボン膜20とハードマスク用の薄膜であるSiCO膜22との密着性が高くなる。また、フッ素添加カーボン膜20の脱ガスが抑えられることからフッ素添加カーボン20自体の膜質の悪化も防止できる。このようなことから前記CFN膜21は酸素ガスの活性種に対して保護する保護層と密着層との二つの役割を果たすものと理解できる。   According to the above-described embodiment, the surface portion of the fluorine-added carbon film 20 is subjected to nitriding treatment by exposing it to a plasma atmosphere obtained by converting nitrogen gas into plasma, and the CFN film 21 is formed on the film surface. Invasion of active species of oxygen gas used when forming the film 22 into the film is suppressed by the nitride region (CFN film 21) on the surface of the fluorine-added carbon film 20. Therefore, in the subsequent step, degassing from the fluorine-added carbon film 20 that is considered to be the release of carbon dioxide due to the reaction between oxygen and carbon in the fluorine-added carbon film 20 is suppressed, and the surface portion of the fluorine-added carbon film 20 is dense. As a result, the adhesion between the fluorine-added carbon film 20 as an interlayer insulating film and the SiCO film 22 as a hard mask thin film is enhanced. Further, since degassing of the fluorine-added carbon film 20 is suppressed, deterioration of the film quality of the fluorine-added carbon 20 itself can be prevented. From this, it can be understood that the CFN film 21 plays two roles of a protective layer and an adhesion layer that protects against active species of oxygen gas.

なお、図2では、CFN膜21の上にSiCO膜22を成膜させたが、CFN膜21の上にSiO2膜23を成膜し、その上にSiCO膜22を成膜させ、第1のハードマスクと第2のハードマスクの材質を入れ替えるようにしてもよい。   In FIG. 2, the SiCO film 22 is formed on the CFN film 21, but the SiO2 film 23 is formed on the CFN film 21, and the SiCO film 22 is formed thereon. You may make it replace the material of a hard mask and a 2nd hard mask.

続いて、上述した半導体装置の製造方法を実施するための半導体製造装置の一例について述べる。図4は、既述の図2(b)までの工程、即ちフッ素添加カーボン膜20、CFN膜21、SiCO膜22及びSiO2膜23の各成膜工程を行うための半導体製造装置を示す図である。図4中において31、32は、ウエハの搬送容器であるキャリアCがゲートドアGTを介して大気側から搬入されるキャリア室であり、33は第1の搬送室であり、34、35は予備真空室であり、36は第2の搬送室であり、これらは気密構造とされており、大気側とは区画されている。第2の搬送室36及び予備真空室34、35は真空雰囲気とされるが、キャリア室31、32及び第1の搬送室33は不活性ガス雰囲気とされることもある。37は第1の搬送手段、38は第2の搬送手段である。また、第2の搬送室36には、層間絶縁膜であるフッ化添加カーボン膜20を成膜するための成膜装置40と、窒素プラズマによりフッ素添加カーボン膜20を窒化するためのアニール装置41と、ハードマスク用の薄膜であるSiCO膜22及びSiO2膜23を成膜するための成膜装置50と、が気密に接続されている。   Next, an example of a semiconductor manufacturing apparatus for carrying out the above-described semiconductor device manufacturing method will be described. FIG. 4 is a view showing a semiconductor manufacturing apparatus for performing the steps up to the above-described FIG. 2B, that is, the steps of forming the fluorine-added carbon film 20, the CFN film 21, the SiCO film 22, and the SiO 2 film 23. is there. In FIG. 4, 31 and 32 are carrier chambers into which a carrier C, which is a wafer transfer container, is carried from the atmosphere side through the gate door GT, 33 is a first transfer chamber, and 34 and 35 are preliminary vacuums. 36 is a second transfer chamber, which has an airtight structure and is partitioned from the atmosphere side. The second transfer chamber 36 and the preliminary vacuum chambers 34 and 35 are in a vacuum atmosphere, but the carrier chambers 31 and 32 and the first transfer chamber 33 may be in an inert gas atmosphere. Reference numeral 37 denotes a first conveying means, and 38 denotes a second conveying means. Further, in the second transfer chamber 36, a film forming apparatus 40 for forming the fluorinated carbon film 20 as an interlayer insulating film, and an annealing apparatus 41 for nitriding the fluorinated carbon film 20 with nitrogen plasma. The film forming apparatus 50 for forming the SiCO film 22 and the SiO2 film 23 which are thin films for hard masks are connected in an airtight manner.

図4の半導体製造装置において、キャリアC内の基板1は、例えば第1の搬送手段37→予備真空室34(または35)→第2の搬送手段38→成膜装置40の経路で搬送され、この成膜装置40でフッ素添加カーボン膜20の成膜が行われる。そして、この基板1は第2の搬送手段38を介してアニール装置41に搬入されて、フッ素添加カーボン膜20の表面部が窒化されてCFN膜21が形成され、その後、成膜装置50に搬入されて、CFN膜21の上にSiCO膜22及びSiO2膜23の成膜が行われる。しかる後、この基板1は上述と逆の経路でキャリアC内に戻される。   In the semiconductor manufacturing apparatus of FIG. 4, the substrate 1 in the carrier C is transported by a path of, for example, the first transport unit 37 → the preliminary vacuum chamber 34 (or 35) → the second transport unit 38 → the film forming apparatus 40. The film formation apparatus 40 forms the fluorine-added carbon film 20. Then, the substrate 1 is carried into the annealing apparatus 41 via the second conveying means 38, the surface portion of the fluorine-added carbon film 20 is nitrided to form the CFN film 21, and then carried into the film forming apparatus 50. Then, the SiCO film 22 and the SiO 2 film 23 are formed on the CFN film 21. Thereafter, the substrate 1 is returned into the carrier C through a path reverse to that described above.

ここでフッ化添加カーボン膜20を成膜する成膜装置40について図5〜図7を参照しながら簡単に説明する。図中61は処理容器(真空チャンバ)、62は温調手段を備えた載置台であり、前記載置台62には例えば13.56MHzのバイアス用高周波電源63が接続されている。   Here, the film forming apparatus 40 for forming the fluorinated carbon film 20 will be briefly described with reference to FIGS. In the figure, reference numeral 61 denotes a processing container (vacuum chamber), and 62 denotes a mounting table provided with temperature control means. The mounting table 62 is connected to a high frequency power source 63 for bias of 13.56 MHz, for example.

前記処理容器61の上部には載置台62と対向するように、例えば平面形状が略円形状に構成された例えばアルミナからなる第1のガス供給部64が設けられている。このガス供給部64における載置台62と対向する面には、多数の第1のガス供給孔65が形成されている。前記第1のガス供給孔65は、ガス流路66を介して第1のガス供給路67に連通している。前記第1のガス供給路67は、プラズマガスであるアルゴン(Ar)ガスやクリプトン(Kr)ガスなどのプラズマガス供給源が接続されている。   A first gas supply unit 64 made of, for example, alumina having a substantially circular planar shape is provided on the upper portion of the processing container 61 so as to face the mounting table 62. A number of first gas supply holes 65 are formed on the surface of the gas supply unit 64 facing the mounting table 62. The first gas supply hole 65 communicates with the first gas supply path 67 through the gas flow path 66. The first gas supply path 67 is connected to a plasma gas supply source such as argon (Ar) gas or krypton (Kr) gas which is a plasma gas.

また、前記載置台62と前記第1のガス供給部64との間には、例えば平面形状が略円形状に構成された導電体からなる第2のガス供給部68が設けられ、このガス供給部68における載置台62と対向する面には、多数の第2のガス供給孔69が形成されている。この第2のガス供給部68の内部には、例えば図6に示すように、第2のガス供給孔69の一端側と連通する格子状のガス流路71が形成されており、このガス流路71には、第2のガス供給路72の一端側が接続されている。また、第2のガス供給部68には、当該第2のガス供給部68を貫通するように、多数の開口部73が形成されている。この開口部73は、プラズマを当該第2のガス供給部68の下方側の空間に通過させるためのものであり、例えば隣接するガス流路71同士の間に形成されている。   Further, a second gas supply unit 68 made of a conductor having a substantially circular planar shape is provided between the mounting table 62 and the first gas supply unit 64, for example. A number of second gas supply holes 69 are formed on the surface of the portion 68 facing the mounting table 62. As shown in FIG. 6, for example, a lattice-like gas flow channel 71 communicating with one end side of the second gas supply hole 69 is formed inside the second gas supply unit 68. One end side of the second gas supply path 72 is connected to the path 71. The second gas supply unit 68 has a large number of openings 73 so as to penetrate the second gas supply unit 68. The opening 73 is for allowing plasma to pass through the space below the second gas supply unit 68 and is formed, for example, between adjacent gas flow paths 71.

ここで第2のガス供給部68は、第2のガス供給路72を介して既述の原料ガスであるC5F8ガスの供給源(図示せず)と接続され、第2のガス供給路72を介してガス流路71に順次通流し、前記ガス供給孔69を介して、第2のガス供給部68の下方側の空間に一様に供給される。なお、74は排気管であり、真空排気手段75に接続されている。   Here, the second gas supply unit 68 is connected to a supply source (not shown) of the C5F8 gas, which is the above-described source gas, via the second gas supply path 72, and the second gas supply path 72 is connected to the second gas supply path 68. Through the gas flow passage 71 and is uniformly supplied to the space below the second gas supply portion 68 through the gas supply hole 69. Reference numeral 74 denotes an exhaust pipe, which is connected to the vacuum exhaust means 75.

前記第2のガス供給部68の上部側には、例えばアルミナなどの誘電体により構成されたカバープレート76が設けられ、このカバープレート76の上部側には、当該カバープレート76と密接するようにアンテナ部77が設けられている。このアンテナ部77は、図7にも示すように、平面形状が円形の下面側が開口する扁平なアンテナ本体78と、このアンテナ本体78の前記下面側を開口部を塞ぐように設けられ、多数のスリットが形成された円板状の平面アンテナ部材(スリット板)79とを備えており、これらアンテナ本体78と平面アンテナ部材79とは導体により構成され、扁平な中空の円形導波管を構成している。   A cover plate 76 made of a dielectric material such as alumina is provided on the upper side of the second gas supply unit 68, and the upper side of the cover plate 76 is in close contact with the cover plate 76. An antenna portion 77 is provided. As shown in FIG. 7, the antenna portion 77 is provided with a flat antenna body 78 having a circular planar shape that opens on the lower surface side, and the lower surface side of the antenna body 78 so as to close the opening portion. A disk-shaped planar antenna member (slit plate) 79 having slits is provided. The antenna main body 78 and the planar antenna member 79 are made of a conductor, and form a flat hollow circular waveguide. ing.

また、前記平面アンテナ部材79とアンテナ本体78との間には、例えばアルミナや酸化ケイ素、窒化ケイ素等の低損失誘電体材料により構成された遅相板81が設けられている。この遅相板81は、マイクロ波の波長を短くして前記導波管内の管内波長を短くするためのものである。この実施の形態では、これらアンテナ本体78、平面アンテナ部材79、遅相板81によりラジアルラインスリットアンテナが構成されている。   Further, between the planar antenna member 79 and the antenna body 78, there is provided a slow phase plate 81 made of a low-loss dielectric material such as alumina, silicon oxide, silicon nitride or the like. This retardation plate 81 is for shortening the wavelength of the microwave to shorten the guide wavelength in the waveguide. In this embodiment, the antenna main body 78, the planar antenna member 79, and the slow phase plate 81 constitute a radial line slit antenna.

このように構成されたアンテナ部77は、前記平面アンテナ部材79がカバープレート76に密接するように図示しないシール部材を介して外部のマイクロ波発生手段83と接続され、例えば周波数が2.45GHzあるいは8.4GHzのマイクロ波が供給されるようになっている。この際、同軸導波管82の外側の導波管82Aは、アンテナ本体78に接続され、中心導体82Bは遅相板81に形成された開口部を介して平面アンテナ部材79に接続されている。   The antenna section 77 thus configured is connected to an external microwave generating means 83 via a sealing member (not shown) so that the planar antenna member 79 is in close contact with the cover plate 76, and has a frequency of 2.45 GHz, for example. An 8.4 GHz microwave is supplied. At this time, the waveguide 82 A outside the coaxial waveguide 82 is connected to the antenna body 78, and the center conductor 82 B is connected to the planar antenna member 79 through an opening formed in the slow phase plate 81. .

前記平面アンテナ部材79は、例えば厚さ1mm程度の銅板からなり、図7に示すように例えば円扁波を発生させるための多数のスリット84が形成されている。このスリット84は、略T字状に僅かに離間させて配置した一対のスリット84A、84Bを1組として、周方向に沿って例えば同心円状や渦巻き状に形成されている。なお、このスリット84は略八字状に僅かに離間させて配置させてもよい。このようにスリット84Aと84Bとを相互に略直交するような関係で配列しているので、2つの直交する偏波成分を含む円偏波が放射されることになる。この際、スリット対84A、84Bを遅相板81により圧縮されたマイクロ波の波長に対応した間隔で配列することにより、マイクロ波が平面アンテナ部材79により略平面波として放射される。   The planar antenna member 79 is made of, for example, a copper plate having a thickness of about 1 mm, and a plurality of slits 84 for generating, for example, circular flat waves are formed as shown in FIG. The slit 84 is formed, for example, concentrically or spirally along the circumferential direction, with a pair of slits 84A and 84B arranged in a substantially T-shape and slightly spaced apart. Note that the slits 84 may be arranged so as to be slightly separated from each other in an approximately eight-character shape. Thus, since the slits 84A and 84B are arranged so as to be substantially orthogonal to each other, circularly polarized waves including two orthogonal polarization components are radiated. At this time, by arranging the slit pairs 84 </ b> A and 84 </ b> B at an interval corresponding to the wavelength of the microwave compressed by the retardation plate 81, the microwave is radiated by the planar antenna member 79 as a substantially planar wave.

続いて、上記の成膜装置40により実施されるフッ素添加カーボン膜20の成膜プロセスの一例について説明する。先ず、基板1を処理容器61内に搬入して載置台62上に載置する。続いて、処理容器61の内部を所定の圧力まで真空引きし、第1のガス供給部64から処理容器61内にプラズマガス例えばArガスを所定の流量例えば200sccmで供給すると共に、原料ガス供給部である第2のガス供給部68から処理容器61内にC5F8ガスを所定の流量例えば100sccmで供給する。そして、処理容器61内を例えばプロセス圧力10.6Pa(80mTorr)に維持し、ウエハ温度を380℃に設定する。   Subsequently, an example of a film forming process of the fluorine-added carbon film 20 performed by the film forming apparatus 40 will be described. First, the substrate 1 is carried into the processing container 61 and placed on the mounting table 62. Subsequently, the inside of the processing vessel 61 is evacuated to a predetermined pressure, and a plasma gas, for example, Ar gas is supplied from the first gas supply unit 64 into the processing vessel 61 at a predetermined flow rate, for example, 200 sccm. The C5F8 gas is supplied into the processing container 61 from the second gas supply unit 68 at a predetermined flow rate, for example, 100 sccm. Then, the inside of the processing container 61 is maintained at a process pressure of 10.6 Pa (80 mTorr), for example, and the wafer temperature is set to 380 ° C.

一方、マイクロ波発生手段83から2.45GHz、3000Wの高周波(マイクロ波)を供給すると、このマイクロ波は、TMモードあるいはTEモードで同軸導波管82内を伝搬してアンテナ部77の平面アンテナ部材79に到達し、同軸導波管の中心導体82Bを介して、平面アンテナ部材79の中心部から周縁領域に向けて放射状に伝搬される間に、スリット対84A、84Bからマイクロ波がカバープレート76、第1のガス供給部64を介して当該第1のガス供給部64の下方側の処理空間に向けて放出される。   On the other hand, when a high frequency (microwave) of 2.45 GHz and 3000 W is supplied from the microwave generation means 83, the microwave propagates in the coaxial waveguide 82 in the TM mode or the TE mode, and the planar antenna of the antenna unit 77. While reaching the member 79 and propagating radially from the central portion of the planar antenna member 79 toward the peripheral region via the central conductor 82B of the coaxial waveguide, microwaves from the slit pairs 84A and 84B are covered by the cover plate. 76, the gas is discharged toward the processing space below the first gas supply unit 64 via the first gas supply unit 64.

このとき既述のようにスリット対84A、84Bが配列しているので、円偏波が平面アンテナ部材79の平面に亘って均一に放出され、この下方側の空間の電界密度が均一化される。そして、このマイクロ波のエネルギーにより、第1のガス供給部64と第2のガス供給部68との間の空間に高密度で均一な例えばアルゴンガスのプラズマが励起される。一方第2のガス供給部68から吹き出したC5F8ガスは、開口部73を介して上方側から流れ込んできた前記プラズマに接触して活性化され、C5F8ガスから生成された活性種が基板1の表面に堆積してフッ素添加カーボン膜20からなる層間絶縁膜が成膜される。   At this time, since the slit pairs 84A and 84B are arranged as described above, the circularly polarized wave is uniformly emitted over the plane of the planar antenna member 79, and the electric field density in the space on the lower side is made uniform. . The microwave energy excites high density and uniform plasma of, for example, argon gas in the space between the first gas supply unit 64 and the second gas supply unit 68. On the other hand, the C5F8 gas blown out from the second gas supply unit 68 is activated in contact with the plasma flowing from the upper side through the opening 73, and the active species generated from the C5F8 gas are activated on the surface of the substrate 1. Then, an interlayer insulating film made of the fluorine-added carbon film 20 is formed.

ここでプラズマを発生させる希ガスとしては、上述の例ではArガスを用いているが、その他の希ガス例えばヘリウム(He)ガス、ネオン(Ne)ガス、クリプトン(Kr)ガス、キセノン(Xe)ガスなどを用いることができる。また、フッ素添加カーボン膜の用途としては層間絶縁膜に限らず他の絶縁膜であってもよい。フッ化添加カーボン膜の原料ガスとしてはC5F8ガスに限らず、CF4ガス、C2F6ガス、C3F8ガス、C3F9ガス及びC4F8ガスなどを用いてもよい。   Here, as the rare gas for generating plasma, Ar gas is used in the above example. However, other rare gases such as helium (He) gas, neon (Ne) gas, krypton (Kr) gas, and xenon (Xe) are used. Gas or the like can be used. Further, the use of the fluorine-added carbon film is not limited to the interlayer insulating film, but may be other insulating films. The raw material gas for the fluorinated carbon film is not limited to C5F8 gas, but CF4 gas, C2F6 gas, C3F8 gas, C3F9 gas, C4F8 gas, or the like may be used.

また、前記アニール装置41は、この例では上述した成膜装置40と同じ構成の装置が用いられ、第1のガス供給路67にN2ガスの供給源が接続されている。このアニール装置41においては、既にフッ素添加カーボン膜20が成膜された基板1を処理容器61(便宜上成膜装置40の符号と同じ符号を用いて説明する)内に搬入し、第1のガス供給部64から処理容器61内にN2ガスを所定の流量例えば10〜100sccmで供給すると共に、処理容器61内を例えばプロセス圧力33.3〜66.5Pa(250〜500mTorr)に維持し、ウエハ温度を25〜80℃に設定する。   In this example, the annealing apparatus 41 has the same configuration as the film forming apparatus 40 described above, and an N 2 gas supply source is connected to the first gas supply path 67. In the annealing apparatus 41, the substrate 1 on which the fluorine-added carbon film 20 has already been formed is carried into a processing vessel 61 (described using the same reference numerals as those of the film forming apparatus 40 for convenience), and the first gas The N2 gas is supplied from the supply unit 64 into the processing vessel 61 at a predetermined flow rate, for example, 10 to 100 sccm, and the inside of the processing vessel 61 is maintained at, for example, a process pressure of 33.3 to 66.5 Pa (250 to 500 mTorr). Is set to 25-80 ° C.

一方、マイクロ波発生手段83から2.45GHz、500Wの高周波(マイクロ波)を供給することにより、このマイクロ波のエネルギーにより、N2ガスが励起されてプラズマが生成される。そしてプラズマ中の窒素の励起種(活性種)がフッ素添加カーボン膜20中に侵入するが、後述の実施例からも分かるように窒素の活性種のほとんどは、膜表面から例えば20nm程度までの表面部にてトラップされ、このため実質表面部のみが窒化され、CFN膜21が形成される。このとき、フッ素添加カーボン膜20の表面を窒素プラズマ雰囲気に曝す時間は、例えば5〜10秒程度である。なお、このような窒化処理を行う装置としては、図5に示す成膜装置40と同一構造のものに限られるものではなく、例えば平行平板型のプラズマ処理装置であってもよい。また、この例では、フッ素添加カーボン膜20の成膜温度よりも窒化処理のプロセス温度を低くしているので、昇降温に要する時間を考慮してスループットの観点から装置を別々に設けているが、両者の処理を共通の装置で行うようにしてもよいことは勿論である。   On the other hand, by supplying a high frequency (microwave) of 2.45 GHz and 500 W from the microwave generating means 83, N2 gas is excited by the energy of the microwave to generate plasma. The excited species of nitrogen (active species) in the plasma penetrate into the fluorine-added carbon film 20, but as can be seen from the examples described later, most of the active species of nitrogen is the surface from the film surface to about 20 nm, for example. Therefore, only the substantial surface portion is nitrided and the CFN film 21 is formed. At this time, the time for exposing the surface of the fluorine-added carbon film 20 to a nitrogen plasma atmosphere is, for example, about 5 to 10 seconds. An apparatus for performing such nitriding treatment is not limited to the same structure as the film forming apparatus 40 shown in FIG. 5, and may be a parallel plate type plasma processing apparatus, for example. In this example, since the process temperature of the nitriding process is lower than the film formation temperature of the fluorine-added carbon film 20, the apparatus is provided separately from the viewpoint of throughput in consideration of the time required for raising and lowering the temperature. Of course, both processes may be performed by a common apparatus.

SiCO膜22及びSiO2膜23を成膜する成膜装置50としては、この例では既述の成膜装置40と同じ構成の装置が用いられ、第1のガス供給路67にプラズマガス例えばArガスの供給源と酸素ガスの供給源とが接続され、第2のガス供給路72にトリメチルシランガスの供給源とテトラエチルオルトシリケートガスの供給源とが接続されている。この成膜装置50においては、既にCFN膜21が成膜された基板1を処理容器61内に搬入し、続いて、処理容器61の内部を所定の圧力まで真空引きする。そして、第1のハードマスクであるSiCO膜22の成膜が行われるが、その成膜プロセスは、先ず、第1のガス供給部64から処理容器61内にプラズマガス例えばArガス及び酸素ガスを所定の流量例えば1000sccm及び200sccmで夫々供給すると共に、原料ガス供給部である第2のガス供給部68から処理容器61内にトリメチルシランガスを所定の流量例えば200sccmで供給する。そして、処理容器61内を例えばプロセス圧力33.3Pa(250mTorr)に維持し、載置台62のウエハ温度を380℃に設定する。   As the film forming apparatus 50 for forming the SiCO film 22 and the SiO2 film 23, an apparatus having the same configuration as the film forming apparatus 40 described above is used in this example, and a plasma gas such as Ar gas is provided in the first gas supply path 67. And a supply source of oxygen gas are connected to each other, and a supply source of trimethylsilane gas and a supply source of tetraethylorthosilicate gas are connected to the second gas supply path 72. In the film forming apparatus 50, the substrate 1 on which the CFN film 21 has already been formed is carried into the processing container 61, and then the inside of the processing container 61 is evacuated to a predetermined pressure. Then, the SiCO film 22 that is the first hard mask is formed. First, a plasma gas such as Ar gas and oxygen gas is supplied from the first gas supply unit 64 into the processing container 61. The trimethylsilane gas is supplied into the processing vessel 61 from the second gas supply unit 68 as a raw material gas supply unit at a predetermined flow rate, for example, 200 sccm, while being supplied at a predetermined flow rate, for example, 1000 sccm and 200 sccm. Then, the inside of the processing container 61 is maintained at a process pressure of 33.3 Pa (250 mTorr), for example, and the wafer temperature of the mounting table 62 is set to 380 ° C.

一方、マイクロ波発生手段83から2.45GHz、1500Wの高周波(マイクロ波)を供給することにより、このマイクロ波のエネルギーにより、Arガスをプラズマ化し、このプラズマにより酸素ガス及びトリメチルシランガスが励起されてCFN膜21の上に第1のハードマスクであるSiCO膜22が成膜される。続いて、第2のハードマスクであるSiO2膜23の成膜が行われるが、その成膜プロセスは、例えばトリメチルシランガスからテトラエチルオルトシリケートガスに切り替えて当該ガスを第2のガス供給部68から処理容器61内に例えば100sccmで供給する他は、上記と同様の成膜プロセスである。よってマイクロ波エネルギーにより、Arガスをプラズマ化し、このプラズマにより酸素ガスとテトラエチルオルトシリケートガスが励起されてSiCO膜22の上に第2のハードマスクであるSiO2膜23が成膜される。なお、SiCO膜22及びSiO2膜23を成膜する装置は、フッ素添加カーボン膜20を成膜する装置40と共用してもよいし、窒化処理を行うアニール装置41と共用してもよいし、これら全部の成膜プロセスを一つの装置例えば成膜装置40で実施してもよい。   On the other hand, by supplying a high frequency (microwave) of 2.45 GHz and 1500 W from the microwave generating means 83, Ar gas is converted into plasma by the energy of the microwave, and oxygen gas and trimethylsilane gas are excited by this plasma. A SiCO film 22 as a first hard mask is formed on the CFN film 21. Subsequently, the SiO2 film 23, which is the second hard mask, is formed. The film formation process is performed, for example, by switching from trimethylsilane gas to tetraethylorthosilicate gas and processing the gas from the second gas supply unit 68. The film forming process is the same as described above except that the container 61 is supplied at, for example, 100 sccm. Therefore, Ar gas is converted into plasma by microwave energy, and oxygen gas and tetraethylorthosilicate gas are excited by this plasma, and a SiO 2 film 23 as a second hard mask is formed on the SiCO film 22. The apparatus for forming the SiCO film 22 and the SiO2 film 23 may be shared with the apparatus 40 for forming the fluorine-added carbon film 20, or may be shared with the annealing apparatus 41 for performing nitriding treatment. All these film forming processes may be performed by one apparatus, for example, the film forming apparatus 40.

A.フッ化添加カーボン膜及びハードマスク用の薄膜の成膜
(実施例1)
前記半導体製造装置において、図5に示した成膜装置40を用いて、基板であるシリコンベアウエハの上にフッ素添加カーボン膜を120nmの膜厚で成膜した。プロセス条件については、マイクロ波の電力を3000W、プロセス圧力を10.6Pa(80mTorr)、ウエハの温度を380℃、第1のガス供給路67に供給するArガス及び第2のガス供給路72に供給するC5F8ガスの流量を夫々200sccm及び100sccmに設定した。
A. Deposition of fluorinated carbon film and thin film for hard mask (Example 1)
In the semiconductor manufacturing apparatus, a fluorine-added carbon film having a thickness of 120 nm was formed on a silicon bare wafer as a substrate using the film forming apparatus 40 shown in FIG. Regarding the process conditions, the microwave power is 3000 W, the process pressure is 10.6 Pa (80 mTorr), the wafer temperature is 380 ° C., the Ar gas and the second gas supply path 72 are supplied to the first gas supply path 67. The flow rates of the C5F8 gas to be supplied were set to 200 sccm and 100 sccm, respectively.

続いて、アニール装置41を用い、N2ガスをプラズマ化して得たプラズマによりフッ素添加カーボン膜を窒化処理(アニール)した。プロセス条件については、マイクロ波の電力を500W、プロセス圧力を33.3Pa(250mTorr)、ウエハの温度を80℃、N2ガスの流量を50sccm、に設定し、また処理時間を5秒間に設定した。   Subsequently, the fluorine-added carbon film was subjected to nitriding treatment (annealing) using plasma obtained by converting N2 gas into plasma using an annealing device 41. Regarding the process conditions, the microwave power was set to 500 W, the process pressure was set to 33.3 Pa (250 mTorr), the wafer temperature was set to 80 ° C., the N 2 gas flow rate was set to 50 sccm, and the processing time was set to 5 seconds.

次いで、成膜装置50を用い、ウエハの上にSiCO膜を50nmの膜厚で成膜した。プロセス条件については、マイクロ波の電力を1500W、プロセス圧力を33.3Pa(250mTorr)、ウエハの温度を380℃に設定し、トリメチルシランガス、Arガス及び酸素ガスを夫々200sccm、1000scc及び200sccmの流量で夫々供給した。   Next, a SiCO film having a thickness of 50 nm was formed on the wafer using the film forming apparatus 50. Regarding the process conditions, the microwave power is set to 1500 W, the process pressure is set to 33.3 Pa (250 mTorr), the wafer temperature is set to 380 ° C., and trimethylsilane gas, Ar gas, and oxygen gas are flowed at 200 sccm, 1000 sccc, and 200 sccm, respectively. Each supplied.

(比較例1)
フッ素添加カーボン膜の表面を窒化処理せずに(CFN膜を成膜せずに)、フッ素添加カーボン膜の上に直接SiCO膜を成膜した他は、実施例1と同様にして成膜を行った。
(Comparative Example 1)
The film formation was performed in the same manner as in Example 1 except that the surface of the fluorine-added carbon film was not nitrided (the CFN film was not formed) and the SiCO film was directly formed on the fluorine-added carbon film. went.

B.薄膜の密着性の考察
実施例1及び比較例1の基板を真空雰囲気で400℃に加熱して30分間放置した。これら基板の表面を目視で観察し、またテープを貼り付けて膜剥れの状態を調べたところ、比較例1は膜中から気泡が発生したことに基づく変色域が多く見られ、また大部分の膜が剥れてしまった。これに対して実施例1については、比較例1のような変色域は全く見られず、またテープテストについても膜剥れは全くなかった。従って、フッ素添加カーボン膜とSiCO膜との間にCFN膜を介在させることにより、フッ素添加カーボン膜に対するSiCO膜の密着性が大きくなることが理解される。
C.フッ素添加カーボン膜(CFN膜を含む)中の窒素濃度の測定
表面が窒化処理されたフッ素添加カーボン膜(フッ素添加カーボン膜20及びCFN膜21の積層体)について、二次イオン質量分析法(SIMS:Secondary Ion Mass Spectroscopy)により、積層体の表面にイオンビームを照射スッパタしたときに放出される二次イオンを質量分析し、二次イオン強度を指標として積層体中の窒素濃度のプロファイルを調べた。その結果を図8に示すが、図8には併せて珪素、炭素及びフッ素の濃度プロファイルも示してある。図8においては、縦軸は二次イオン強度(count/sec)、横軸は膜の深さ(nm)である。
B. Consideration of thin film adhesion The substrates of Example 1 and Comparative Example 1 were heated to 400 ° C. in a vacuum atmosphere and allowed to stand for 30 minutes. When the surface of these substrates was visually observed and the state of film peeling was examined by applying a tape, Comparative Example 1 showed many discoloration areas based on the occurrence of bubbles from the film, and most of them The film was peeled off. On the other hand, in Example 1, no discoloration region as in Comparative Example 1 was observed, and no film peeling occurred in the tape test. Therefore, it is understood that the adhesion of the SiCO film to the fluorine-added carbon film is increased by interposing the CFN film between the fluorine-added carbon film and the SiCO film.
C. Measurement of nitrogen concentration in fluorine-added carbon film (including CFN film) Secondary ion mass spectrometry (SIMS) of a fluorine-added carbon film (laminated body of fluorine-added carbon film 20 and CFN film 21) whose surface is nitrided : Secondary Ion Mass Spectroscopy) conducted mass analysis of secondary ions released when the surface of the stack was irradiated with an ion beam, and investigated the nitrogen concentration profile in the stack using the secondary ion intensity as an index. . The results are shown in FIG. 8, and FIG. 8 also shows the concentration profiles of silicon, carbon, and fluorine. In FIG. 8, the vertical axis represents the secondary ion intensity (count / sec), and the horizontal axis represents the film depth (nm).

図8から分かるように、窒素がフッ素添加カーボン膜の奥深くまで、この例では100nmの厚さのフッ素添加カーボン膜の下面まで侵入するが、膜表面から20nm程度よりも深い領域では、窒素の侵入量が極端に少ない。従って、窒素の活性種の大部分は膜表面から20nm程度までの間にほとんどトラップされ、表面部のみが窒化されていることが理解される。このように表面部のみが窒化されることで、SiCO膜を成膜するときに用いられる酸素ガスの活性種の膜中への侵入がフッ素添加カーボン膜の表面部の窒化領域(CFN膜)により抑えられると思われる。   As can be seen from FIG. 8, nitrogen penetrates deeply into the fluorine-added carbon film, in this example, to the lower surface of the fluorine-added carbon film having a thickness of 100 nm, but in a region deeper than about 20 nm from the film surface, nitrogen penetrates. The amount is extremely small. Therefore, it is understood that most of the active species of nitrogen are almost trapped between the film surface and about 20 nm, and only the surface portion is nitrided. Since only the surface portion is nitrided in this way, the intrusion of the active species of oxygen gas used when forming the SiCO film into the film is caused by the nitride region (CFN film) on the surface portion of the fluorine-added carbon film. It seems to be suppressed.

ところで、フッ素添加カーボン膜を窒化処理するときに熱エネルギーが大きすぎると、窒素の活性種の多くが膜中深く入り込んでしまうおそれがあり、そのためには、窒化処理時のプロセス温度をあまり高くしないことが望ましい。膜の奥深くまで窒素が侵入すると、フッ素添加カーボン膜のC−F結合が切断され、後工程での高温プロセスにおいて、膜中からCあるいはCFの脱離が起こり、このためSiCO膜などのハードマスクとの密着性が悪化する懸念がある。従って、熱エネルギーを小さくする手法の一つとしてプロセス温度を低くすることが挙げられ、プロセス圧力が例えば33.3〜66.5Pa(250〜500mTorr)であれば、プロセス温度を100℃以下に設定することで、窒素濃度について満足するプロファイルが得られることを把握している。しかしながら本発明は、このようなプロセス条件が重要であるのではなく、結果としてCあるいはCFの脱離による密着性の影響が生じないプロファイルであればよく、このような観点からすれば、二次イオン強度の測定装置として、Physical Electronics社製;ADEPT1010を用いたときに、フッ素添加カーボン膜の深さが50nmにおいて、二次イオン強度が2×10 以下であり、また、フッ素添加カーボン膜の表面における窒素濃度が酸素の活性種の侵入を防止するために十分な濃度であり、かつ、膜中に窒素が侵入することによる悪影響が抑えられるように表面付近例えば20nmに至るまでの窒素濃度が深さ方向に対して急激に低くなるプロファイルであればよいと考えられる。具体的には、(深さ20nmにおける2次イオン強度)/(表面における2次イオン強度)の比が、30%以下、好ましくは20以下であれば、CあるいはCFの脱離は問題にならないと考えている。 By the way, if the thermal energy is too large when nitriding the fluorine-added carbon film, there is a risk that many of the active species of nitrogen will penetrate deep into the film, and for this purpose, the process temperature during the nitriding process is not so high. It is desirable. When nitrogen penetrates deep into the film, the C—F bond of the fluorine-added carbon film is broken, and C or CF is desorbed from the film in a high-temperature process in the subsequent process. Therefore, a hard mask such as a SiCO film There is a concern that the adhesiveness will deteriorate. Therefore, one way to reduce thermal energy is to lower the process temperature. If the process pressure is 33.3 to 66.5 Pa (250 to 500 mTorr), the process temperature is set to 100 ° C. or lower. By doing so, it is understood that a satisfactory profile can be obtained for the nitrogen concentration. However, in the present invention, such process conditions are not important, and as a result, any profile that does not cause the influence of adhesion due to C or CF desorption may be used. When an ADEPT1010 is used as an ionic strength measuring device; when the ADEPT1010 is used, the secondary ionic strength is 2 × 10 2 or less at a depth of the fluorinated carbon film of 50 nm. The nitrogen concentration at the surface is sufficient to prevent the active species of oxygen from entering, and the nitrogen concentration up to, for example, 20 nm near the surface is reduced so as to suppress adverse effects caused by the penetration of nitrogen into the film. It is considered that a profile that rapidly decreases in the depth direction may be used. Specifically, if the ratio of (secondary ion intensity at a depth of 20 nm) / (secondary ion intensity at the surface) is 30% or less, preferably 20 or less, desorption of C or CF is not a problem. I believe.

本発明の実施の形態においてフッ素添加カーボン膜の成膜及びその表面部の窒化処理の様子を示す説明図である。It is explanatory drawing which shows the mode of the film-forming of the fluorine addition carbon film | membrane and the nitriding process of the surface part in embodiment of this invention. 本発明の実施の形態において半導体装置が段階的に製造されていく様子を示す工程図である。It is process drawing which shows a mode that the semiconductor device is manufactured in steps in embodiment of this invention. 本発明の実施の形態において半導体装置が段階的に製造されていく様子を示す工程図である。It is process drawing which shows a mode that the semiconductor device is manufactured in steps in embodiment of this invention. 本発明の実施の形態に用いられる真空処理システムの一例を示す平面図である。It is a top view which shows an example of the vacuum processing system used for embodiment of this invention. 本発明の実施の形態に用いられるプラズマ成膜装置の一例を示す縦断側面図である。It is a vertical side view which shows an example of the plasma film-forming apparatus used for embodiment of this invention. 上記のプラズマ成膜装置に用いられる第2のガス供給部を示す平面図である。It is a top view which shows the 2nd gas supply part used for said plasma film-forming apparatus. 上記のプラズマ成膜装置に用いられるアンテナ部を一部断面で示す斜視図である。It is a perspective view which shows the antenna part used for said plasma film-forming apparatus in a partial cross section. フッ素添加カーボン膜中の窒素、珪素、炭素及びフッ素の各濃度を示す特性図である。It is a characteristic view which shows each density | concentration of nitrogen, silicon, carbon, and fluorine in a fluorine addition carbon film.

符号の説明Explanation of symbols

1 基板
10 フッ素添加カーボン膜
11 銅
20 フッ素添加カーボン膜
21 CFN膜
22 SiCO膜
23 SiO2膜
24 レジスト膜
25 銅
40 成膜装置
41 アニール装置
50 成膜装置
61 処理容器
64 第1のガス供給部
67 第1のガス供給路
68 第2のガス供給部
72 第2のガス供給路
77 アンテナ部
78 アンテナ本体

DESCRIPTION OF SYMBOLS 1 Substrate 10 Fluorine-added carbon film 11 Copper 20 Fluorine-added carbon film 21 CFN film 22 SiCO film 23 SiO2 film 24 Resist film 25 Copper 40 Film formation apparatus 41 Annealing apparatus 50 Film formation apparatus 61 Processing vessel 64 First gas supply section 67 First gas supply path 68 Second gas supply section 72 Second gas supply path 77 Antenna section 78 Antenna body

Claims (4)

基板上にフッ素添加カーボン膜からなる絶縁膜を成膜する工程と、
次いで、前記基板の表面を、窒素ガスをプラズマ化して得たプラズマに曝して、前記フッ素添加カーボン膜の表面部を窒化する工程と、
しかる後、前記基板の表面を珪素及び酸素の活性種を含むプラズマに曝して、珪素及び酸素を含むハードマスク用の薄膜を成膜する工程と、を含むことを特徴とする半導体装置の製造方法。
Forming an insulating film made of a fluorine-added carbon film on the substrate;
Next, the surface of the substrate is exposed to plasma obtained by converting nitrogen gas into plasma, and the surface portion of the fluorine-added carbon film is nitrided;
And thereafter exposing the surface of the substrate to a plasma containing active species of silicon and oxygen to form a thin film for a hard mask containing silicon and oxygen. .
珪素及び酸素の活性種を含むプラズマは、珪素を含む有機化合物のガスと酸素ガスとをプラズマ化して得たプラズマであることを特徴とする請求項1記載の半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the plasma containing active species of silicon and oxygen is plasma obtained by converting an organic compound gas containing silicon and oxygen gas into plasma. 前記ハードマスク用の薄膜は、酸素添加炭化珪素膜または二酸化珪素膜であることを特徴とする請求項1または2記載の半導体装置の製造方法。   3. The method of manufacturing a semiconductor device according to claim 1, wherein the thin film for the hard mask is an oxygen-added silicon carbide film or a silicon dioxide film. 前記ハードマスク用の薄膜の表面にレジスト膜を所定のパターンで形成する工程と、
次いで、前記ハードマスク用の薄膜をプラズマによりエッチングし、この薄膜に前記パターンに対応するパターンを形成してハードマスクを得る工程と、
その後、このハードマスクを用いて前記フッ素添加カーボン膜をプラズマによりエッチングする工程と、をさらに含むことを特徴とする請求項1ないし3のいずれか一に記載の半導体装置の製造方法。


Forming a resist film in a predetermined pattern on the surface of the hard mask thin film;
Next, etching the hard mask thin film with plasma, forming a pattern corresponding to the pattern on the thin film to obtain a hard mask;
4. The method of manufacturing a semiconductor device according to claim 1, further comprising: etching the fluorine-added carbon film with plasma using the hard mask. 5.


JP2004112932A 2004-04-07 2004-04-07 Manufacturing method of semiconductor device Expired - Fee Related JP4194521B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004112932A JP4194521B2 (en) 2004-04-07 2004-04-07 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004112932A JP4194521B2 (en) 2004-04-07 2004-04-07 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
JP2005302811A JP2005302811A (en) 2005-10-27
JP4194521B2 true JP4194521B2 (en) 2008-12-10

Family

ID=35333982

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004112932A Expired - Fee Related JP4194521B2 (en) 2004-04-07 2004-04-07 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP4194521B2 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4555143B2 (en) * 2004-05-11 2010-09-29 東京エレクトロン株式会社 Substrate processing method
US7776736B2 (en) 2004-05-11 2010-08-17 Tokyo Electron Limited Substrate for electronic device capable of suppressing fluorine atoms exposed at the surface of insulating film from reacting with water and method for processing same
JP4993607B2 (en) * 2005-06-20 2012-08-08 国立大学法人東北大学 Interlayer insulating film and wiring structure, and manufacturing method thereof
US7851351B2 (en) 2006-03-31 2010-12-14 Tokyo Electron Limited Manufacturing method for semiconductor devices with enhanced adhesivity and barrier properties
JP5119606B2 (en) 2006-03-31 2013-01-16 東京エレクトロン株式会社 Semiconductor device and manufacturing method of semiconductor device
JP5194393B2 (en) 2006-06-23 2013-05-08 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP5119618B2 (en) * 2006-07-20 2013-01-16 東京エレクトロン株式会社 Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, and storage medium
JP5261964B2 (en) 2007-04-10 2013-08-14 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP5700513B2 (en) * 2010-10-08 2015-04-15 国立大学法人東北大学 Semiconductor device manufacturing method and semiconductor device
KR102480116B1 (en) * 2013-11-08 2022-12-23 르네사스 일렉트로닉스 가부시키가이샤 Semiconductor device and method of manufacturing the same
JP2016153518A (en) * 2015-02-20 2016-08-25 東京エレクトロン株式会社 Film deposition method and film deposition apparatus of carbon film
JP6817692B2 (en) * 2015-08-27 2021-01-20 東京エレクトロン株式会社 Plasma processing method
WO2020031224A1 (en) * 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ Plasma treatment method and plasma ashing device
KR102156663B1 (en) * 2019-09-25 2020-09-21 솔브레인 주식회사 Method for forming thin film

Also Published As

Publication number Publication date
JP2005302811A (en) 2005-10-27

Similar Documents

Publication Publication Date Title
KR100743745B1 (en) Method for manufacturing semiconductor device and film-forming system
JP4256763B2 (en) Plasma processing method and plasma processing apparatus
JP4715207B2 (en) Semiconductor device manufacturing method and film forming system
JP4194521B2 (en) Manufacturing method of semiconductor device
KR100887439B1 (en) Substrate for electronic device and method for processing same
JP5119606B2 (en) Semiconductor device and manufacturing method of semiconductor device
US20100117204A1 (en) Film forming method for a semiconductor
JP4843274B2 (en) Plasma deposition method
JP3472196B2 (en) Etching method and method of manufacturing semiconductor device using the same
JP4209253B2 (en) Method for forming fluorinated carbon film
KR20120092545A (en) Improving the adhesiveness of fluorocarbon(cfx) film by doping of amorphous carbon
EP2148361A1 (en) Dry etching apparatus and dry etching method
US7851351B2 (en) Manufacturing method for semiconductor devices with enhanced adhesivity and barrier properties
WO2009123049A1 (en) Method for depositing high stress thin film and method for fabricating semiconductor integrated circuit device
KR101384590B1 (en) Method for fabricating semiconductor device and apparatus for fabricating the same
JP2001035832A (en) Dry etching method
JP2005123406A (en) Plasma etching method
KR100733440B1 (en) Method of forming fluorinated carbon film
JP2006059848A (en) Method of removing resist and method of manufacturing semiconductor device
JP4986660B2 (en) Insulating film forming method and semiconductor device using the same
JP2011071510A (en) Film forming method, and semiconductor device
JP2008227307A (en) Formation method of insulating film and semiconductor device using the same
JP2008227308A (en) Formation method of insulating film and semiconductor device using the same
JP2008028330A (en) Manufacturing method for semiconductor device, and semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060803

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080822

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080909

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080922

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111003

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111003

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141003

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees