JP4172565B2 - Heater and method for manufacturing the heater - Google Patents

Heater and method for manufacturing the heater Download PDF

Info

Publication number
JP4172565B2
JP4172565B2 JP2000198339A JP2000198339A JP4172565B2 JP 4172565 B2 JP4172565 B2 JP 4172565B2 JP 2000198339 A JP2000198339 A JP 2000198339A JP 2000198339 A JP2000198339 A JP 2000198339A JP 4172565 B2 JP4172565 B2 JP 4172565B2
Authority
JP
Japan
Prior art keywords
carbon
heating element
quartz glass
wire heating
glass tube
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000198339A
Other languages
Japanese (ja)
Other versions
JP2002015849A (en
Inventor
紀彦 斎藤
富雄 金
智浩 永田
順 瀬古
秀幸 横山
政和 小林
孝規 斎藤
長栄 長内
敏幸 牧谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Coorstek KK
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Covalent Materials Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Covalent Materials Corp filed Critical Tokyo Electron Ltd
Priority to JP2000198339A priority Critical patent/JP4172565B2/en
Publication of JP2002015849A publication Critical patent/JP2002015849A/en
Application granted granted Critical
Publication of JP4172565B2 publication Critical patent/JP4172565B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明はヒータ及びこのヒータの製造方法に関し、より詳細には、カーボンワイヤー発熱体を石英ガラス管中に封入してなるヒータ及びこのヒータの製造方法に関する。
【0002】
【従来の技術】
半導体製造プロセスでは、酸化、拡散あるいはCVD処理等の各種熱処理工程において夫々の熱処理雰囲気中における厳密な温度管理が求められる。また、半導体製造プロセスの洗浄あるいは研磨等の工程において用いられる洗浄液、研磨液等についても、厳密な温度管理が求められる。このように、半導体製造プロセスにおいて、厳密な温度管理が求められることが多い。
また、前記熱処理工程での加熱手段、洗浄あるいは研磨等の工程での加熱手段は、不純物金属等の半導体性能を劣化させる物質の発生源とならないことも必須の要件とされ、このことより、従来から、例えば、タングステン等からなる発熱体の外側を石英ガラス管で覆った棒状、あるいは板状ヒータ等が多く用いられている。
【0003】
【発明が解決しようとする課題】
ところで、棒状、板状ヒータは、例えば、半導体製造プロセス分野等において使用した場合、タングステン等からなる発熱体を覆っている石英ガラス管が万一破損すると、雰囲気あるいは洗浄液、研磨液等を金属汚染することとなり、ひいてはウエハが汚染するという問題があった。また、前記石英ガラス管が破損しなくとも、前記発熱体から前記石英ガラス管を介して、金属汚染するという問題があった。
【0004】
本発明者等は、不純物金属汚染防止の観点から、特に、金属質発熱体に比べて、半導体製造用ヒータとして好適に使用することができるカーボンワイヤー発熱体を用いたヒータを、既に、特願平10ー254513号として提案した。
このカーボンワイヤー発熱体は、極細いカーボン繊維を束ねたカーボンファイバー束を複数束編み上げて作製したものであり、従来の金属発熱体に比べて、熱容量が小さく昇降温特性に優れ、また非酸化性雰囲気中では高温耐久性にも優れている。また、細いカーボン繊維の繊維束を複数本編んで作製されたものであるため、むくのカーボン材からなる発熱体に比べフレキシビリティに富み、半導体製造用ヒータとして種々の構造、形状に容易に加工できるという利点を有している。
【0005】
ところが、最近、特に半導体製造工業分野においては、半導体回路の大容量集積化のためウエハの大口径化傾向になり、加えてコスト削減のため歩留まり向上要求が強くなり、これらの要求に対応するため、従来より、更に一層厳密な温度調節管理が要求されるようになっている。そのため、処理炉等を所望の状態に加熱できるヒータの出現が望まれていた。
【0006】
本発明は上記技術的課題を解決するためになされたものであり、ヒータからの汚染物質拡散、特に、発熱体からの不純物金属等の汚染物質の拡散がなく、処理対象物の汚染が抑制されると共に、棒状のヒータにおいて発熱量がその長さ方向の部位によって異なるヒータ及びこのヒータの製造方法を提供することを目的とする。
【0007】
【課題を解決するための手段】
上記目的を達成するためになされた本発明にかかるヒータは、石英ガラス管内に配設された複数のカーボンワイヤー発熱体と、前記石英ガラス管の両端に設けられた封止端子部と、前記封止端子部に設けられた接続端子とからなるヒータにおいて、前記カーボンワイヤー発熱体が複数のカーボンファイバーにより形成されるカーボンワイヤーからなると共に、前記複数のカーボンワイヤー発熱体が並列に配設され、少なくとも一のカーボンワイヤー発熱体が、石英ガラス管の両端に設けられた封止端子部において、主として圧縮収容されたワイヤーカーボン部材を介して電気的に接続端子に接続されることにより、夫々の封止端子部の接続端子と電気的に接続され、一のカーボンワイヤー発熱体に対して、長さの異なる他のカーボンワイヤー発熱体が、前記少なくとも一のカーボンワイヤー発熱体に電気的に接続されていることを特徴としている。
【0008】
上記したように本発明にかかるヒータは、石英ガラス管内において複数のカーボンワイヤー発熱体が設けられ、少なくとも一のカーボンワイヤー発熱体が、石英ガラス管両端の夫々の封止端子部の接続端子と電気的に接続され、長さの異なる他のカーボンワイヤー発熱体が、前記少なくとも一のカーボンワイヤー発熱体に電気的に接続されている。
本発明にかかるヒータは、長さの異なる複数本のカーボンワイヤーをカーボンワイヤー発熱体とすることにより、該カーボンワイヤー発熱体の断面積の総和は長さ方向で異なることとなる。すなわち、カーボンワイヤー発熱体の断面積の総和の大きい領域にあっては発熱温度が低く、発熱体の断面積の総和の小さい領域にあっては、発熱温度が高くなる。
【0009】
したがって、カーボンワイヤー発熱体を長さの異なる複数本のカーボンワイヤーとすることによって、所定の発熱を行う領域の長さ(有効発熱長)を調節することができ、該ヒータを用いることによって、加熱対象域内を所望の状態に加熱できる。特に、他のカーボンワイヤー発熱体の長さ、あるいは形成する位置を変えることにより、封止端子部間の距離を変えることなく、所望のヒータの有効発熱長さ、位置を得ることができる。
【0010】
また、ヒータのカーボンワイヤー発熱体が、複数のカーボンファイバーで形成するカーボンワイヤーであるため、高純度化処理も容易でありヒータからの汚染物質拡散、特に、発熱体からの不純物金属等の汚染物質の拡散がなく、処理対象物の汚染が抑制される。また、前記封止端子部には圧縮収容されたワイヤーカーボン部材が配設され、かつ前記カーボンワイヤー発熱体が、主として封止端子部のワイヤーカーボン部材を介して電気的に接続端子に接続されているため、封止端子部において発熱を極力抑制しつつ、カーボンワイヤー発熱体に電力を供給することができる。
【0011】
なお、「前記の少なくとも一のカーボンワイヤー発熱体が、石英ガラス管の両端に設けられた封止端子部において、主として圧縮収容されたワイヤーカーボン部材を介して電気的に接続端子に接続されることにより、それぞれの封止端子部の接続端子と電気的に接続する」とは、石英ガラス管の両端に設けられた封止端子部において、圧縮収容されたワイヤーカーボン部材により圧縮固定されるカーボンワイヤー発熱体は、本ヒータの全体的発熱量を大きくすべく2本以上とすることもできることを意味し、また、ワイヤーカーボン部材によって圧縮固定されたカーボンワイヤー発熱体は、この固定位置が封止端子部の略中央部であった場合には、上記ワイヤーカーボン部材を介さず直接、接続端子に接する場合があることを意味する。
【0012】
ここで、前記長さの異なる他のカーボンワイヤー発熱体が、石英ガラス管の一端に設けられた封止端子部において圧縮収容されたワイヤーカーボン部材を介して電気的に接続端子に接続されると共に、他のカーボンワイヤー発熱体の少なくとも先端部において、前記少なくとも一のカーボンワイヤー発熱体と電気的に接続されていることが望ましい。
このように、前記長さの異なる他のカーボンワイヤー発熱体の先端部において前記少なくとも一のカーボンワイヤー発熱体と電気的に接続されているため、他のカーボンワイヤー発熱体の全長部分において発熱量を小さくでき、それを除く部分において発熱量を大きくすることができる。
【0013】
なお、他のカーボンワイヤー発熱体は、その全長において、前記少なくとも一のカーボンワイヤー発熱体と接触するように並列配置されていることが好ましい。これによって、石英ガラス管内でのより安定したカーボンワイヤー発熱体の固定が可能となり、電気的にも良好な接続とすることができる。
【0014】
また、前記他のカーボンワイヤー発熱体が、石英ガラス管内にU字状に折返されて収容され、封止端子部間において前記少なくとも一のカーボンワイヤー発熱体と電気的に接続されていても良い。
この場合、他のカーボンワイヤー発熱体が位置する部分の発熱量を小さく、それを除く部分の発熱量を大きくすることができる。
【0015】
更に、前記封止端子部が、カーボンワイヤー発熱体が配設された石英ガラス管より大径の石英ガラス管によって形成され、該石英ガラス管の端部に前記接続端子が設けられていることが望ましい。
このように、封止端子部が、カーボンワイヤー発熱体が配設された石英ガラス管より大径の石英ガラス管によって形成さているため、より多くのワイヤーカーボン部材を封止端子部に収容することができ、その結果、封止端子部における発熱を極力抑制することができ、封止端子部の熱による破損を防止することができる。
【0016】
また、前記カーボンワイヤー発熱体が、石英ガラス管の端部に挿入される束ねパイプ内にワイヤーカーボン部材によって圧縮固定されていることが望ましい。このように、石英ガラス管の端部に挿入される束ねパイプ内に、カーボンワイヤー発熱体がワイヤーカーボン部材によって圧縮固定されるため、前記圧縮固定が簡易であり、より確実な、信頼性のあるカーボンワイヤー発熱体の圧縮固定が可能となる。
【0017】
特に、束ねパイプを用いない場合、両封止端子部の内径が同一のため、前記他のカーボンワイヤー発熱体及び前記少なくとも一のカーボンワイヤー発熱体が圧縮固定される封止端子部と、前記少なくとも一のカーボンワイヤー発熱体のみが圧縮固定される封止端子部とでは、同等の圧縮固定状態にするとワイヤーカーボン部材の本数が異なる。その結果、両封止端子部における発熱量が異なる。
しかし、前記した両端各々の束ねパイプにおいて内径が異なるものを用いることによって、両封止端子部におけるワイヤーカーボン部材の量を調節することにより、発熱量を同一とすることができる。
【0018】
即ち、他のカーボンワイヤー発熱体及び前記少なくとも一のカーボンワイヤー発熱体が圧縮固定される封止端子部には、内径の小さな束ねパイプを用い、ワイヤーカーボン部材の本数を少なくする。一方、前記少なくとも一のカーボンワイヤー発熱体のみが圧縮固定される封止端子部には、内径の大きな束ねパイプを用い、ワイヤーカーボン部材の本数を多くする。これにより、両封止端子部における発熱量を略同一とすることができる。
前記したように束ねパイプを用いることによって、封止端子部の内外径を変えることなく、ワイヤーカーボン部材の本数を変えることができる。
【0019】
また、前記束ねパイプの内径が、石英ガラス管端部への挿入側方向にゆくにしたがって、徐々に小さくなることが望ましい。
このように、束ねパイプの内径が、石英ガラス管端部への挿入側方向にゆくにしたがって、徐々に小さくなるように形成されているため、カーボンワイヤー発熱体が圧縮固定される封止端子部に接続端子を挿入した際に、束ねパイプからワイヤーカーボン部材及びカーボンワイヤー発熱体が押し出されることがなく、安定した電気的接続を確保することができる。
【0020】
また、前記ワイヤーカーボン部材の挿入側が、U字状に折返されて封止端子部に圧縮収容されていることが望ましい。
このように、ワイヤーカーボン部材の挿入側がU字状の線材であるため、封止端子部に収容後、ワイヤーカーボン部材の挿入側端部は、外側に拡がろうとする。その結果、カーボンワイヤー発熱体はより強固に圧縮固定でき、接続端子との安定した電気的接続を確保することができる。
【0021】
また、前記封止端子部に圧縮封入されるワイヤーカーボン部材の挿入側がU字状をなしているため、ワイヤーカーボン部材からのファイバー屑が、石英ガラス管の内部(発熱部)に入り込むことを抑制できる。即ち、ワイヤーカーボン部材の挿入側が閉じていない場合には、ワイヤーカーボン部材のファイバー屑が石英ガラス管の内部(発熱部)に入り込み、その結果、発熱にムラが生じる可能性が高くなる。
【0022】
また、前記長さの異なる他のカーボンワイヤー発熱体が2本以上のカーボンワイヤー発熱体からなり、長さの異なるカーボンワイヤー発熱体のうち最短のカーボンワイヤー発熱体を除いたカーボンワイヤー発熱体の先端部分と、前記少なくとも一のカーボンワイヤー発熱体とを保持する保持リングを石英ガラス管内に配設することが望ましい。
【0023】
長さの異なる他のカーボンワイヤー発熱体が2本以上のカーボンワイヤー発熱体からなる場合、長さの異なるカーボンワイヤー発熱体のうち最短のカーボンワイヤー発熱体は、石英ガラス管の内径をカーボンワイヤー発熱体の総数により調整することで、その先端部分を安定に固定できる。これに対し、これ以外の長さの異なる他のカーボンワイヤー発熱体の夫々の先端部は石英ガラス管の途中に位置する場合、石英ガラス管の内部において不安定な状態に置かれる。
前記保持リングは、他のカーボンワイヤー発熱体の不安定な状態を回避し、石英カラス管に固定するものである。
【0024】
また、前記封止端子部に圧縮収容されたワイヤーカーボン部材の総本数が、前記封止端子部におけるカーボンワイヤー発熱体の総本数の5倍以上あることが望ましい。
このように、封止端子部に圧縮収容されたワイヤーカーボン部材の総本数が、前記封止端子部におけるカーボンワイヤー発熱体の総本数の5倍以上ある場合には、封止端子部における発熱が少なく、封止端子部の熱による破損を防止することができる。
【0025】
なお、ここでいうワイヤーカーボン部材の総本数とは、ワイヤーカーボン部材の挿入側がU字状をなして、圧縮固定されている場合には、実際に用いた本数の2倍を意味する。即ち、断面上の本数がカーボンワイヤー発熱体の総本数の5倍以上実質的には6本以上あることを意味する。
【0026】
上記目的を達成するためになされた本発明にかかるヒータの製造方法は、石英ガラス管の両端部に前記石英ガラス管より大径の石英ガラス管を溶着することによって大径部を形成する工程と、前記石英ガラス管の両端部に設けられた大径部間に、少なくとも一のカーボンワイヤー発熱体を渡すと共に、長さの異なる他のカーボンワイヤー発熱体を石英ガラス管内に収容する工程と、前記大径部にワイヤーカーボン部材を圧縮収容する工程と、前記大径部の開放端部から封止端子の接続端子を内部に挿入し、前記少なくとも一のカーボンワイヤー発熱体と接続端子とを電気的に接続する工程と、不活性ガスを導入しながら、大径部の開放端部と封止端子の端部とを溶着する工程と、前記不活性ガス導入口から石英ガラス管内部を脱気し、前記不活性ガス導入口を加熱し封着する工程とを含むことを特徴としている。
このような製造方法によれば、前記大径部からなる封止端子部における複数のワイヤーカーボン部材によるカーボンワイヤー発熱体の固定をより強固なものとすることができ、かつ本発明にかかるヒータを容易に製造することができる。
【0027】
また、上記目的を達成するためになされた本発明にかかるヒータの製造方法は、石英ガラス管の両端部に前記石英ガラス管より大径の石英ガラス管を溶着することによって大径部を形成する工程と、前記大径部が形成された石英ガラス管の大径部が形成されていない端部に保持リングを挿入し、配設する工程と、前記保持リングが形成された石英ガラス管の大径部が形成されていない端部と、前記保持リングが形成されていない石英ガラス管の大径部が形成されていない端部とを溶着し、両者を一体化する工程と、前記石英ガラス管の両端部に設けられた大径部間に、少なくとも一のカーボンワイヤー発熱体を渡すと共に、前記少なくとも一のカーボンワイヤー発熱体及び長さの異なる他のカーボンワイヤー発熱体の先端部とを保持リングが保持するように、前記少なくとも一のカーボンワイヤー発熱体及び長さの異なる他のカーボンワイヤー発熱体を石英ガラス管内に収容する工程と、前記大径部にワイヤーカーボン部材を圧縮収容する工程と、前記大径部の開放端部から、封止端子の接続端子を内部に挿入する工程と、不活性ガスを導入しながら、大径部の開放端部と封止端子端部とを溶着する工程と、前記不活性ガス導入口から石英ガラス管内部を脱気し、前記不活性ガス導入口を加熱し封着する工程を含むことを特徴としている。
このような製造方法により、保持リングを備えた本発明にかかるヒータを容易に製造することができる。
【0028】
ここで、前記大径部にワイヤーカーボン部材を圧縮収容する工程が、束ねパイプに前記カーボンワイヤー発熱体を挿通させると共に、ワイヤーカーボン部材の挿入側がU字状の状態で圧縮収容し、該束ねパイプを大径部に挿入することによって行われることが望ましい。
【0029】
【発明の実施の形態】
以下に、本発明を図面を参照して更に詳細に説明する。
図1は、本発明にかかるヒータの一実施形態を示す断面図であり、図2は、他の実施形態を示す断面図である。なお、図1及び図2に示したヒータは、共にヒータの長さ方向に温度勾配を付けた加熱に適する態様に形成されたヒータである。また、図3は本発明のヒータで用いられるカーボンワイヤー発熱体の一例を示す平面図であり、図4は本発明のヒータの封止端子部の内部構造を示す断面図で、(a)は縦断面図、(b)は横断面図である。
【0030】
図1、図2に示されているように本発明のヒータ1は、カーボンワイヤー発熱体2(2a、2b、2c)と、前記カーボンワイヤー発熱体2を内部に収納する石英ガラス製の直管(石英ガラス管)3と、直管3の両端部に形成された大径部3a、3bと前記石英ガラス直管3の両端部の大径部3a、3bに溶着された石英ガラス製の封止端子6a、6bとからなる封止端子部7a、7bと、前記封止端子部7a、7b内に圧縮収容されたワイヤーカーボン部材4a、4bと、前記封止端子部7a、7bに設けられ、前記カーボンワイヤー発熱体2と電気的に接続する導電性金属からなる接続端子5a、5bとから構成されている。
【0031】
前記カーボンワイヤー発熱体2は、図1、図2いずれのヒータにおいても、1本のカーボンワイヤー発熱体2aは、封止端子部7a、7b間に配設されている。また、図1のヒータでは他のカーボンワイヤー発熱体2bが封止端子部7aから石英ガラス製の直管3の略中間部分まで配設されている。
【0032】
また、図2のヒータでは、他のカーボンワイヤー発熱体2bが封止端子部7aから封止端子部7a、7b間の略2/3の部分まで配設され、更にカーボンワイヤー発熱体2cが封止端子部7aから封止端子部7a、7b間の略1/3の部分まで配設されている。このとき、他のカーボンワイヤー発熱体2bの長さが長いため、石英ガラス管3の内部で不安定な状態にあり、移動する虞がある。かかる他のカーボンワイヤー発熱体2bの不安定な状態を回避し、石英カラス管3に固定するため、保持リング8が設けられている。
【0033】
なお、図1、2においてカーボンワイヤー発熱体2a、2b、2cは、図上、離れて個別に記載されているが、実際はそれぞれが接触している。このとき少なくとも、カーボンワイヤー発熱体2b、2cの先端部2b−e、2c−eはカーボンワイヤー発熱体2aと接触し、また封止端子部において、カーボンワイヤー発熱体2b、2cはカーボンワイヤー発熱体2aとワイヤーカーボン材を介して電気的に接続されている。
【0034】
このように、カーボンワイヤー発熱体2aのほか、長さの異なるカーボンワイヤー発熱体2b、2cを発熱体とすることによって、該カーボンワイヤー発熱体2の断面積(カーボンワイヤー発熱体の断面積の総和)は長さ方向で異なることとなる。すなわち、カーボンワイヤー発熱体の断面積(カーボンワイヤー発熱体の断面積の総和)の大きい領域にあっては抵抗が小さいため、発熱温度が低くなる。これに対して、発熱体の断面積(カーボンワイヤー発熱体の断面積の総和)の小さい領域にあっては、抵抗が大きいため、発熱温度が高くなる。
したがって、長さの異なるカーボンワイヤー発熱体を適宜配設することにより、所定の発熱を行う領域の長さ(有効発熱長)を任意に調整することができる。また、ヒータの長さ方向において、発熱温度の温度勾配を形成することができる。
【0035】
図1に基づいて、更に具体的に述べる。まず、カーボンワイヤー発熱体1本からなるヒータの発熱温度が1000℃になる電圧を求め、これと同じ電圧値を、図1のヒータに印加する。
このとき、カーボンワイヤー発熱体2の断面積(カーボンワイヤー発熱体2a、2bの断面積の和)は、長さ方向で異なる。そのため、カーボンワイヤー発熱体2の断面積の大きい領域(カーボンワイヤー発熱体2bが配設された領域)にあっては、他の領域(カーボンワイヤー発熱体2aのみが配設された領域)に比べて抵抗が小さくなるため、発熱温度が500℃〜700℃と低くなる。
一方、カーボンワイヤー発熱体2の断面積の小さい領域(カーボンワイヤー発熱体2aのみが配設された領域)にあっては、カーボンワイヤー発熱体1本からなるヒータの抵抗と同じため、カーボンワイヤー発熱体2bが配設された領域の発熱温度よりも高温の1000℃となる。
【0036】
このように、発熱温度が1000℃の領域の長さは、カーボンワイヤー発熱体2bの長さによって決定され、カーボンワイヤー発熱体2bを変えることによって、調節することができる。したがって、前記封止端子部6a、6bの端子間距離を変えることなくヒータ発熱有効長を任意に調整することができる。また、ヒータの長手方向に温度勾配を形成することができる。
【0037】
また、同様に前記電圧を、図2のヒータに印加した場合、図2のヒータにおけるカーボンワイヤー発熱体2aのみが配設された領域の発熱温度は1000℃となり、カーボンワイヤー発熱体2a、2bが配設された領域の発熱温度は500℃〜700℃となり、カーボンワイヤー発熱体2a、2b、2cが配設された領域の発熱温度は300℃〜500℃となる。
このように、ヒータの長手方向の発熱温度を適宜変化させることができ、ヒータの発熱温度に、いわゆる温度勾配を付与することができる。
【0038】
前記カーボンワイヤー発熱体2としては、図3に示すような複数本のカーボンファイバーを束ねたファイバー束を複数束用いてワイヤー状に編み込んだもの等が用いられる。
このカーボンワイヤー発熱体2は石英ガラス製の直管3の内部を挿通させ、図4(a)に示すように、前記直管3の大径部3a、3bの端部、あるいは端部近傍まで延設される。
【0039】
また、図1、図2のヒータの場合、前記封止端子6a、6bの石英ガラス管の口径は、前記石英ガラス製の直管3の両端部に形成された大径部3a、3bと略同径に(石英ガラス製の直管3の口径に比べて大きく)形成されている。また、封止端子6a、6bと前記大径部3a、3bとは、溶着等の手段により、一体化される。
更に、前記大径部3a、3bには、図4に示すような複数本のワイヤーカーボン部材4a、4bが夫々管内に圧縮収納されている。
そのため、図4(a)、(b)に示すように、前記カーボンワイヤー発熱体2は、圧縮収納されたワイヤーカーボン部材4a,4bの中に圧縮された状態で埋設される。
【0040】
なお、図4(a)、(b)に示すように、前記ワイヤーカーボン部材4a、4b及びカーボンワイヤー発熱体2は、石英ガラス製の大径部3a、3bの軸線方向と略平行に、圧縮された状態で収容されている。この場合、図示するようにカーボンワイヤー発熱体2では、前記大径部3a、3b内において、接続端子5a、5bと同様に、ほぼ中心位置に配置することが好ましい。これらが、外周側に位置すると、この大径部での異常発熱につながるおそれがあるからである。また、図4においては、便宜上、カーボンワイヤー発熱体2が1本の場合を示している。
【0041】
前記カーボンワイヤー発熱体2の具体例としては、直径5乃至15μmのカーボン単繊維ファイバー、例えば、直径7μmのカーボン単繊維ファイバーを約3000乃至3500本程度束ねたファイバー束を9束程度用いて直径約2mmの編紐、あるいは組紐形状に編み込んだ等のカーボンワイヤー発熱体が用いられる。
前記の場合において、ワイヤーの編み込みスパンは2乃至5mm程度である。なお、前記カーボンワイヤー発熱体には、例えば図3の符号21に示すような、カーボン単繊維が切断されたものの一部が、カーボンワイヤー発熱体の外周面から突出した態様の毛羽立ちが形成されていることが好ましい。
【0042】
即ち、この態様のカーボンワイヤー発熱体2では、石英ガラス直管3の内部において、前記毛羽立ち21のみが石英ガラス直管3の内側壁と接触し、カーボンワイヤー発熱体2の本体は接触しないように構成することができる。
そのようにすることによって、石英ガラス(SiO2 )とカーボンワイヤー発熱体2の炭素(C)との高温での反応が極力抑えられ、石英ガラスの劣化、カーボンワイヤー発熱体の耐久性の低下が抑制される。
【0043】
なお、カーボン単繊維ファイバーによる表面の毛羽立ちは0.5乃至2.5mm程度が好ましい。
本発明では、前記特有のカーボンワイヤー発熱体を用いることが、本ヒータの長さ方向において、特に該発熱体の本数を変え、結果該発熱体の断面積の異なる領域を形成したヒータを得るために有効である。何故ならば、特に上記毛羽立ち構造によって、異なる長さのカーボンワイヤー発熱体の機械的あるいは電気的接合状態をより安定なものとすることができるからである。
また、上記のような表面毛羽立ちを有するカーボンワイヤー発熱体を使用した構成とするためには、前記カーボンワイヤー発熱体の直径及び本数に対し、上記石英ガラス直管の口径(内径)を適宜選定すれば良い。
【0044】
また、該カーボンワイヤー発熱体の酸化消耗をできる限り抑制し、加えて石英ガラスの失透を防止する観点から、更には、発熱性状の均質性、耐久安定性等の観点から、及びダスト発生回避上の観点から、前記カーボン単繊維は、できる限り高純度であることが好ましく、特に、ヒータ1が、半導体製造プロセスにおけるウエハ等の熱処理用ヒータに用いられるものである場合には、カーボンファイバー中に含まれる不純物量が灰分(日本工業規格JIS R 7223−1979)として10ppm以下であることが好ましい。
より好ましくは、カーボンファイバー中に含まれる不純物量が灰分として3ppm以下である。
【0045】
次に、ワイヤーカーボン部材の具体例について説明する、この大径部3a、3bの石英ガラス管内に圧縮収容されるワイヤーカーボン部材は、前記したカーボンワイヤー発熱体と同様な、直径5乃至15μmのカーボン単繊維ファイバー、例えば、直径7μmのカーボン単繊維ファイバーを約3000乃至3500本程度束ねたファイバー束を9束程度用いて直径約2mmの編紐、あるいは組紐形状に編み込んだ等のカーボンワイヤー発熱体を複数本用いることがより好ましい。この場合において、ワイヤーの編み込みスパンは2乃至5mm程度である。
なお、カーボン単繊維ファイバーによる表面の毛羽立ちは0.5乃至2.5mm程度が好ましい。
【0046】
前記ワイヤーカーボン部材は、カーボンワイヤー発熱体と同一もしくは、少なくともカーボン単繊維ファイバーを束ねたファイバー束を複数編み上げてなる編紐あるいは組紐形状である点において同等の構成材料からなるのが好ましい。
なお、同一の構成材料とは、カーボンファイバー直径、カーボンファイバーの束ねた本数、ファイバー束を束ねる束数、編み込み方、編み込みスパン長さ、毛羽立ち長さ、材質が同一であることが好ましい。
【0047】
なお、カーボンワイヤー発熱体の場合と同様に、ヒータが半導体製造プロセスにおけるウエハ等の熱処理用に用いられるものである場合には、ワイヤーカーボン部材のカーボンファイバー中に含まれる不純物量が灰分として10ppm以下であることが好ましい。
より好ましくは、カーボンファイバー中に含まれる不純物量が灰分として3ppm以下である。
【0048】
そして、石英ガラス直管の両端部に形成された大径部に収容されるワイヤーカーボン部材の本数は、カーボンワイヤー発熱体の本数以上が収容されるのが良い。
カーボンワイヤー発熱体の本数の5倍以上の本数が、ワイヤーカーボン部材として収容されているのがより好ましい。
例えば、カーボンワイヤー発熱体の本数を1とした時、ワイヤーカーボン部材は14、あるいはカーボンワイヤー発熱体1に対しワイヤーカーボン部材12等、5倍以上の本数がワイヤーカーボン部材として用いられるのが好ましい。
【0049】
前記のように、カーボンワイヤー発熱体及びワイヤーカーボン部材として例示した、直径7μmのカーボンファイバーを約3000乃至3500本程度束ねたファイバー束を9束程度用いて直径約2mmの編紐、あるいは組紐形状に編み込んだ等のカーボンワイヤー発熱体の電気抵抗は、室温で略10Ω/1m・1本、1000℃で5Ω/1m・1本程度である。
また、前記カーボンワイヤー発熱体を10本束ねたときの電気抵抗は、室温で略1Ω/1m・1本、1000℃で0.5Ω/1m・1本である。
【0050】
従って、ワイヤーカーボン部材として、大径部3a、3bの石英ガラス管に前記カーボンワイヤー発熱体が10本、圧縮収容されている場合には、前記したように室温で略1Ω/1m・1本、1000℃で0.5Ω/1m・1本となり、電気抵抗が1/10(1/本数)となり、低下する。
その結果、ワイヤーカーボン部材による発熱を、カーボンワイヤー発熱体の発熱に比べ、極端に低下させることができる。
【0051】
また、カーボンワイヤー発熱体2と接続端子5a、5bとの間にワイヤーカーボン部材が介在するために、カーボンワイヤー発熱体の高熱が接続端子に極力伝わらないようにすることができ、通常の金属製の接続端子の使用を可能とすると共に端子の高温劣化や変形を防止することができ、また封止端子6a、6b、あるいは封止端子6a、6bと大径部3a、3bとの接続部が熱によって破損するのを防止することができる。
【0052】
なお、カーボンワイヤー発熱体の場合と同様に、石英ガラス(SiO2 )とワイヤーカーボン部材の炭素(C)との高温での反応が極力抑えられ、石英ガラスの劣化、カーボンワイヤー発熱体の耐久性の低下が抑制される。
このように大径部内に収容されるワイヤーカーボン部材の本数がカーボンワイヤー発熱体を構成する本数より多く収容されるのが好ましいことから、該大径部3a、3bの石英ガラス管の口径は、直管3の口径より大きく形成されることがより好ましい。
【0053】
また、前記大径部3a、3bの封止端子部のワイヤーカーボン部材内に、その一端部が挿入され、他端部が封止端子部から突出した接続端子5a、5bは、通常モリブデン(Mo)、タングステン(W)等の金属棒からなり、その直径は通常1乃至3mm程度に形成される。
また、前記石英ガラス製の直管3(大径部3a、3b)は、その両端部に前記封止端子6a、6bが設けられ、封止密閉される。そして、封止された石英ガラス製の直管3及び封止端子6a、6b(封止端子部7a、7b)の内部は、カーボンワイヤー発熱体等の酸化防止のため、例えば、窒素ガス、アルゴンガス、ヘリウムガス等の不活性ガスに置換されるか、あるいは100torr以下程度の減圧状態に維持される。
【0054】
次に図5、図6に基づいて本発明にかかる製造方法について説明する。
(1)まず、石英ガラス管3の両端部に前記石英ガラス管より大径の石英ガラス管を溶着することによって大径部3a、3bを形成する。
このとき、図5(a)に示すように円板状のフランジ部9を石英ガラス管3の両端部に溶着する。続いて、図5(b)に示すようにガス導入口10aが予め設けられた円筒状の大径部本体10を石英ガラス管の両端部に溶着されたフランジ部9に溶着し、大径部3a、3bを形成する。
(2)前記石英ガラス管3の両端部に設けられた大径部3a、3b間に、カーボンワイヤー発熱体2aを渡すと共に、カーボンワイヤー発熱体2bを石英ガラス管内に収容する(図5(c))。なお、カーボンワイヤー発熱体2bは石英ガラス管3の略中間点まで位置する。
【0055】
(3)次に、束ねパイプ11に前記カーボンワイヤー発熱体2a、2bを挿通させると共に、ワイヤーカーボン部材4a(4b)の挿入側がU字状の状態で該束ねパイプ11内部に収容する。
このとき、図5(d)に示すように、導入糸12をワイヤーカーボン部材挿入側のU字状部に通し、該導入糸12を引くことにより、束ねパイプ11にワイヤーカーボン部材4a、4bを圧縮収容することができる。これにより、カーボンワイヤー発熱体は強固に固定される。
(4)そして、ワイヤーカーボン部材4a(4b)及びカーボンワイヤー発熱体2a、(2b)が圧縮封入された束ねパイプ11を大径部3a(3b)内部に挿入する。そしてその端部からはみ出したワイヤーカーボン部材及びカーボンワイヤー発熱体を切断する(図6(a))。
【0056】
(5)切断した後、封止端子6a(6b)の接続端子5a(5b)を内部に挿入し、前記カーボンワイヤー発熱体2aと接続端子とを電気的に接続する(図6(b))。
ここで、封止端子の構造について、図7に基づいて説明する。封止端子6a、6bを構成するガラス管は、即ち、石英ガラス管の大径部3a、3bに溶着されるガラス管は、石英ガラス管の大径部3a、3bに溶着される側から石英ガラス部13a、グレイデッド(Graded)シール部13b、タングステン(W)ガラス部13cによって構成されている。そして、タングステン(W)からなる接続端子は、タングステン(W)ガラス部のピンチシール部13dでピンチシールされる。
【0057】
即ち、ピンチシール部を接続端子を構成するタングステン(W)の熱膨張係数に近いタングステン(W)ガラスで形成すると共に、石英ガラス管の大径部に溶着される側を石英ガラスで構成されている。その結果、接続端子の高温時熱膨張に伴うガラス部(ピンチシール部)の破損を防止することができる。
【0058】
(6)次に、ガス導入口10aから不活性ガス、例えば窒素ガスを導入しながら、大径部と封止端子とを溶着し、封止端子部7a、7bを形成する(図6(c))。
なお、上記窒素ガスは、石英ガラス管の大径部3a(3b)と束ねパイプ11の間隙を通って、前記大径部まで導入され、加熱によるカーボンワイヤー発熱体及びワイヤーカーボン部材の劣化を防止する。
(7)前記ガス導入口10aから石英ガラス管内部を、1torrもしくは2torr以下に減圧した後、ガス導入口を酸水素バーナーで加熱し封じることによってヒータとして完成する(図6(d))。
上記製造方法により、本発明にかかるヒータを容易に製造することができる。
【0059】
なお、上記製造方法においては束ねパイプを用いているが、この束ねパイプの内径が、図8(a)乃至(c)に示すように、石英ガラス管端部への挿入側方向にゆくにしたがって、徐々に小さくなることが望ましい。
このように、束ねパイプの内径が、石英ガラス管端部への挿入側方向にゆくにしたがって、徐々に小さくなるように形成されていると、カーボンワイヤー発熱体が圧縮固定される大径部に接続端子を挿入した際に、束ねパイプからワイヤーカーボン部材あるいはカーボンワイヤー発熱体が押し出されることがなく、安定した電気的接続を確保することができる。
また、この束ねパイプの外径が、図8(a)、(b)に示すように、石英ガラス管端部への挿入側方向にゆくにしたがって、徐々に小さくなっていると、大径部に挿入しやすく、また、前記した大径部までの窒素ガスの導入が容易となり、より好ましい。
【0060】
また、上記製造方法においては束ねパイプを用いているが、図9に示すように、カーボンワイヤー発熱体2a、2bを石英ガラス管3に挿通させると共に、ワイヤーカーボン部材4a(4b)の挿入側がU字状の状態で石英ガラス管の大径部3a(3b)に収容しても良い。このとき、図5(d)の場合と同様に、導入糸12をワイヤーカーボン部材4a、4b挿入側のU字状部に通し、該導入糸12を引くことにより、大径部にワイヤーカーボン部材を圧縮収容するのが良い(図9)。
【0061】
更に、上記製造方法において、石英ガラス管3の内径をカーボンワイヤー発熱体2a、2bの直径の総和以上にするのが好ましい。図10に示すように、2本のカーボンワイヤー発熱体2a、2bが石英ガラス管3に収容され、これらのカーボンワイヤー発熱体の直径が2mmである場合、石英ガラス管の内径は3.5mm〜4.0mmとすることが、カーボンワイヤー発熱体を安定して配置することができる。
【0062】
次に、保持リング8を石英ガラス管3内に固定配置したヒータの製造方法について図11に基づいて説明する。なお、前記した製造方法と同一の部分は、その詳細な説明は省略する。
(1)まず、石英ガラス管3の一端部に前記石英ガラス管より大径の石英ガラス管を溶着することによって大径部3bを形成する。同様に、他の石英ガラス管の一端部に大径部3aを形成する。
(2)封止端子部が形成されていない端部に保持リング8を挿入し、溶着により固定配置する(図11(a))。そして、前記保持リング11が形成された石英ガラス管と保持リング11が形成された石英ガラス管の、封止端子部が形成されていない端部同士を溶着し、両者を一体化する(図11(b)(c))。
なお、保持リング8は、図11(b)に示すように石英ガラス管3の端部より突出するように配置することが好ましい。これによって、前記端部同士の溶着、一体化をより強固とすることができる。
【0063】
(3)前記石英ガラス管の両端部に設けられた大径部3a、3b間に、カーボンワイヤー発熱体2aを渡すと共に、カーボンワイヤー発熱体2a及びカーボンワイヤー発熱体2bの先端部を保持リング11が保持するように石英ガラス管内に収容する。そして、大径部3a、3bにワイヤーカーボン部材4a、4bを圧縮収容する(図11(d))。
(4)図示しないが、前記した製造方法と同様に、前記大径部3a、3bの開放端部から、封止端子の接続端子5a、5bを内部に挿入し、不活性ガスを導入しながら、大径部の開放端部と封止端子端部とを溶着する。
その後、ガス導入口10aから石英ガラス管3内部を脱気し、前記ガス導入口10aを酸水素バーナで加熱し封着することによって、封止端子部7a、7bを形成すると共にヒータとして完成する。
このような製造方法により、保持リングを備えた本発明にかかるヒータを容易に製造することができる。
【0064】
なお、この製造方法においても、前記した束ねパイプに前記カーボンワイヤー発熱体を挿通させると共に、ワイヤーカーボン部材の挿入側がU字状の状態で圧縮収容し、該束ねパイプを大径部に挿入することによって行われることが望ましい。
【0065】
なお、上記実施形態にあっては、ヒータとして、カーボンワイヤー発熱体が1本、2本、3本のものを示したが、特にこの本数に限定されるものではなく、その本数は必要に応じて適宜変更することができる。
また、図12(b)に示すように、カーボンワイヤー発熱体2bが、石英ガラス管内にU字状に折返されて収容され、封止端子部間において封止端子に接続されたカーボンワイヤー発熱体2aと電気的に接続されていても良い。この場合、カーボンワイヤー発熱体2bが位置する部分の発熱量が小さく、それを除く部分が発熱量を大きすることができる。
石英ガラス管3内にU字状に折返してカーボンワイヤー発熱体2bを収容するには、図12(a)に示すように導入糸12をU字状のカーボンワイヤー発熱体に通し、石英ガラス管3内に引き込むことによってなすことができる。
【0066】
【発明の効果】
上述した通り、本発明にかかるヒータによれば、封止端子間距離を変えることなく、有効発熱長さを変えることができ、加熱対象域内を所望の状態に加熱できる。また、ヒータからの汚染物質拡散、特に、カーボンワイヤー発熱体からの不純物金属等の汚染物質の拡散がなく、処理対象物の汚染が抑制される。
また、本発明にかかるヒータの製造方法によれば、容易に前記ヒータを製造することができる。
【図面の簡単な説明】
【図1】図1は、本発明にかかるヒータの一実施形態を示す断面図である。
【図2】図2は、本発明にかかるヒータの他の実施形態を示す断面図である。
【図3】図3は、本発明のヒータで用いられるカーボンワイヤー発熱体の一例を示す平面図である。
【図4】図4は、本発明のヒータの封止端子部の内部構造を示す断面図であって、(a)は縦断面図、(b)は横断面図である。
【図5】図5は、本発明にかかるヒータの製造方法の各工程を示す概略図である。
【図6】図6は、図5に示された本発明にかかるヒータの製造方法の工程に続く工程を示す概略図である。
【図7】図7は、封止端子を示す斜視図である。
【図8】図8は、束ねパイプの変形例を示す側面である。
【図9】図9は、束ねパイプを用いない場合の製造方法を示す概略図である。
【図10】図10は、石英ガラス管の内径とカーボン発熱体の直径の関係を示した断面図である。
【図11】図11は、保持リングを設けたヒータの製造方法の工程を示す概略図である。
【図12】図12は、U字状に折り返されたカーボン発熱体が石英ガラス管内部に収容されたヒータ及びその製造方法を説明するための断面図である。
【符号の説明】
1 ヒータ
2、2a、2b、2c カーボンワイヤー発熱体
3 石英ガラス製の直管
3a、3b 大径部
4a、4b ワイヤーカーボン部材
5a、5b 接続端子
6a、6b 封止端子
7a、7b 封止端子部
8 保持リング
9 フランジ部
10 大径部本体
10a (不活性)ガス導入口
11 束ねパイプ
12 導入糸
21 毛羽立ち
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a heater and a method for manufacturing the heater, and more particularly to a heater in which a carbon wire heating element is enclosed in a quartz glass tube and a method for manufacturing the heater.
[0002]
[Prior art]
In a semiconductor manufacturing process, strict temperature control in each heat treatment atmosphere is required in various heat treatment steps such as oxidation, diffusion, or CVD treatment. In addition, strict temperature control is also required for cleaning liquids, polishing liquids, and the like used in processes such as cleaning or polishing in the semiconductor manufacturing process. Thus, strict temperature control is often required in the semiconductor manufacturing process.
In addition, the heating means in the heat treatment step, the heating means in the cleaning or polishing step, and the like are essential requirements not to be a source of substances that degrade semiconductor performance such as impurity metals. Therefore, for example, a rod-like or plate-like heater in which the outside of a heating element made of tungsten or the like is covered with a quartz glass tube is often used.
[0003]
[Problems to be solved by the invention]
By the way, if the rod-like or plate-like heater is used in, for example, the field of semiconductor manufacturing process, the quartz glass tube covering the heating element made of tungsten or the like should be damaged, the atmosphere or cleaning liquid, polishing liquid, etc. are contaminated with metal. As a result, there is a problem that the wafer is contaminated. Further, even if the quartz glass tube is not damaged, there is a problem that metal is contaminated from the heating element through the quartz glass tube.
[0004]
From the viewpoint of preventing impurity metal contamination, the present inventors have already applied for a heater using a carbon wire heating element that can be suitably used as a semiconductor manufacturing heater, compared to a metallic heating element. Proposed as Hei 10-254513.
This carbon wire heating element is made by knitting a plurality of carbon fiber bundles made by bundling ultra-thin carbon fibers. Compared to conventional metal heating elements, this carbon wire heating element has a smaller heat capacity and superior temperature rise / fall characteristics, and is non-oxidizing. Excellent durability at high temperatures in the atmosphere. In addition, because it is made by knitting a plurality of thin carbon fiber bundles, it is more flexible than a heating element made of a solid carbon material and can be easily processed into various structures and shapes as a heater for semiconductor manufacturing. Has the advantage.
[0005]
However, recently, particularly in the field of semiconductor manufacturing industry, due to the large capacity integration of semiconductor circuits, the diameter of wafers has been increasing, and in addition, the demand for yield improvement has become stronger for cost reduction, in order to meet these demands. Conventionally, more strict temperature control management is required. Therefore, the appearance of a heater that can heat the processing furnace or the like to a desired state has been desired.
[0006]
The present invention has been made in order to solve the above technical problem, and there is no diffusion of contaminants from the heater, in particular, there is no diffusion of contaminants such as impurity metals from the heating element, and contamination of the processing object is suppressed. In addition, an object of the present invention is to provide a heater in which the amount of heat generated in a rod-shaped heater varies depending on the portion in the length direction, and a method for manufacturing the heater.
[0007]
[Means for Solving the Problems]
The heater according to the present invention made to achieve the above object includes a plurality of carbon wire heating elements arranged in a quartz glass tube, sealing terminal portions provided at both ends of the quartz glass tube, and the sealing. In the heater comprising the connection terminal provided in the stop terminal portion, the carbon wire heating element is made of a carbon wire formed by a plurality of carbon fibers, and the plurality of carbon wire heating elements are arranged in parallel, and at least Each carbon wire heating element is electrically connected to the connection terminal via a wire carbon member compressed and accommodated in the sealing terminal portions provided at both ends of the quartz glass tube, thereby sealing each of them. Another carbon wire that is electrically connected to the connection terminal of the terminal section and has a different length with respect to one carbon wire heating element Thermal body, is characterized by being electrically connected to said at least one carbon wire heating element.
[0008]
As described above, the heater according to the present invention is provided with a plurality of carbon wire heating elements in the quartz glass tube, and at least one carbon wire heating element is electrically connected to the connection terminals of the respective sealing terminal portions at both ends of the quartz glass tube. The other carbon wire heating elements connected to each other and having different lengths are electrically connected to the at least one carbon wire heating element.
In the heater according to the present invention, a plurality of carbon wires having different lengths are used as a carbon wire heating element, so that the total cross-sectional area of the carbon wire heating element is different in the length direction. That is, the heat generation temperature is low in a region where the total cross-sectional area of the carbon wire heating element is large, and the heat generation temperature is high in a region where the total cross-sectional area of the heat generating element is small.
[0009]
Therefore, by using a plurality of carbon wires having different lengths as the carbon wire heating element, the length (effective heat generation length) of the region where predetermined heat generation is performed can be adjusted. The target area can be heated to a desired state. In particular, by changing the length of another carbon wire heating element or the position where it is formed, the desired effective heating length and position of the heater can be obtained without changing the distance between the sealing terminal portions.
[0010]
In addition, since the carbon wire heating element of the heater is a carbon wire formed of a plurality of carbon fibers, high-purity treatment is easy, and contaminant diffusion from the heater, especially contaminants such as impurity metals from the heating element Diffusion of the processing object is suppressed. In addition, a compression-accommodated wire carbon member is disposed in the sealing terminal portion, and the carbon wire heating element is electrically connected to the connection terminal mainly through the wire carbon member of the sealing terminal portion. Therefore, electric power can be supplied to the carbon wire heating element while suppressing heat generation as much as possible in the sealed terminal portion.
[0011]
In addition, “the at least one carbon wire heating element is electrically connected to the connection terminal mainly via a wire carbon member compressed and accommodated in the sealing terminal portions provided at both ends of the quartz glass tube. Is electrically connected to the connection terminals of the respective sealing terminal portions "means that carbon wires that are compressed and fixed by wire carbon members compressed and accommodated at the sealing terminal portions provided at both ends of the quartz glass tube. The heating element means that two or more heating elements can be used in order to increase the overall heating value of the heater, and the fixing position of the carbon wire heating element compressed and fixed by the wire carbon member is the sealing terminal. When it is a substantially central part of the part, it means that it may be in direct contact with the connection terminal without going through the wire carbon member.
[0012]
Here, the other carbon wire heating elements having different lengths are electrically connected to the connection terminal via the wire carbon member compressed and accommodated in the sealing terminal portion provided at one end of the quartz glass tube. It is desirable that at least the tip of the other carbon wire heating element is electrically connected to the at least one carbon wire heating element.
As described above, since the at least one carbon wire heating element is electrically connected to the tip of the other carbon wire heating element having a different length, the amount of heat generated in the entire length of the other carbon wire heating element is reduced. The amount of heat generation can be increased in the portion other than that, which can be reduced.
[0013]
The other carbon wire heating elements are preferably arranged in parallel so as to be in contact with the at least one carbon wire heating element over the entire length thereof. As a result, the carbon wire heating element can be more stably fixed in the quartz glass tube, and a good electrical connection can be achieved.
[0014]
Further, the other carbon wire heating element may be folded and housed in a U-shape in the quartz glass tube and electrically connected to the at least one carbon wire heating element between the sealed terminal portions.
In this case, the calorific value of the part where the other carbon wire heating element is located can be reduced, and the calorific value of the part excluding it can be increased.
[0015]
Further, the sealing terminal portion is formed by a quartz glass tube having a diameter larger than that of the quartz glass tube provided with the carbon wire heating element, and the connection terminal is provided at an end of the quartz glass tube. desirable.
Thus, since the sealing terminal part is formed by the quartz glass tube having a larger diameter than the quartz glass tube in which the carbon wire heating element is disposed, more wire carbon members are accommodated in the sealing terminal part. As a result, heat generation in the sealed terminal portion can be suppressed as much as possible, and damage to the sealed terminal portion due to heat can be prevented.
[0016]
Moreover, it is desirable that the carbon wire heating element is compressed and fixed by a wire carbon member in a bundle pipe inserted into an end portion of the quartz glass tube. Thus, since the carbon wire heating element is compressed and fixed by the wire carbon member in the bundled pipe inserted into the end of the quartz glass tube, the compression fixing is simple, more reliable, and reliable. The carbon wire heating element can be compressed and fixed.
[0017]
In particular, when a bundle pipe is not used, since the inner diameters of both sealing terminal portions are the same, the other carbon wire heating element and the sealing terminal portion to which the at least one carbon wire heating element is compressed and fixed, and at least the at least one The number of wire carbon members differs in the same compression-fixed state with the sealed terminal portion where only one carbon wire heating element is compressed and fixed. As a result, the amount of heat generated in the both sealed terminal portions is different.
However, the amount of heat generated can be made the same by adjusting the amount of the wire carbon member in both sealing terminal portions by using the above-described bundle pipes having different inner diameters at both ends.
[0018]
That is, a bundled pipe having a small inner diameter is used for the other carbon wire heating element and the sealing terminal portion to which the at least one carbon wire heating element is compressed and fixed, thereby reducing the number of wire carbon members. On the other hand, a bundled pipe having a large inner diameter is used for the sealing terminal portion to which only the at least one carbon wire heating element is compressed and fixed, and the number of wire carbon members is increased. Thereby, the emitted-heat amount in both the sealing terminal parts can be made substantially the same.
By using the bundled pipe as described above, the number of wire carbon members can be changed without changing the inner and outer diameters of the sealing terminal portion.
[0019]
In addition, it is desirable that the inner diameter of the bundled pipe gradually decreases as it goes in the direction of the insertion side into the quartz glass tube end.
Thus, since the inner diameter of the bundled pipe is formed so as to gradually decrease as it goes in the direction of the insertion side to the end of the quartz glass tube, the sealed terminal portion to which the carbon wire heating element is compressed and fixed When the connection terminal is inserted into the wire pipe, the wire carbon member and the carbon wire heating element are not pushed out from the bundled pipe, and a stable electrical connection can be ensured.
[0020]
Moreover, it is desirable that the insertion side of the wire carbon member is folded back in a U shape and is compressed and accommodated in the sealing terminal portion.
Thus, since the insertion side of the wire carbon member is a U-shaped wire, the insertion side end of the wire carbon member tends to spread outward after being accommodated in the sealing terminal portion. As a result, the carbon wire heating element can be more firmly compressed and fixed, and a stable electrical connection with the connection terminal can be ensured.
[0021]
Moreover, since the insertion side of the wire carbon member to be compressed and sealed in the sealing terminal portion has a U shape, fiber waste from the wire carbon member is prevented from entering the inside of the quartz glass tube (heat generating portion). it can. That is, when the insertion side of the wire carbon member is not closed, the fiber scrap of the wire carbon member enters the inside (heat generating portion) of the quartz glass tube, and as a result, there is a high possibility that the heat generation will be uneven.
[0022]
In addition, the other carbon wire heating elements having different lengths are composed of two or more carbon wire heating elements, and the tip of the carbon wire heating element excluding the shortest carbon wire heating element among the carbon wire heating elements having different lengths. It is desirable that a retaining ring for holding the portion and the at least one carbon wire heating element is disposed in the quartz glass tube.
[0023]
When the other carbon wire heating elements of different lengths are composed of two or more carbon wire heating elements, the shortest carbon wire heating element of the different carbon wire heating elements uses the inner diameter of the quartz glass tube as the carbon wire heating element. By adjusting according to the total number of bodies, the tip can be stably fixed. On the other hand, when other tip portions of other carbon wire heating elements having different lengths are located in the middle of the quartz glass tube, they are placed in an unstable state inside the quartz glass tube.
The retaining ring avoids an unstable state of other carbon wire heating elements and is fixed to the quartz crow tube.
[0024]
Moreover, it is desirable that the total number of wire carbon members compressed and accommodated in the sealing terminal portion is five times or more the total number of carbon wire heating elements in the sealing terminal portion.
Thus, when the total number of wire carbon members compressed and accommodated in the sealing terminal portion is five times or more than the total number of carbon wire heating elements in the sealing terminal portion, heat generation in the sealing terminal portion is generated. Therefore, the sealing terminal portion can be prevented from being damaged by heat.
[0025]
The total number of wire carbon members referred to here means twice the number actually used when the insertion side of the wire carbon members is U-shaped and compression-fixed. That is, it means that the number on the cross section is 5 or more times the total number of carbon wire heating elements and substantially 6 or more.
[0026]
The method of manufacturing a heater according to the present invention made to achieve the above object includes a step of forming a large diameter portion by welding a quartz glass tube having a diameter larger than that of the quartz glass tube to both ends of the quartz glass tube; Passing the at least one carbon wire heating element between the large diameter portions provided at both ends of the quartz glass tube, and accommodating other carbon wire heating elements of different lengths in the quartz glass tube; and The step of compressing and housing the wire carbon member in the large diameter portion, and inserting the connection terminal of the sealing terminal into the inside from the open end of the large diameter portion, and electrically connecting the at least one carbon wire heating element and the connection terminal A step of welding the open end of the large diameter portion and the end of the sealing terminal while introducing an inert gas, and degassing the quartz glass tube from the inert gas inlet. The above It is characterized by a step of sealing by heating the sex gas inlet.
According to such a manufacturing method, the fixing of the carbon wire heating element by the plurality of wire carbon members in the sealing terminal portion made of the large diameter portion can be made stronger, and the heater according to the present invention can be provided. It can be manufactured easily.
[0027]
In addition, in the heater manufacturing method according to the present invention made to achieve the above object, the large-diameter portion is formed by welding quartz glass tubes having a diameter larger than that of the quartz glass tube to both ends of the quartz glass tube. A step of inserting and disposing a holding ring at an end portion of the quartz glass tube in which the large-diameter portion is formed, and a portion of the quartz glass tube in which the large-diameter portion is formed; Welding the end portion where the diameter portion is not formed and the end portion where the large-diameter portion of the quartz glass tube where the holding ring is not formed are formed, and integrating the both, and the quartz glass tube At least one carbon wire heating element is passed between the large-diameter portions provided at both ends of the holding ring, and the at least one carbon wire heating element and the tip of another carbon wire heating element having a different length are held. But Holding the at least one carbon wire heating element and another carbon wire heating element of different lengths in a quartz glass tube, compressing and storing the wire carbon member in the large diameter portion, A step of inserting the connection terminal of the sealing terminal from the open end of the large diameter portion, and a step of welding the open end of the large diameter portion and the end of the sealing terminal while introducing an inert gas; The quartz glass tube is degassed from the inert gas inlet, and the inert gas inlet is heated and sealed.
By such a manufacturing method, the heater according to the present invention including the retaining ring can be easily manufactured.
[0028]
Here, the step of compressing and storing the wire carbon member in the large diameter portion causes the carbon wire heating element to be inserted into the bundle pipe, and the wire carbon member insertion side is compressed and stored in a U-shaped state. It is desirable to be carried out by inserting the into the large diameter portion.
[0029]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, the present invention will be described in more detail with reference to the drawings.
FIG. 1 is a cross-sectional view showing an embodiment of a heater according to the present invention, and FIG. 2 is a cross-sectional view showing another embodiment. The heaters shown in FIGS. 1 and 2 are both heaters formed in a mode suitable for heating with a temperature gradient in the heater length direction. FIG. 3 is a plan view showing an example of a carbon wire heating element used in the heater of the present invention. FIG. 4 is a cross-sectional view showing the internal structure of the sealing terminal portion of the heater of the present invention. A longitudinal sectional view, (b) is a transverse sectional view.
[0030]
As shown in FIG. 1 and FIG. 2, the heater 1 of the present invention includes a carbon wire heating element 2 (2a, 2b, 2c) and a straight tube made of quartz glass that houses the carbon wire heating element 2 therein. (Quartz glass tube) 3, large diameter portions 3 a and 3 b formed at both ends of the straight tube 3, and a quartz glass seal welded to the large diameter portions 3 a and 3 b at both ends of the quartz glass straight tube 3 Sealing terminal portions 7a and 7b comprising stop terminals 6a and 6b, wire carbon members 4a and 4b compressed and accommodated in the sealing terminal portions 7a and 7b, and the sealing terminal portions 7a and 7b. The connection terminals 5a and 5b made of a conductive metal electrically connected to the carbon wire heating element 2 are configured.
[0031]
The carbon wire heating element 2 has a single carbon wire heating element 2a disposed between the sealing terminal portions 7a and 7b in both the heaters of FIGS. Further, in the heater of FIG. 1, another carbon wire heating element 2b is disposed from the sealing terminal portion 7a to a substantially middle portion of the straight tube 3 made of quartz glass.
[0032]
Further, in the heater of FIG. 2, another carbon wire heating element 2b is disposed from the sealing terminal portion 7a to a portion of about 2/3 between the sealing terminal portions 7a and 7b, and the carbon wire heating element 2c is sealed. A portion from the stop terminal portion 7a to approximately one third of the space between the sealing terminal portions 7a and 7b is provided. At this time, since the other carbon wire heating elements 2b are long, they are in an unstable state inside the quartz glass tube 3 and may move. In order to avoid such an unstable state of the other carbon wire heating element 2b and to fix the carbon wire heating element 2b to the quartz crow tube 3, a holding ring 8 is provided.
[0033]
1 and 2, the carbon wire heating elements 2 a, 2 b, and 2 c are illustrated separately from each other in the figure, but actually they are in contact with each other. At this time, at least the tip portions 2b-e and 2c-e of the carbon wire heating elements 2b and 2c are in contact with the carbon wire heating element 2a, and the carbon wire heating elements 2b and 2c are the carbon wire heating elements in the sealing terminal portion. 2a and the wire carbon material are electrically connected.
[0034]
In this way, in addition to the carbon wire heating element 2a, the carbon wire heating elements 2b and 2c having different lengths are used as heating elements, so that the sectional area of the carbon wire heating element 2 (the total sectional area of the carbon wire heating elements) is increased. ) Is different in the length direction. That is, since the resistance is small in a region where the cross-sectional area of the carbon wire heating element (the total cross-sectional area of the carbon wire heating element) is large, the heating temperature becomes low. On the other hand, in a region where the cross-sectional area of the heat generating element (the sum of the cross-sectional areas of the carbon wire heat generating elements) is small, the heat generation temperature increases because the resistance is large.
Therefore, by appropriately disposing carbon wire heating elements having different lengths, it is possible to arbitrarily adjust the length (effective heat generation length) of a region where predetermined heat generation is performed. Further, a temperature gradient of the heat generation temperature can be formed in the length direction of the heater.
[0035]
A more specific description will be given based on FIG. First, the voltage at which the heating temperature of the heater composed of one carbon wire heating element becomes 1000 ° C. is obtained, and the same voltage value is applied to the heater of FIG.
At this time, the cross-sectional area of the carbon wire heating element 2 (sum of the cross-sectional areas of the carbon wire heating elements 2a and 2b) differs in the length direction. Therefore, in the area (area in which the carbon wire heating element 2b is disposed) having a large cross-sectional area of the carbon wire heating element 2 as compared with other areas (area in which only the carbon wire heating element 2a is disposed). Therefore, since the resistance is reduced, the heat generation temperature is lowered to 500 ° C. to 700 ° C.
On the other hand, in the region where the cross-sectional area of the carbon wire heating element 2 is small (the region where only the carbon wire heating element 2a is disposed), the resistance of the heater composed of one carbon wire heating element is the same. The temperature is 1000 ° C., which is higher than the heat generation temperature in the region where the body 2b is disposed.
[0036]
Thus, the length of the region where the heating temperature is 1000 ° C. is determined by the length of the carbon wire heating element 2b, and can be adjusted by changing the carbon wire heating element 2b. Therefore, the heater heat generation effective length can be arbitrarily adjusted without changing the distance between the terminals of the sealing terminal portions 6a and 6b. Further, a temperature gradient can be formed in the longitudinal direction of the heater.
[0037]
Similarly, when the voltage is applied to the heater of FIG. 2, the heat generation temperature in the region where only the carbon wire heating element 2a in the heater of FIG. 2 is disposed is 1000 ° C., and the carbon wire heating elements 2a, 2b The heat generation temperature in the disposed region is 500 ° C. to 700 ° C., and the heat generation temperature in the region in which the carbon wire heating elements 2a, 2b, and 2c are disposed is 300 ° C. to 500 ° C.
Thus, the heat generation temperature in the longitudinal direction of the heater can be appropriately changed, and a so-called temperature gradient can be applied to the heat generation temperature of the heater.
[0038]
As the carbon wire heating element 2, a wire knitted into a wire shape using a plurality of fiber bundles in which a plurality of carbon fibers are bundled as shown in FIG. 3 is used.
This carbon wire heating element 2 is inserted through the inside of a straight tube 3 made of quartz glass and, as shown in FIG. 4 (a), to the ends of the large-diameter portions 3a and 3b of the straight tube 3 or near the ends. It is extended.
[0039]
1 and 2, the diameters of the quartz glass tubes of the sealing terminals 6a and 6b are substantially the same as the large diameter portions 3a and 3b formed at both ends of the straight tube 3 made of quartz glass. The same diameter (larger than the diameter of the straight tube 3 made of quartz glass) is formed. The sealing terminals 6a and 6b and the large diameter portions 3a and 3b are integrated by means such as welding.
Further, a plurality of wire carbon members 4a and 4b as shown in FIG. 4 are compressed and accommodated in the pipes in the large diameter portions 3a and 3b, respectively.
Therefore, as shown in FIGS. 4A and 4B, the carbon wire heating element 2 is embedded in a compressed state in the wire carbon members 4a and 4b that are compressed and stored.
[0040]
As shown in FIGS. 4A and 4B, the wire carbon members 4a and 4b and the carbon wire heating element 2 are compressed substantially in parallel with the axial direction of the large diameter portions 3a and 3b made of quartz glass. It is housed in the state where it was done. In this case, as shown in the figure, in the carbon wire heating element 2, it is preferable that the large-diameter portions 3a and 3b are disposed substantially at the center position, similarly to the connection terminals 5a and 5b. This is because, if these are located on the outer peripheral side, it may lead to abnormal heat generation in the large diameter portion. Moreover, in FIG. 4, the case where the carbon wire heat generating body 2 is one is shown for convenience.
[0041]
As a specific example of the carbon wire heating element 2, a carbon single fiber fiber having a diameter of 5 to 15 μm, for example, a fiber bundle formed by bundling about 3000 to 3500 carbon single fiber fibers having a diameter of 7 μm is used to have a diameter of about 9 bundles. A carbon wire heating element such as a 2 mm braid or braided braid is used.
In the above case, the wire braiding span is about 2 to 5 mm. Note that the carbon wire heating element is formed with fluffing in a form in which a part of the carbon monofilament cut out from the outer peripheral surface of the carbon wire heating element is formed, for example, as indicated by reference numeral 21 in FIG. Preferably it is.
[0042]
That is, in the carbon wire heating element 2 of this embodiment, only the fluff 21 is in contact with the inner wall of the quartz glass straight tube 3 inside the quartz glass straight tube 3 so that the main body of the carbon wire heating element 2 is not in contact. Can be configured.
By doing so, quartz glass (SiO 2 2 ) And carbon (C) of the carbon wire heating element 2 are suppressed as much as possible, and the deterioration of the quartz glass and the durability of the carbon wire heating element are suppressed.
[0043]
The surface fluff due to the carbon single fiber is preferably about 0.5 to 2.5 mm.
In the present invention, the use of the specific carbon wire heating element makes it possible to obtain a heater in which the number of the heating elements is changed in the longitudinal direction of the heater, and as a result, regions having different sectional areas of the heating element are formed. It is effective for. This is because the mechanical or electrical joining state of the carbon wire heating elements having different lengths can be made more stable particularly by the fuzz structure.
In addition, in order to use a carbon wire heating element having surface fluff as described above, the diameter (inner diameter) of the quartz glass straight tube is appropriately selected with respect to the diameter and number of the carbon wire heating elements. It ’s fine.
[0044]
Moreover, from the viewpoint of suppressing oxidation depletion of the carbon wire heating element as much as possible and additionally preventing devitrification of quartz glass, and further from the viewpoint of uniformity of heat generation properties, durability stability, etc., and avoiding dust generation From the above viewpoint, the carbon single fiber is preferably as pure as possible. Particularly, when the heater 1 is used for a heat treatment heater such as a wafer in a semiconductor manufacturing process, The amount of impurities contained in the ash is preferably 10 ppm or less as ash (Japanese Industrial Standard JIS R 7223-1979).
More preferably, the amount of impurities contained in the carbon fiber is 3 ppm or less as ash.
[0045]
Next, a specific example of the wire carbon member will be described. The wire carbon member compressed and accommodated in the quartz glass tube of the large diameter portions 3a and 3b is carbon having a diameter of 5 to 15 μm, similar to the carbon wire heating element described above. A carbon fiber heating element such as a braided string of about 2 mm in diameter or braided using about 9 bundles of single fiber fibers, for example, about 3000 to 3500 carbon single fiber fibers of 7 μm in diameter. It is more preferable to use a plurality. In this case, the braiding span of the wire is about 2 to 5 mm.
The surface fluff due to the carbon single fiber is preferably about 0.5 to 2.5 mm.
[0046]
The wire carbon member is preferably made of the same constituent material as that of the carbon wire heating element or in the form of a braid or braid formed by knitting a plurality of fiber bundles in which at least carbon single fiber fibers are bundled.
Note that the same constituent material preferably has the same carbon fiber diameter, the number of bundles of carbon fibers, the number of bundles of bundles of fibers, the braiding method, the braiding span length, the fluff length, and the material.
[0047]
As in the case of the carbon wire heating element, when the heater is used for heat treatment of a wafer or the like in the semiconductor manufacturing process, the amount of impurities contained in the carbon fiber of the wire carbon member is 10 ppm or less as ash. It is preferable that
More preferably, the amount of impurities contained in the carbon fiber is 3 ppm or less as ash.
[0048]
And as for the number of the wire carbon members accommodated in the large diameter part formed in the both ends of the quartz glass straight pipe, it is good that more than the number of carbon wire heating elements is accommodated.
It is more preferable that the number of carbon wire heating elements is 5 or more times as many as the wire carbon member.
For example, when the number of the carbon wire heating elements is 1, it is preferable that the number of the wire carbon members is 14 or five times or more as the wire carbon members such as the wire carbon member 12 with respect to the carbon wire heating elements 1.
[0049]
As described above, a carbon wire heating element and a wire carbon member exemplified as a braided or braided shape having a diameter of about 2 mm using about 9 bundles of about 3000 to 3500 carbon fibers having a diameter of 7 μm. The electric resistance of the carbon wire heating element, such as knitted, is about 10Ω / 1 m · 1 at room temperature and about 5Ω / 1 m · 1 at 1000 ° C.
Further, when 10 carbon wire heating elements are bundled, the electrical resistance is about 1Ω / 1 m · 1 at room temperature and 0.5Ω / 1 m · 1 at 1000 ° C.
[0050]
Therefore, as a wire carbon member, when 10 carbon wire heating elements are compressed and accommodated in the quartz glass tubes of the large diameter portions 3a and 3b, approximately 1Ω / 1m · 1 at room temperature as described above, At 1000 ° C., it becomes 0.5Ω / 1 m · one, and the electric resistance becomes 1/10 (1 / number), which decreases.
As a result, the heat generated by the wire carbon member can be extremely reduced compared to the heat generated by the carbon wire heating element.
[0051]
In addition, since the wire carbon member is interposed between the carbon wire heating element 2 and the connection terminals 5a and 5b, it is possible to prevent the high heat of the carbon wire heating element from being transmitted to the connection terminal as much as possible. The connection terminal can be used and the terminal can be prevented from being deteriorated or deformed at a high temperature, and the sealing terminals 6a and 6b or the connection part between the sealing terminals 6a and 6b and the large-diameter portions 3a and 3b can be provided. It can be prevented from being damaged by heat.
[0052]
As in the case of the carbon wire heating element, quartz glass (SiO 2 2 ) And the carbon (C) of the wire carbon member at a high temperature is suppressed as much as possible, and the deterioration of the quartz glass and the durability of the carbon wire heating element are suppressed.
Since the number of wire carbon members accommodated in the large diameter portion is preferably accommodated more than the number of the carbon wire heating elements, the diameter of the quartz glass tube of the large diameter portions 3a and 3b is More preferably, it is formed larger than the diameter of the straight pipe 3.
[0053]
Also, the connection terminals 5a and 5b having one end inserted into the wire carbon member of the sealing terminal portion of the large diameter portions 3a and 3b and the other end protruding from the sealing terminal portion are usually made of molybdenum (Mo ), A metal rod such as tungsten (W), and the diameter is usually about 1 to 3 mm.
The quartz glass straight tube 3 (large diameter portions 3a, 3b) is provided with the sealing terminals 6a, 6b at both ends thereof and hermetically sealed. The sealed quartz glass straight tube 3 and the sealing terminals 6a and 6b (sealing terminal portions 7a and 7b) have, for example, nitrogen gas and argon to prevent oxidation of the carbon wire heating element. It is replaced with an inert gas such as gas or helium gas, or is maintained at a reduced pressure of about 100 torr or less.
[0054]
Next, the manufacturing method according to the present invention will be described with reference to FIGS.
(1) First, large-diameter portions 3 a and 3 b are formed by welding quartz glass tubes having a diameter larger than that of the quartz glass tube to both ends of the quartz glass tube 3.
At this time, as shown in FIG. 5A, the disc-shaped flange portion 9 is welded to both ends of the quartz glass tube 3. Subsequently, as shown in FIG. 5 (b), the cylindrical large-diameter portion main body 10 provided with the gas introduction port 10a in advance is welded to the flange portions 9 welded to both ends of the quartz glass tube, and the large-diameter portion 3a and 3b are formed.
(2) The carbon wire heating element 2a is passed between the large diameter portions 3a and 3b provided at both ends of the quartz glass tube 3, and the carbon wire heating element 2b is accommodated in the quartz glass tube (FIG. 5C). )). The carbon wire heating element 2 b is located up to a substantially middle point of the quartz glass tube 3.
[0055]
(3) Next, the carbon wire heating elements 2a and 2b are inserted into the bundle pipe 11, and the insertion side of the wire carbon member 4a (4b) is accommodated in the bundle pipe 11 in a U-shaped state.
At this time, as shown in FIG. 5 (d), the introduction yarn 12 is passed through the U-shaped portion on the wire carbon member insertion side, and the introduction yarn 12 is pulled, so that the wire carbon members 4a and 4b are attached to the bundle pipe 11. Can be compressed. Thereby, the carbon wire heating element is firmly fixed.
(4) Then, the bundled pipe 11 in which the wire carbon member 4a (4b) and the carbon wire heating elements 2a and (2b) are compressed and sealed is inserted into the large-diameter portion 3a (3b). And the wire carbon member and carbon wire heat generating body which protruded from the edge part are cut | disconnected (FIG. 6 (a)).
[0056]
(5) After cutting, the connection terminal 5a (5b) of the sealing terminal 6a (6b) is inserted inside, and the carbon wire heating element 2a and the connection terminal are electrically connected (FIG. 6B). .
Here, the structure of the sealing terminal will be described with reference to FIG. The glass tubes constituting the sealing terminals 6a and 6b, that is, the glass tubes welded to the large diameter portions 3a and 3b of the quartz glass tube are quartz from the side welded to the large diameter portions 3a and 3b of the quartz glass tube. The glass part 13a, the graded (Graded) seal part 13b, and the tungsten (W) glass part 13c are comprised. The connection terminal made of tungsten (W) is pinch-sealed by the pinch seal portion 13d of the tungsten (W) glass portion.
[0057]
That is, the pinch seal portion is made of tungsten (W) glass having a thermal expansion coefficient close to that of tungsten (W) constituting the connection terminal, and the side to be welded to the large diameter portion of the quartz glass tube is made of quartz glass. Yes. As a result, it is possible to prevent the glass part (pinch seal part) from being damaged due to thermal expansion of the connection terminal at high temperature.
[0058]
(6) Next, while introducing an inert gas such as nitrogen gas from the gas inlet 10a, the large diameter portion and the sealing terminal are welded to form the sealing terminal portions 7a and 7b (FIG. 6C). )).
The nitrogen gas is introduced to the large-diameter portion through the gap between the large-diameter portion 3a (3b) of the quartz glass tube and the bundled pipe 11 to prevent deterioration of the carbon wire heating element and the wire carbon member due to heating. To do.
(7) After reducing the pressure inside the quartz glass tube from the gas inlet 10a to 1 torr or 2 torr or less, the gas inlet is heated and sealed with an oxyhydrogen burner to complete the heater (FIG. 6D).
The heater according to the present invention can be easily manufactured by the above manufacturing method.
[0059]
In the above manufacturing method, a bundled pipe is used. As shown in FIGS. 8A to 8C, the inner diameter of the bundled pipe is increased in the direction of the insertion side to the end of the quartz glass tube. It is desirable to gradually decrease.
In this way, when the inner diameter of the bundled pipe is formed so as to gradually decrease as it goes in the direction of the insertion side to the end of the quartz glass tube, the large diameter portion where the carbon wire heating element is compressed and fixed. When the connection terminal is inserted, the wire carbon member or the carbon wire heating element is not pushed out from the bundled pipe, and a stable electrical connection can be ensured.
In addition, as shown in FIGS. 8A and 8B, when the outer diameter of the bundled pipe gradually decreases in the insertion side direction to the quartz glass tube end, It is easy to insert the gas into the tube, and introduction of nitrogen gas up to the large diameter portion is facilitated.
[0060]
In the above manufacturing method, a bundled pipe is used. As shown in FIG. 9, the carbon wire heating elements 2a and 2b are inserted through the quartz glass tube 3, and the insertion side of the wire carbon member 4a (4b) is U. You may accommodate in the large diameter part 3a (3b) of a quartz glass tube in the shape of a letter. At this time, as in the case of FIG. 5 (d), the introduction yarn 12 is passed through the U-shaped portion on the insertion side of the wire carbon members 4a and 4b, and the introduction yarn 12 is pulled, so that the wire carbon member is formed on the large diameter portion. Is preferably compressed and accommodated (FIG. 9).
[0061]
Furthermore, in the said manufacturing method, it is preferable to make the internal diameter of the quartz glass tube 3 into more than the sum total of the diameter of carbon wire heat generating body 2a, 2b. As shown in FIG. 10, when two carbon wire heating elements 2a and 2b are accommodated in the quartz glass tube 3 and the diameter of these carbon wire heating elements is 2 mm, the inner diameter of the quartz glass tube is 3.5 mm to When the thickness is 4.0 mm, the carbon wire heating element can be stably disposed.
[0062]
Next, a method for manufacturing a heater in which the holding ring 8 is fixedly disposed in the quartz glass tube 3 will be described with reference to FIG. The detailed description of the same parts as those in the above manufacturing method is omitted.
(1) First, a large diameter portion 3b is formed by welding a quartz glass tube having a diameter larger than that of the quartz glass tube to one end portion of the quartz glass tube 3. Similarly, the large diameter part 3a is formed in the one end part of another quartz glass tube.
(2) The holding ring 8 is inserted into the end portion where the sealing terminal portion is not formed, and is fixedly disposed by welding (FIG. 11A). Then, the ends of the quartz glass tube in which the retaining ring 11 is formed and the quartz glass tube in which the retaining ring 11 is formed, where the sealing terminal portion is not formed, are welded together to integrate the two (FIG. 11). (B) (c)).
In addition, it is preferable to arrange | position the holding ring 8 so that it may protrude from the edge part of the quartz glass tube 3, as shown in FIG.11 (b). Thereby, welding and integration of the end portions can be further strengthened.
[0063]
(3) The carbon wire heating element 2a is passed between the large diameter portions 3a and 3b provided at both ends of the quartz glass tube, and the carbon wire heating element 2a and the tip of the carbon wire heating element 2b are held by the holding ring 11 Is accommodated in a quartz glass tube so as to be held. Then, the wire carbon members 4a and 4b are compressed and accommodated in the large diameter portions 3a and 3b (FIG. 11D).
(4) Although not shown, like the manufacturing method described above, the connection terminals 5a and 5b of the sealing terminal are inserted into the inside from the open ends of the large diameter portions 3a and 3b, and the inert gas is introduced. The open end portion of the large diameter portion and the end portion of the sealing terminal are welded.
Thereafter, the inside of the quartz glass tube 3 is evacuated from the gas inlet 10a, and the gas inlet 10a is heated and sealed with an oxyhydrogen burner to form the sealing terminal portions 7a and 7b and complete as a heater. .
By such a manufacturing method, the heater according to the present invention including the retaining ring can be easily manufactured.
[0064]
Also in this manufacturing method, the carbon wire heating element is inserted into the bundled pipe, and the insertion side of the wire carbon member is compressed and accommodated in a U-shape, and the bundled pipe is inserted into the large diameter portion. It is desirable that
[0065]
In the above embodiment, one, two, or three carbon wire heating elements are shown as the heater, but the number is not limited to this number, and the number is as required. Can be changed as appropriate.
Further, as shown in FIG. 12 (b), the carbon wire heating element 2b is folded and accommodated in a U-shape in a quartz glass tube, and is connected to the sealing terminal between the sealing terminal portions. 2a may be electrically connected. In this case, the calorific value of the part in which the carbon wire heating element 2b is located is small, and the part excluding it can increase the calorific value.
In order to fold the quartz glass tube 3 into a U shape and accommodate the carbon wire heating element 2b, as shown in FIG. 12A, the introduction yarn 12 is passed through the U-shaped carbon wire heating element, and the quartz glass tube This can be done by drawing it into 3.
[0066]
【The invention's effect】
As described above, according to the heater of the present invention, the effective heat generation length can be changed without changing the distance between the sealing terminals, and the inside of the heating target area can be heated to a desired state. Further, there is no diffusion of contaminants from the heater, in particular, diffusion of contaminants such as impurity metals from the carbon wire heating element, and the contamination of the processing object is suppressed.
Further, according to the heater manufacturing method of the present invention, the heater can be easily manufactured.
[Brief description of the drawings]
FIG. 1 is a cross-sectional view showing an embodiment of a heater according to the present invention.
FIG. 2 is a cross-sectional view showing another embodiment of the heater according to the present invention.
FIG. 3 is a plan view showing an example of a carbon wire heating element used in the heater of the present invention.
4A and 4B are cross-sectional views showing the internal structure of the sealing terminal portion of the heater of the present invention, wherein FIG. 4A is a vertical cross-sectional view, and FIG. 4B is a cross-sectional view.
FIG. 5 is a schematic view showing each step of the heater manufacturing method according to the present invention.
6 is a schematic view showing a step that follows the step of the method for manufacturing the heater according to the present invention shown in FIG. 5. FIG.
FIG. 7 is a perspective view showing a sealing terminal.
FIG. 8 is a side view showing a modified example of a bundled pipe.
FIG. 9 is a schematic view showing a manufacturing method when a bundled pipe is not used.
FIG. 10 is a cross-sectional view showing the relationship between the inner diameter of a quartz glass tube and the diameter of a carbon heating element.
FIG. 11 is a schematic view showing steps of a method for manufacturing a heater provided with a retaining ring.
FIG. 12 is a cross-sectional view for explaining a heater in which a carbon heating element folded in a U shape is accommodated in a quartz glass tube and a method for manufacturing the heater.
[Explanation of symbols]
1 Heater
2, 2a, 2b, 2c Carbon wire heating element
3 Straight tube made of quartz glass
3a, 3b Large diameter part
4a, 4b Wire carbon member
5a, 5b connection terminal
6a, 6b Sealed terminal
7a, 7b Sealed terminal part
8 Retaining ring
9 Flange
10 Large diameter body
10a (Inert) gas inlet
11 Bundled pipe
12 Introduction thread
21 Fluff

Claims (12)

石英ガラス管内に配設された複数のカーボンワイヤー発熱体と、前記石英ガラス管の両端に設けられた封止端子部と、前記封止端子部に設けられた接続端子とからなるヒータにおいて、
前記カーボンワイヤー発熱体が複数のカーボンファイバーにより形成されるカーボンワイヤーからなると共に、前記複数のカーボンワイヤー発熱体が並列に配設され、
少なくとも一のカーボンワイヤー発熱体が、石英ガラス管の両端に設けられた封止端子部において、主として圧縮収容されたワイヤーカーボン部材を介して電気的に接続端子に接続されることにより、夫々の封止端子部の接続端子と電気的に接続され、
前記一のカーボンワイヤー発熱体に対して、長さの異なる他のカーボンワイヤー発熱体が、前記少なくとも一のカーボンワイヤー発熱体に電気的に接続されていることを特徴とするヒータ。
In a heater comprising a plurality of carbon wire heating elements arranged in a quartz glass tube, sealing terminal portions provided at both ends of the quartz glass tube, and connection terminals provided in the sealing terminal portion,
The carbon wire heating element comprises a carbon wire formed of a plurality of carbon fibers, and the plurality of carbon wire heating elements are arranged in parallel,
At least one carbon wire heating element is electrically connected to the connection terminal mainly via the wire carbon member compressed and accommodated in the sealing terminal portions provided at both ends of the quartz glass tube, so that each sealing is performed. It is electrically connected to the connection terminal of the stop terminal,
The other carbon wire heating element having a different length with respect to the one carbon wire heating element is electrically connected to the at least one carbon wire heating element.
前記他のカーボンワイヤー発熱体が、石英ガラス管の一端に設けられた封止端子部において圧縮収容されたワイヤーカーボン部材を介して電気的に接続端子に接続されると共に、他のカーボンワイヤー発熱体の少なくとも先端部において、前記少なくとも一のカーボンワイヤー発熱体と電気的に接続されていることを特徴とする請求項1に記載されたヒータ。The other carbon wire heating element is electrically connected to the connection terminal via a wire carbon member compressed and accommodated in a sealing terminal portion provided at one end of the quartz glass tube, and the other carbon wire heating element. 2. The heater according to claim 1, wherein the heater is electrically connected to the at least one carbon wire heating element at least at a front end portion thereof. 前記他のカーボンワイヤー発熱体が、石英ガラス管内にU字状に折返されて収容され、封止端子部間において前記少なくとも一のカーボンワイヤー発熱体と電気的に接続されていることを特徴とする請求項1に記載されたヒータ。The other carbon wire heating element is folded and accommodated in a U shape in a quartz glass tube, and is electrically connected to the at least one carbon wire heating element between sealing terminal portions. The heater according to claim 1. 前記封止端子部が、カーボンワイヤー発熱体が配設された石英ガラス管より大径の石英ガラス管によって形成され、該石英ガラス管の端部に前記接続端子が設けられていることを特徴とする請求項1乃至請求項3のいずれかに記載されたヒータ。The sealing terminal portion is formed of a quartz glass tube having a diameter larger than that of a quartz glass tube provided with a carbon wire heating element, and the connection terminal is provided at an end of the quartz glass tube. The heater according to any one of claims 1 to 3. 前記カーボンワイヤー発熱体が、石英ガラス管の端部に挿入される束ねパイプ内にワイヤーカーボン部材によって圧縮固定されていることを特徴とする請求項1乃至請求項4のいずれかに記載されたヒータ。The heater according to any one of claims 1 to 4, wherein the carbon wire heating element is compressed and fixed by a wire carbon member in a bundle pipe inserted into an end portion of a quartz glass tube. . 前記束ねパイプの内径が、石英ガラス管端部への挿入側方向にゆくにしたがって、徐々に小さくなることを特徴とする請求項5に記載されたヒータ。The heater according to claim 5, wherein an inner diameter of the bundled pipe gradually decreases as it goes in an insertion side direction toward the end portion of the quartz glass tube. 前記ワイヤーカーボン部材の挿入側が、U字状に折返されて封止端子部に圧縮収容されていることを特徴とする請求項5に記載されたヒータ。The heater according to claim 5, wherein the insertion side of the wire carbon member is folded in a U shape and is compressed and accommodated in the sealing terminal portion. 前記長さの異なる他のカーボンワイヤー発熱体が2本以上のカーボンワイヤー発熱体からなり、長さの異なるカーボンワイヤー発熱体のうち最短のカーボンワイヤー発熱体を除いたカーボンワイヤー発熱体の先端部分と、前記少なくとも一のカーボンワイヤー発熱体とを保持する保持リングを石英ガラス管内に配設したことを特徴とする請求項1乃至請求項7のいずれかに記載されたヒータ。The other carbon wire heating elements having different lengths are composed of two or more carbon wire heating elements, and the tip portion of the carbon wire heating element excluding the shortest carbon wire heating element among the carbon wire heating elements having different lengths; The heater according to any one of claims 1 to 7, wherein a holding ring for holding the at least one carbon wire heating element is disposed in the quartz glass tube. 前記封止端子部に圧縮収容されたワイヤーカーボン部材の総本数が、前記封止端子部におけるカーボンワイヤー発熱体の総本数の5倍以上あることを特徴とする請求項1乃至請求項8のいずれかに記載されたヒータ。The total number of wire carbon members compressed and accommodated in the sealing terminal portion is five times or more than the total number of carbon wire heating elements in the sealing terminal portion. The heater described in 石英ガラス管の両端部に前記石英ガラス管より大径の石英ガラス管を溶着することによって大径部を形成する工程と、
前記石英ガラス管の両端部に設けられた大径部間に、少なくとも一のカーボンワイヤー発熱体を渡すと共に、長さの異なる他のカーボンワイヤー発熱体を石英ガラス管内に収容する工程と、
前記大径部にワイヤーカーボン部材を圧縮収容する工程と、
前記大径部の開放端部から封止端子の接続端子を内部に挿入し、前記少なくとも一のカーボンワイヤー発熱体と接続端子とを電気的に接続する工程と、
不活性ガスを導入しながら、大径部の開放端部と封止端子の端部とを溶着する工程と、
前記不活性ガス導入口から石英ガラス管内部を脱気し、前記不活性ガス導入口を加熱し封着する工程とを含むことを特徴とするヒータの製造方法。
Forming a large diameter portion by welding a quartz glass tube having a larger diameter than the quartz glass tube at both ends of the quartz glass tube;
Passing at least one carbon wire heating element between large diameter portions provided at both ends of the quartz glass tube, and accommodating other carbon wire heating elements of different lengths in the quartz glass tube;
Compressing and storing the wire carbon member in the large diameter portion;
Inserting a connection terminal of a sealing terminal from the open end of the large diameter portion into the inside, and electrically connecting the at least one carbon wire heating element and the connection terminal;
A step of welding the open end of the large diameter portion and the end of the sealing terminal while introducing an inert gas;
And degassing the inside of the quartz glass tube from the inert gas inlet, and heating and sealing the inert gas inlet.
石英ガラス管の両端部に前記石英ガラス管より大径の石英ガラス管を溶着することによって大径部を形成する工程と、
前記大径部が形成された石英ガラス管の大径部が形成されていない端部に保持リングを挿入し、配設する工程と、
前記保持リングが形成された石英ガラス管の大径部が形成されていない端部と、前記保持リングが形成されていない石英ガラス管の大径部が形成されていない端部とを溶着し、両者を一体化する工程と、
前記石英ガラス管の両端部に設けられた大径部間に、少なくとも一のカーボンワイヤー発熱体を渡すと共に、前記少なくとも一のカーボンワイヤー発熱体及び長さの異なる他のカーボンワイヤー発熱体の先端部とを保持リングが保持するように、前記少なくとも一のカーボンワイヤー発熱体及び長さの異なる他のカーボンワイヤー発熱体を石英ガラス管内に収容する工程と、
前記大径部にワイヤーカーボン部材を圧縮収容する工程と、
前記大径部の開放端部から、封止端子の接続端子を内部に挿入する工程と、
不活性ガスを導入しながら、大径部の開放端部と封止端子端部とを溶着する工程と、
前記不活性ガス導入口から石英ガラス管内部を脱気し、前記不活性ガス導入口を加熱し封着する工程を含むことを特徴とするヒータの製造方法。
Forming a large diameter portion by welding a quartz glass tube having a larger diameter than the quartz glass tube at both ends of the quartz glass tube;
Inserting and arranging a retaining ring at the end of the quartz glass tube in which the large diameter portion is formed, where the large diameter portion is not formed; and
Welding the end portion where the large diameter portion of the quartz glass tube in which the retaining ring is formed is not formed and the end portion where the large diameter portion of the quartz glass tube where the retaining ring is not formed is formed; The process of integrating both,
At least one carbon wire heating element is passed between large diameter portions provided at both ends of the quartz glass tube, and the tip of the at least one carbon wire heating element and another carbon wire heating element having a different length is provided. Storing the at least one carbon wire heating element and another carbon wire heating element having a different length in a quartz glass tube so that the holding ring holds the
Compressing and storing the wire carbon member in the large diameter portion;
From the open end of the large diameter portion, the step of inserting the connection terminal of the sealing terminal inside,
A step of welding the open end of the large diameter portion and the end of the sealing terminal while introducing the inert gas;
A method for manufacturing a heater, comprising: degassing a quartz glass tube from the inert gas inlet and heating and sealing the inert gas inlet.
前記大径部にワイヤーカーボン部材を圧縮収容する工程が、束ねパイプに前記カーボンワイヤー発熱体を挿通させると共に、ワイヤーカーボン部材の挿入側がU字状の状態で圧縮収容し、該束ねパイプを大径部に挿入することによって行われることを特徴とする請求項10または請求項11に記載されたヒータの製造方法。The step of compressing and storing the wire carbon member in the large diameter portion allows the carbon wire heating element to be inserted into the bundle pipe, and the wire carbon member insertion side is compressed and stored in a U-shaped state, and the bundle pipe has a large diameter. The method for manufacturing a heater according to claim 10 or 11, wherein the heater is inserted into a portion.
JP2000198339A 2000-06-30 2000-06-30 Heater and method for manufacturing the heater Expired - Fee Related JP4172565B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000198339A JP4172565B2 (en) 2000-06-30 2000-06-30 Heater and method for manufacturing the heater

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000198339A JP4172565B2 (en) 2000-06-30 2000-06-30 Heater and method for manufacturing the heater

Publications (2)

Publication Number Publication Date
JP2002015849A JP2002015849A (en) 2002-01-18
JP4172565B2 true JP4172565B2 (en) 2008-10-29

Family

ID=18696517

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000198339A Expired - Fee Related JP4172565B2 (en) 2000-06-30 2000-06-30 Heater and method for manufacturing the heater

Country Status (1)

Country Link
JP (1) JP4172565B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4510046B2 (en) * 2007-04-09 2010-07-21 コバレントマテリアル株式会社 Carbon wire enclosed heater
KR101054654B1 (en) * 2011-01-28 2011-08-04 이운용 Carbon heating element and heating lamp having the same
WO2012102457A1 (en) * 2011-01-28 2012-08-02 Woo Yong Lee Carbon heating element, manufacturing method thereof, heating lamp having the same and heating lamp with supporting part and flexible part
JP2013041805A (en) * 2011-07-20 2013-02-28 Fuji Impulse Kk Heater for impulse type heat sealer

Also Published As

Publication number Publication date
JP2002015849A (en) 2002-01-18

Similar Documents

Publication Publication Date Title
US6407371B1 (en) Heater
US7072578B2 (en) Carbon wire heating object sealing heater and fluid heating apparatus using the same heater
KR20010095084A (en) Fluid heating apparatus
EP0899777A2 (en) Carbon heater
JP4185194B2 (en) Carbon heater
US6204488B1 (en) Sealing terminal
JP4172565B2 (en) Heater and method for manufacturing the heater
US8785894B2 (en) Irradiation device having transition glass seal
JP2008243820A (en) Carbon heater
JP4198901B2 (en) Carbon heater
US7443100B2 (en) ARC tube discharge lamp with compression strain layer
JP3372515B2 (en) heater
EP1494262B1 (en) Feed-throughs and discharge lamp having one of such feed-throughs
JP3883003B2 (en) heater
JP4002961B2 (en) Carbon wire enclosed heater
US8488953B2 (en) Filament lamp
JP2945661B1 (en) Semiconductor manufacturing equipment
JP4510046B2 (en) Carbon wire enclosed heater
JP2006100024A (en) Heater
US20110042359A1 (en) Plasma heating device for an optical fiber and related methods
KR101450895B1 (en) Filament supporter and tube heater comprising the same
JP7406749B2 (en) heating device
JPH11102915A (en) Heater unit for semiconductor thermal treatment equipment
JP2007017101A (en) Fluid heating device
WO2011052591A1 (en) Lead wire

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070405

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20070711

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080806

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080806

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110822

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110822

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120822

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120822

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130822

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees