JP4157256B2 - Memory block and semiconductor memory device using the memory block - Google Patents

Memory block and semiconductor memory device using the memory block Download PDF

Info

Publication number
JP4157256B2
JP4157256B2 JP2000202676A JP2000202676A JP4157256B2 JP 4157256 B2 JP4157256 B2 JP 4157256B2 JP 2000202676 A JP2000202676 A JP 2000202676A JP 2000202676 A JP2000202676 A JP 2000202676A JP 4157256 B2 JP4157256 B2 JP 4157256B2
Authority
JP
Japan
Prior art keywords
circuit
data
output
signal
external
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000202676A
Other languages
Japanese (ja)
Other versions
JP2002025273A (en
Inventor
幸太郎 林
健治 元持
政志 縣
希総 車田
政則 白濱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2000202676A priority Critical patent/JP4157256B2/en
Publication of JP2002025273A publication Critical patent/JP2002025273A/en
Application granted granted Critical
Publication of JP4157256B2 publication Critical patent/JP4157256B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Static Random-Access Memory (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Dram (AREA)
  • Read Only Memory (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体記憶装置に関し、特にロジック回路等と同一半導体チップ上に集積化される混載型半導体記憶装置に関する。さらに特定的には半導体記憶装置のレイアウト構成に関する。
【0002】
【従来の技術】
図10は、従来のロジック回路と集積化される半導体記憶装置の全体の構成を示すものであり、図10において、従来の半導体記憶装置1は、ロジック回路10から外部制御信号EXCMD、外部アドレス信号EXADDにより制御され、ロジック回路と外部データバスDIOa―DIOfを介して、データ転送が行われる。従来の半導体記憶装置1は、各々が行列上に配列されるメモリセルからなるメモリセルアレイ3a―3fと、メモリセルアレイ3a―3fにそれぞれ対応して設けられ、内部データバスDLa―DLfを介して、選択メモリセルからの読み出しデータの出力及び外部データの書き込みを行うデータ入出力回路4a―4fと、メモリセルアレイ3a―3fからワード線を選択するロウデコーダ5a―5cと、外部制御信号及び外部アドレス信号を受け、データ入出力回路とロウデコーダを制御する制御回路6とで構成されている。
【0003】
図11は、従来の半導体記憶装置1におけるデータ入出力回路の構成図である。図11に示すように、データ入出力回路4は、各内部データバスDLから外部データバスDIOのバス幅分のデータバスを選択するデータバス選択回路41と、内部データバスDLを介してメモリセルの読み出しデータの増幅及びデータの書き込みを行うリードアンプ/ライトバッファ42と、外部の読み出し書き込みデータの入出力を外部データバスDIOを介して行うデータ入出力バッファ回路43とで構成されている。
【0004】
図12は、従来の半導体記憶装置1における制御回路6の構成図である。図12に示すように制御回路6は、外部制御信号EXCMDを取り込む入力バッファ回路61と、外部アドレス信号EXADDを取り込むアドレスバッファ回路62と、入力バッファ回路の出力信号INCMDを受け、コマンドのデコードを行うコマンドデコーダ63と、内部データバスを選択するためのカラムアドレス信号YADDを受け、プリデコードを行うカラムプリデコーダ64a―64cと、コマンドデコーダの出力信号CMD及びカラムプリデコーダからの出力信号PYa―PYcを取り込み、データ入出力回路の制御を行うデータ系制御回路65a―65cとアドレスバッファ回路からワード線を選択するためのロウアドレス信号XADDを受け、プリデコードを行うロウプリデコーダ66a―66cとで構成されている。また、7は内部電源回路を示し、メモリセルトランジスタのリーク電流を抑えるためのVBB電位、あるいはビット線のプリチャージ電位等を発生し、図示はしていない電源線によってメモリセルアレイに伝達する。
【0005】
次に、半導体記憶装置1の基本動作について、読み出し動作を例にとって説明する。
【0006】
まず外部制御信号EXCMD、外部アドレス信号EXADDがクロックの立ち上がりエッジで、それぞれ入力バッファ回路61、アドレスバッファ回路62に取り込まれる。取り込まれた外部制御信号は、入力バッファを通じてコマンドデコーダ63に入力しデコードされ、読み出し動作であることが識別される。ロウプリデコーダ66a―66cから出力されたロウプリデコード信号PXa―PXcは、ロウデコーダに入力され、メモリセルアレイ3a―3f内から指定のワード線が選択され、メモリセルからの読み出しデータが、図示していないセンスアンプにより増幅され、データバス上に出力される。
【0007】
一方、コマンドデコーダからの出力信号である内部コマンド信号CMDとカラムプリデコード信号PYa―PYcは、データ系制御回路65a―65cに入力され、データ入出力回路内の各回路にデータバスの選択やリードアンプ駆動の制御等を行う制御信号がデータ系制御信号から出力される。図ではこの制御信号をIOCNTa―IOCNTcとして示している。
【0008】
データ入出力回路では、データバス選択回路によりビット幅分の内部データバスを選択する。選択されたデータバスからの読み出しデータはリードアンプ回路でラッチされ、データ系制御回路から出力される制御信号により増幅され、データ入出力バッファ回路に転送される。転送データは入出力バッファ回路から外部データバスを介し、ロジック回路に出力される。
【0009】
【発明が解決しようとする課題】
ここでは、図10に示すように、1チップ上にロジック回路と半導体記憶装置を集積化する場合を考える。ロジック回路10と半導体記憶装置1はデータバスDIOa―DIOfを介して、データの授受が行われる。ロジック回路と半導体記憶装置を同チップ上に集積化する利点として、外部ピンを介さずデータの授受が行えるため、両者間の高速データ転送を実現できること、あるいは外部データバスの配線ピッチが短くなるため、ビット幅を拡張することができること等が挙げられる。
【0010】
ところで、従来の混載用半導体記憶装置は、品種ごとに決定された制御回路とデータ入出力回路(以下、「周辺回路」という。)とメモリセルアレイにより構成されているため、ロジック回路が比較的大容量のメモリ容量を必要とする場合、一般に周辺回路を固定したまま、メモリ容量のみを行方向に増加するという対応が取られていた。
【0011】
しかし、このようなメモリ容量の変更では、内部データバス長の増大によるデータバスの負荷の増大あるいはロウデコーダ内を伝わるロウプリデコート信号の遅延により半導体記憶装置自体の動作速度が劣化してしまうため、実質的にデータ転送能力が低下してしまうという問題点があった。
【0012】
また、従来のように制御回路が列方向に配列される構成を取ると、外部入力からデータ系制御回路あるいはロウデコーダに入力されるまでの配線長が長くなり、制御回路内における各回路間の配線のために多くの配線領域を必要としてしまうという問題点もあった。
【0013】
さらに、半導体記憶装置とロジック回路の集積化の利点である高ビット幅を十分生かすためには、各ロジック回路に対応して柔軟にそのビット幅を変更できるようにする必要がある。
【0014】
本発明は上述したような問題点を解消するべく、動作速度を劣化させることなく、容易にメモリ容量及びビット幅の構成を変更でき、またロジック回路との集積化に適した回路及びレイアウト構成を有する半導体記憶装置を提供することを目的とする。
【0015】
【課題を解決するための手段】
上記目的を達成するために本発明にかかるメモリブロックは、行列状に配列されるメモリセルからなるメモリセルアレイと、それに対応して配置され、メモリセルアレイからの読み出しデータの増幅及び外部データの書き込みを行う機能を有するデータ入出力回路と、メモリセルアレイからワード線を選択する機能を有するロウデコーダから構成され、外部制御信号及び外部アドレス信号を受け、選択されたメモリセルへの読み出し及び書き込み動作の制御を行う制御回路をさらに備え、外部制御信号及び外部アドレス信号によって、選択されたメモリセルへの読み出し及び書き込み動作を行うメモリブロックであって、制御回路により制御されるデータ入出力回路が、メモリセルアレイ上において一定方向に配置される内部データバスに対応して設けられ、指定のデータバスを選択する機能を有する複数のデータバス選択回路と、複数のデータバス選択回路が選択するデータバス数に対応して設けられ、メモリセルアレイの読み出しデータの増幅及び外部データの書き込み機能を有する複数のリードアンプ/ライトバッファ回路と、複数のリードアンプ/ライトバッファ回路に対応して設けられ、外部と読み出し書き込みデータの入出力を行う機能を有するデータ入出力バッファ回路を含み、対応するメモリセルアレイからデータ転送の方向に複数のデータバス選択回路、複数のリードアンプ/ライトバッファ回路、複数のデータ入出力バッファ回路の順に対応するメモリセルアレイ幅に配置され、さらに前記メモリセルアレイごとに、前記データ入出力回路の外部データバスが接続される側に隣接して配置される冗長救済用ヒューズを有し、前記データ入出力回路が、前記冗長救済用ヒューズによる救済信号をデコードするデコード回路を有することを特徴とする。
【0016】
かかる構成により、データ転送の方向に各回路を配置することによってデータ転送が高速化されるとともに、広いビット幅を有する半導体記憶装置に適したレイアウト構成を実現することが可能となる。
【0017】
また、本発明にかかるメモリブロックは、データ入出力回路が、外部又は内部からの制御信号によりビット幅を変更する機能を有する回路をさらに含むことが好ましい。同一の半導体記憶装置において容易に異なるビット幅を構成することができるからである。
【0018】
また、本発明にかかるメモリブロックは、複数のリードアンプ/ライトバッファ回路と複数のデータ入出力バッファ回路を内部データバスの延長方向に複数配列することにより、複数のビット幅を構成できる機能を有することが好ましい。結合するロジック回路に対応して任意のビット幅を有する半導体記憶装置の設計を容易に行うことができるからである。
【0021】
また、本発明にかかるメモリブロックは、複数のメモリセルアレイがロウデコーダに隣接して配置され、メモリセルアレイに対応して配置されるデータ入出力回路が制御回路に隣接して配置され、制御回路が、外部制御信号を取り込む機能を有する入力バッファ回路と、外部アドレス信号を取り込む機能を有するアドレスバッファ回路と、入力バッファ回路からの出力信号をデコードする機能を有するコマンドデコード回路と、アドレスバッファ回路からデータバスを選択するためのカラムアドレス信号を受け、カラムアドレス信号をプリデコードする機能を有するカラムプリデコーダと、コマンドデコード回路からの出力信号とカラムプリデコード信号を受け、データ入出力回路を制御する機能を有するデータ系制御回路と、アドレスバッファからの出力されるワード線を選択するためのロウアドレス信号を受け、ロウアドレス信号をプリデコードし、ロウデコーダへロウプリデコード信号を出力する機能を有するロウプリデコーダを有し、ロウデコーダの方向へ入力バッファ回路、コマンドデコード回路、カラムプリデコーダ、データ系制御回路、ロウプリデコーダの順に配置されていることが好ましい。制御回路を構成する各回路を、ロウデコーダの幅でもってクリティカルパスの順に配置することにより、制御回路内の各回路間の配線長を極めて短くすることができ回路動作の高速化を図ることができるからである。また、一定方向に配列されることから、制御回路内部の各回路の配線及びデータ系制御回路からデータ入出力回路への配線が容易となるからである。
【0022】
次に、上記目的を達成するために本発明にかかる半導体記憶装置は、上述したメモリブロック二つが隣接して配置され、各メモリブロックが同一の外部制御信号及び外部アドレス信号により制御され、各メモリブロックの有する各外部入出力データバスを用いて、各メモリブロック内の各メモリセルアレイへ任意のデータの読み出し書き込み動作を行う機能を有することを特徴とする。
【0023】
かかる構成により、半導体記憶装置の動作速度を劣化させずに、メモリ容量を増加することが可能となる。
【0024】
また、本発明にかかる半導体記憶装置は、外部制御信号及び外部アドレス信号が、所定のメモリブロック内部で分岐され、他の各メモリブロックへ入力されることが好ましい。外部に結合されるロジック回路が、外部制御信号に関するただ1つのインタフェースを介して、半導体記憶装置を構成する全てのメモリブロックを制御することができるからである。
【0025】
また、本発明にかかる半導体記憶装置は、周囲を外部より印加される電源線により取り囲まれたメモリブロックによって構成されることが好ましい。半導体記憶装置の電源が強化できるとともに雑音を低減することができるからである。
【0026】
また、本発明にかかる半導体記憶装置は、メモリブロックが、通常動作用とテストモード用のデータ入力及び出力信号線と、テスト時には出力データをラッチするラッチ回路と、クロックに同期してデータを出力する転送回路を含み、隣接する2つのメモリブロックが同一のデータ入力及び出力信号線によりテストを行う機能を有することが好ましい。テスト時に制御するテストピン数を削減することができるからである。
【0027】
また、本発明にかかる半導体記憶装置は、メモリブロックに設けられている内部電源回路のうち唯一つの内部電源回路内における検知回路からの検知レベルに応答して、半導体記憶装置全体の内部電源回路の出力回路が動作することが好ましい。半導体記憶装置内の内部発生電圧レベルの調整をただ一つの検知回路の調整により行うことができるからである。
【0028】
また、本発明にかかる半導体記憶装置は、半導体記憶装置に設けられているメモリブロックに設けられている内部電源回路内における検知回路のうち、唯一つの検知回路のみを動作させることが好ましい。非検知回路による悪影響やプロセスの変動による検知レベルのばらつきによって流れる貫通電流の発生を防止することができるからである。
【0029】
また、本発明にかかる半導体記憶装置は、隣接するメモリブロックの配置情報によりメモリブロック内に設けられている内部電源回路内部の検知回路の動作/非動作を自動的に判別する回路をさらに含むことが好ましい。半導体記憶装置内部の全内部電源回路の中でただ一つの検知回路のみを動作させる半導体記憶装置を容易に実現することができるからである。
【0030】
【発明の実施の形態】
(実施の形態1)
以下、本発明の実施の形態1にかかる半導体記憶装置について、図面を参照しながら説明する。図1は本発明の実施の形態1にかかる半導体記憶装置の構成図である。図1において、半導体記憶装置1は単独で動作可能な複数のメモリブロック2a、2b及び2cが隣接して配置された構成をとっている。
【0031】
ここで、各メモリブロック2a―2cは、外部印加される電源により周囲を囲まれている。また、半導体記憶装置1は、ロジック回路10と外部制御信号EXCMD、外部アドレス信号EXADD及び外部データバスDIOa―DIOfを介して、結合している。つまり、半導体記憶装置1は、ロジック回路10からの外部制御信号EXCMDと外部アドレス信号EADDに関する入力インタフェースをただ一つだけ有し、これらの外部信号はメモリブロック2b内で、例えば第4層メタル配線により配線され、各メモリブロック2a、2cに入力される。それに対して、外部データバスDIOa―DIOfは、各メモリセルアレイ3a―3fに対応して配置されているので、各メモリブロック2a―2c内の各メモリセルアレイ3a―3fには任意のデータの読み出し及び書き込みが行われる。
【0032】
次にメモリブロック2aを例に取り、各メモリブロックの構成について説明する。図2に示すように、メモリブロック2aは、行列上に配列されたメモリセルからなるメモリセルアレイ3a及び3bと、メモリセルアレイに対応して設けられ、内部データバスDLa及びDLbを介して選択メモリセルの読み出しデータの増幅及び出力と外部データの書き込みを行うデータ入出力回路4a及び4bと、メモリセルアレイからワード線を選択するロウデコーダ5aと、外部制御信号と外部アドレス信号を受け、データ入出力回路4a及び4bとロウデコーダ5aを制御する制御回路6aとで構成されている。また、7aは内部電源回路を示し、メモリブロック内に発生する電位を伝達するものである。8a及び8bは冗長救済用ヒューズを示し、メモリセルアレイに対応して配置されている。
【0033】
データ入出力回路4a及び4bは、各内部データバスDLa及びDLbから外部データバスDIOa及びDIObのバス幅分のデータバスを選択するデータバス選択回路41a及び41bと、内部データバスDLa及びDLbを介してメモリセルからの読み出しデータの増幅及びデータの書き込みを行うリードアンプ/ライトバッファ回路42a及び42bと、外部データバスDIOa及びDIObを介して、外部とのデータの授受を行うデータ入出力バッファ回路43a及び43bとから構成されており、図2に示すように配置されている。
【0034】
さらに図3は、制御回路6aの詳細な配置構成を示したものである。図3に示すように制御回路6aは、ロジック回路10と向かい合う面から、隣接するメモリブロック2a内から分岐される外部コマンド信号EXCMDを取り込む入力バッファ回路61aと、同じくメモリブロック2aから分岐された外部アドレス信号EXADDを取り込むアドレスバッファ回路62aと、入力バッファから出力された信号INCMDをデコードするコマンドデコーダ63aと、アドレスバッファ62aから出力され、内部データバスを選択するためのカラムアドレス信号YADDを取り込み、プリデコードを行うカラムプリデコーダ64aと、コマンドデコーダからの出力信号CMDとカラムプリデコーダの出力信号PYを取り込み、データ入出力回路を制御する制御信号IOCNTを出力するデータ系制御回路65aと、メモリセルアレイからワード線を選択するためのロウアドレス信号を取り込み、ロウプリデコード信号PXをロウデコーダに出力するロウプリデコーダ66aとで構成されている。
【0035】
図2、図3に示されているように、データ入出力回路4a及び4bがメモリセルアレイの幅でもってデータ転送の方向に配列されるため、データ入出力回路4a及び4bのデータ転送が高速化され、またロジック混載に適した広いビット幅を実現するためのデータ入出力回路4a及び4bの最適なレイアウト配置を実現することができる。
【0036】
また、制御回路6aは、入力となる外部信号の取り込み回路である入力バッファ回路61a、アドレスバッファ回路62aから、出力となるロウプリデコーダ66aまでロウデコーダの幅にクリティカルパスの順にレイアウト配置され、かつ配線長が短くなるので、クリティカルパスが高速化される。
【0037】
さらに、データ系制御回路についても、カラムプリデコーダ64a及びコマンドデコーダ63aからの出力信号が短い配線長で結ばれ、これらの配線がいずれも入力バッファからロウデコーダへの一定の方向に配列するため、配線遅延の減少による回路動作の高速化及び制御回路内部の各回路への配線やデータ系制御回路からデータ入出力回路内の各回路への配線が容易となる。
【0038】
このような構成を有するメモリブロック2a―2cを図1に示すように配列し、半導体記憶装置1を構成する。外部制御信号及び外部アドレス信号は、1つの半導体記憶装置に対して1つのインタフェースから入力され、半導体記憶装置1を構成するメモリブロック2b内で分岐され、各メモリブロックの入力バッファ回路及びアドレスバッファ回路に入力される。
【0039】
各メモリブロックは単独で動作可能であるように設計されているため、外部信号を内部で分岐し、各メモリブロックに入力して制御する構成とすることにより、メモリブロック本来の動作速度を劣化させずに半導体記憶装置1の容量を増大できる。また、このとき各メモリブロック内の制御回路に入力される外部制御信号及び外部アドレス信号を配線するための配線領域は、例えば第4層メタル配線を用いることにより、周辺回路上を配線することによって、外部信号の配線による面積の増大を防ぐことができる。
【0040】
さらに、これらの外部信号を通常動作の制御に用いられるノーマルモード用信号線と、テスト時のみ用いられ、通常動作時には「ハイ」もしくは「ロー」に固定されるテストモード用信号線を交互に配置することにより、配線間のクロストークによる配線遅延が低減される。また、周辺回路上の外部信号が配線されない領域においては、第4層メタル配線を用いて、半導体記憶装置1全体に電源線がメッシュ上に配線されるため、電源が強化される。
【0041】
さらに、各メモリブロックは電源線により周囲を取り囲んでいるため、各メモリブロックの境界で電源線がさらに強化されることになる。また、取り囲んだ電源線により、隣接するメモリブロック内のメモリセルアレイあるいはデータ入出力回路間の雑音を低減する効果がある。さらに、半導体記憶装置1がこのような構成をとることにより、複数のメモリブロックを隣接配置し、外部信号を配線するだけで、容易に任意の容量を有するメモリブロックを設計できる。
【0042】
以上のように本実施の形態1によれば、半導体記憶装置を各々が単独で動作する機能を有する複数のメモリブロックを隣接して配置し、外部入力信号が半導体記憶装置を構成するメモリブロック内部で分岐されて各メモリブロックに入力し、各メモリブロックがロジック回路と各々の外部データバスを介して各メモリセルアレイにデータの読み出し書き込み動作を行うことにより、メモリブロックの動作速度を劣化させずに半導体記憶装置のメモリ容量を増加することができる。
【0043】
また、各メモリブロックの制御回路をロウデコーダの幅にあわせて、入力バッファ、コマンドデコーダ、カラムプリデコーダ、カラム制御回路、及びロウプリデコーダと、クリティカルパスの順に配置することにより、周辺回路内における各回路間の配線長の短縮による周辺回路の高速化を図ることができ、制御回路の各配線が列方向に配列されることによって、データ系制御回路からデータ入出力回路への配線が容易となる。
【0044】
さらに、データ入出力回路をメモリセルアレイからデータバス選択回路、リードアンプ/ライトバッファ回路、データ入出力バッファ回路の順に配置することにより、データ転送の高速化を図ることができ、また各メモリブロックを電源線により取り囲むことにより、半導体記憶装置全体の電源が強化される。
【0045】
(実施の形態2)
図4は本発明の実施の形態2にかかる半導体記憶装置の構成図であり、図4において、半導体記憶装置1はメモリブロック2a―2cが隣接して配置される構成となっている。内部電源回路7a―7cは、各メモリブロックに設けられた内部電源回路を示し、各内部電源回路内の検知回路71a―71cから出力される各検知レベルVDECa―VDECcは、メモリブロック1内でただ一つの検知レベルVDECとして結合され、この共通検知レベルVDECが半導体記憶装置内に設けられている全ての内部電源回路の出力回路72a―72cに入力され、各メモリブロックに内部発生電位VOUTが伝達される。
【0046】
また、73はメモリブロックの隣接情報に基づいて、検知回路に判定信号VOPa―VOPcを出力し、検知回路の動作、非動作に関する指示を行う内部電源動作判定回路である。
【0047】
図5は、内部電源動作判定回路の具体的構成を示した図である。図5において、73a―73cは各メモリブロック2a―2c内の内部電源回路に設けられた内部電源動作判定回路を示し、91a―91cはそれぞれのメモリブロックの隣接情報を取り込み、検知回路の動作、非動作を判定し、指示する判定回路を示す。また、92a―92cは隣接するメモリブロックに隣接情報を与える隣接情報出力回路である。以下では、具体的に内部電源動作判定回路の動作について説明する。
【0048】
判定回路91a―91cは、パワーオンリセット信号を初段のインバータのゲートへの入力とするようなラッチ回路であり、かかるインバータを構成するPチャネルトランジスタのソース側には、隣接するメモリブロックからの隣接情報出力回路92a―92cにおける出力信号が接続されている。
【0049】
隣接情報出力回路92a―92cは、外部電源VDDに抵抗Ra―Rcを結合し、メモリブロック端に、例えば第4層メタル配線等で配置される構成となっている。判定回路91a―91cに入力されるパワーオンリセット信号は、電源投入時には「ハイ」レベルを保ち、一定時間経過後「ロー」に立ち下がる信号である。
【0050】
つまり、内部電源判定回路内の各判定回路は電源投入されると、「ハイ」データがラッチされる。その後パワーオンリセット信号が「ロー」に立ち下がると、メモリブロックの隣接情報、すなわち図7においては、上側にメモリブロックが隣接するような内部電源動作判定回路73b―73cでは、ラッチ回路を構成する初段インバータのPチャネルトランジスタのソース電位にVDDが入力されるため、パワーオンリセット信号が「ロー」に立ち下がることにより、判定回路に「ロー」がラッチされる。
【0051】
これに対し、図7において、半導体記憶装置の上端に配置されたメモリブロック内の内部電源動作判定回路73には隣接情報が入力されないため、初段インバータを構成するPチャネルトランジスタのソース電位は「OPEN」となり、パワーオンリセット信号が「ロー」に立ち下がっても、ラッチされた「ハイ」データがそのままラッチされ続ける。このラッチ回路からの出力信号が内部電源回路内部の検知回路に入力され、検知回路の動作/非動作が決定される。
【0052】
このため、半導体記憶装置内部の全内部電源回路の中で、図7において上端のメモリブロック内における内部電源回路内部の検知回路のみが動作し、各内部電源回路の検知レベルを結合しているため、半導体記憶装置はただ一つの検知レベルにより、各内部電源回路の出力レベルが決定される。このことにより、ヒューズ等により電圧レベルを調整するような手段を講じる際、全ての内部電源回路がただ一つの検知レベルに応答して出力電位を決定するため、メモリブロック内全ての検知回路を調整することなく、検知レベルを決定する検知回路についてのみ検知レベルを調整すればよい。
【0053】
また、半導体記憶装置内でただ一つだけの検知回路を動作し、他の検知回路を非動作とするため、消費電力の低下はもとより、検知レベルを結合することにより、プロセスの変動による検知レベルのばらつきによって流れる貫通電流の発生を防止することができる。さらに内部電源動作判定回路を設けることにより、半導体記憶装置内部の全内部電源回路の中でただ一つの検知回路のみを動作させる半導体記憶装置が容易に実現される。
【0054】
以上のように本実施の形態2によれば、半導体記憶装置を構成するメモリブロックの全内部電源回路のうち、ただ一つの内部電源発生回路内部の検知回路が出力する各検知レベルにより全て内部電源回路の発生電位を決定するため、ただ一つの検知回路の検知レベルを調整することにより、半導体記憶装置を構成するすべてのメモリブロックの内部電源回路で発生した内部電位を調整することができる。また各内部電源回路に内部電源動作判定回路を設け、隣接情報から半導体記憶装置を構成する全てのメモリブロックの中でただ一つのメモリブロックの有する内部電源回路の検知回路を動作させるため、消費電力の低下を図ることができ、検知レベルを結合することにより、プロセスの変動によって生じる検知レベルのばらつきにより流れる貫通電流の発生を防止することができる。
【0055】
なお、本実施の形態2では、半導体記憶装置を構成するメモリブロックの中で、左端のメモリブロックにおける内部電源回路内部の検知回路を動作させたが、内部電源動作判定回路を変更することにより、半導体記憶装置を構成するどのメモリブロックの内部電源回路内部の検知回路を動作させても良い。
【0056】
(実施の形態3)
図6は本発明の実施の形態3にかかる半導体記憶装置の要部を示したものであり、メモリブロック2a及び2bによって構成されている。本実施の形態3にかかる半導体記憶装置は、実施の形態1でみられるような外部制御信号及び外部アドレス信号と同様に、1ビットのテストデータ入力信号PDIN、テストデータ出力信号PDOがメモリブロック2aに入力し、内部で分岐して各メモリブロックに配線している。メモリブロック2a及び2bは検査時のテストピン数削減するため、図示しないデコード回路によりメモリセルアレイ及びビットのデコードが行われ、1ビットのデータの入出力により検査が行われる。
【0057】
図6において、100a―100q及び101a―101qはそれぞれメモリブロック2a及び2b内のデータ出力バッファ回路を示し、入力OUTENに「ハイ」入力されると導通状態になり、「ロー」入力で「Hi−Z」状態となる。制御信号からのデコード信号PTは各データ出力バッファ回路のOUTENに入力され、ただ一つの出力バッファ回路のみ導通状態となり、その他は「Hi−Z」状態とされる。各出力バッファ回路からの出力はPDOUTa、PDOUTbとして一つの配線に結合され、テストデータラッチ回路102a及び102bに入力される。テストデータラッチ回路はクロックの立ち上がりに同期して、データを出力するDFF回路から構成される。転送指示回路103a及び103bはトライステートバッファとスイッチ104により構成され、制御回路からの制御信号PDOEN1あるいはPDOEN2により、外部へのデータ出力の制御を行う。以下では具体的にテスト時のデータ出力動作について、タイミングチャート(図7)を用いて説明する。ここでは、メモリブロック2a及び2bがレーテンシー2であるような半導体記憶装置であるとする。
【0058】
まず、メモリブロック2a及び2bにクロックサイクル#a、#bにおいてリードコマンドが入力されるとする。クロックサイクル#aでの読み出しデータは、2サイクル後のクロックサイクル#cの立ち上がりエッジまでに出力バッファからの出力信号PDOUTa、PDOUTbが確定される。そのため、テストデータラッチ回路102a及び102bは出力信号PDOUTa、PDOUTbをクロックサイクル#bのクロック立ち下がり期間中に取り込むことができ、クロックサイクル#cの立ち上がりエッジを受け、出力信号PDOBa、PDOBbを転送回路に出力する。
【0059】
転送回路103a及び103bは、それぞれスイッチ104a及び104bにより選択された制御信号PDOEN1、PDOEN2より制御される。PDOEN1、PDOEN2はそれぞれ立ち上がりエッジ、立ち下がりエッジに同期したパルス信号であり、そのためデータ出力信号線PDOにはテストデータ出力信号クロックサイクル#cの立ち上がりエッジに同期してメモリブロック2aの出力信号DQa#aが、クロックサイクル#cの立ち下がりエッジに同期してメモリブロック2bの出力信号DQB#aがそれぞれ出力される。
【0060】
以下、クロックサイクル#bでの読み出しデータについても同様に、クロックサイクル#dの立ち上がりエッジ及び立ち下がりエッジに同期して、メモリブロックa及びbの読み出しデータDQa#b、DQb#bが出力される。このため、メモリブロック2a及び2bの検査に必要なテストデータの出力信号線がわずかに1本のみとなる。
【0061】
以上のように本実施の形態3によれば、データ入出力回路内に、制御信号から出力される出力ビットをデコードするデコード信号を受け、ただ一つのみを導通状態とし、その他を「Hi−Z」状態とするデータ出力バッファ回路と、テストモード時にデータ出力バッファ回路の出力データをラッチするテストデータラッチ回路と、そのテストデータラッチ回路からの出力データを制御信号により、隣接するメモリブロックで共用するテストモード用データ出力信号線に出力する転送回路により、隣接するメモリブロックの出力データをそれぞれクロックの立ち上がりエッジと立ち下がりエッジに分けて出力するために、テスト時に制御するテストピン数を削減することが可能となる。
【0062】
(実施の形態4)
図8は本発明の実施の形態4にかかる半導体記憶装置の要部を示したものであり、4はデータ入出力回路を示し、データバス選択回路41、リードアンプ/ライトバッファ回路42、データ入出力バッファ回路43、冗長救済用ヒューズから出力された冗長救済信号ROMをデコードするデコード回路44とで構成されており、8は冗長救済用ヒューズである。冗長救済用ヒューズから出力された冗長救済信号はデコード回路でデコードされ、内部データバス単位を救済する構成となっている。
【0063】
このため、データ入出力回路はメモリセルアレイから、データバス選択回路41、デコード回路44、リードアンプ/ライトバッファ回路42、データ入出力バッファ回路43の順に配列され、また冗長救済用ヒューズ8がデータ入出力バッファ回路43に隣接するように配置されている。
【0064】
従来、ヒューズ間隔は配線間隔よりも広く取る必要があるため、ビット幅が拡張された場合においては、ヒューズを各メモリセルアレイに対応して配置することは配線上困難であった。しかし、このように冗長救済用ヒューズ8から出力される救済情報ROMをデコードするデコード回路44をデータ入出力回路4内に設けることによりヒューズ本数を大幅に削減し、また冗長救済用ヒューズ8をデータ入出力回路4の最外部に隣接して配置させることにより、ヒューズが各メモリセルアレイに対応して配置されても、外部ロジック回路と広いデータバス幅を設けるための十分な配線面積を確保できる。
【0065】
また本実施の形態4では、外部への出力ビット幅はデータ系制御回路から出力されるビット制御信号により、ビット幅を用途に応じて変更できる構成を有している。
【0066】
図9は本実施の形態4にかかる半導体記憶装置におけるメモリブロックの要部構成図である。図9において、メモリブロックが128ビットの内部データバスを持ち、外部データバスを16あるいは8ビットに変更できるものとする。ここでは読み出し動作を例に、ビット幅が16ビット、あるいは8ビットでの動作について説明する。
【0067】
まず、外部アドレス信号に対応したメモリセルアレイ内の選択メモリセルの読み出しデータが内部データバスに出力される。内部データバスは、制御回路からのデータバス選択信号を受け、128本から16本が選択される。選択された16本のバスに対応して設けられている16個のリードアンプ/ライトバッファ回路421a―421qは、それぞれリードアンプ駆動信号により駆動され、増幅された読み出しデータDLOa―DLOqがそれぞれ出力バッファ回路111a―111qに転送される。出力バッファ回路は制御回路からのビット幅制御信号IOCHGにより制御され、IOCHGが「ロー」のとき出力バッファ回路のOUTENに「ハイ」が入力され、16個全ての出力バッファ回路が導通状態となり、「ハイ」のときにはビット選択アドレスPTADDに選択された8個のみが導通状態となり、その他が「Hi−Z」となるように出力される。外部データバスDIOは隣り合う2つのデータバスがNチャネルトランジスタにより結合され、ビット制御信号IOCHGが「ハイ」になると、隣り合う出力データ信号線がショートとされ、同一のデータが出力される。
【0068】
またデータ入出力回路内のリードアンプ/ライトバッファ回路、入出力バッファ回路は、ここで設定したように16個の各リードアンプ回路、入出力バッファ回路でセル化し、より多くのビット幅を必要とする半導体記憶装置を設計する場合、各回路セルを行方向に配列することにより、データ転送速度を保ちつつ、容易に必要なビット幅に応じたメモリブロックが設計できる。
【0069】
以上のように本実施の形態4によれば、データ入出力回路内部に冗長救済用ヒューズからの救済信号をデコードするデコード回路を設けることにより、ヒューズ本数を削減でき、冗長救済用ヒューズがデータ入出力回路の最外部に隣接して配置されるため、ヒューズが各メモリセルアレイに対応して配置されても、外部との広いデータバス幅を設けるための十分な配線面積を確保することができる。
【0070】
また、ビット制御信号とビット選択信号により、データ入出力回路内のデータ入出力バッファ回路の一部または全てを導通し、その他を「Hi−Z」とさせ、さらに外部データバスを制御することによって、容易にビット幅を変更することができる。
【0071】
さらに、各複数のリードアンプ/ライトバッファ回路及びデータ入出力バッファ回路をセル化しておき、必要に応じて各回路セルを行方向に配列することにより、データ転送速度を保ちつつ容易に必要な外部ビット幅に応じたメモリブロックが容易に設計できる。
【0072】
なお、本実施の形態4においてはビット制御信号を内部で発生させているが、外部から制御させるものであってもよく、そのビット幅もビットを選択するための外部アドレス信号とともに入力することにより複数のビット構成を選択できるようにしても良いことは言うまでもない。
【0073】
【発明の効果】
以上のように本発明にかかるメモリブロックによれば、行列上にメモリセルが配置されたメモリセルアレイとメモリセルアレイに対応して配置され、データ入出力回路がメモリセルアレイから外部データバスまでデータ転送の順に配置された構成をもつデータ入出力回路と、メモリセルアレイからワード線を選択するロウデコーダと、外部制御信号およびアドレス信号を取り込みデータ入出力回路およびロウデコーダの制御を行い、ロジック回路に向かい合う面からロウデコーダの方向へクリティカルパスの順に配置される制御回路と、メモリセルアレイに対応した冗長救済用のヒューズにより構成されることにより、容易にレイアウト設計を行うことができ、高速動作及び高速データ転送することが可能となる。
【0074】
また、データ入出力回路が制御回路からの制御信号により容易にビット幅を変更することができ、データ入出力回路がデータ入出力回路内の各回路セルを複数配列して構成することにより、容易に任意のビット構成を有するメモリブロックを実現することが可能となる。
【0075】
また、本発明にかかる半導体記憶装置によれば、上述したメモリブロックを複数個隣接して配置し、外部制御信号及び外部アドレス信号が前記メモリブロック内部で分岐され、各メモリブロックに入力することにより制御するという構成により、メモリ容量の増大によっても高速動作をすることができる。
【0076】
さらに、本発明にかかる半導体記憶装置によれば、メモリブロックの隣接情報により内部電源回路の動作、非動作を決定する判定回路を有することにより、全てのメモリブロック内の内部電源回路における検知回路のなかで、ただ一つの検知回路のみを動作させ、その検知レベルにより全ての内部電源回路内の出力回路を動作することにより、複数の内部電源回路の検知回路のなかでただ一つの検知回路の検知の調節により、半導体記憶装置全体の内部発生電位を調節することが可能となる。
【0077】
また、本発明にかかる半導体記憶装置によれば、テスト時の入出力データ信号を各メモリブロックに配線し、データ出力バッファ回路の出力データをラッチするラッチ回路とクロックに同期させてデータを出力する転送回路を有する設けることにより、テスト時により少ないテストピンにより検査が可能となる。さらに、必要に応じて、任意にビット幅とメモリ容量を有する半導体記憶装置を容易に設計することも可能となる。
【図面の簡単な説明】
【図1】 本発明の実施の形態1にかかる半導体記憶装置の構成図
【図2】 本発明の実施の形態1にかかるメモリブロックの構成図
【図3】 本発明の実施の形態1にかかるメモリブロックにおける制御回路の概略構成図
【図4】 本発明の実施の形態2にかかる半導体記憶装置における内部電源回路の概略構成図
【図5】 本発明の実施の形態2にかかる半導体記憶装置における内部電源動作判定回路の構成図
【図6】 本発明の実施の形態3にかかる半導体記憶装置における出力バッファ回路の構成図
【図7】 本発明の実施の形態3にかかる半導体記憶装置におけるテスト時のデータ出力動作を示すタイミングチャート図
【図8】 本発明の実施の形態4にかかるメモリブロックの概略構成図
【図9】 本発明の実施の形態4にかかるメモリブロック内部におけるデータ出力回路の構成図
【図10】 従来の半導体記憶装置の全体構成図
【図11】 従来の半導体記憶装置内部におけるデータ入出力回路周辺の構成図
【図12】 従来の半導体記憶装置内部における制御回路の構成図
【符号の説明】
1 半導体記憶装置
2 メモリブロック
3、3a−3f メモリセルアレイ
4、4a−4f データ入出力回路
41、41a、41b データバス選択回路
42、42a、42b、421a−421q リードアンプ/ライトバッファ回路
43、43a、43b データ入出力回路
44 冗長救済情報デコード回路
5a、5b、5c ロウデコーダ回路
6、6a、6b、6c 制御回路
61、61a 入力バッファ回路
62、62a アドレスバッファ回路
63、63a コマンドデコード回路
64、64a、64b、64c カラムプリデコーダ
65、65a、65b、65c データ系制御回路
66、66a、66b、66c ロウプリデコーダ
7、7a、7b、7c 内部電源回路
71a、71b、71c 内部電源回路内の検知回路
72a、72b、72c 内部電源回路内の出力回路
73a、73b、73c 内部電源回路内の内部電源動作判定回路
8、8a、8b、8c 冗長救済用ヒューズ
91a、91b、91c 判定回路
92a、92b、92c 隣接情報出力回路
100a−100q 出力バッファ回路
101a−101q 出力バッファ回路
102a、102b テストデータラッチ回路
103a、103b 転送回路
DL、DLa−DLf 内部データバス
DIO、DIOa−DIOf 外部データバス
EXCMD 外部制御信号
EXADD 外部アドレス信号
INCMD 内部コマンド信号
CMD コマンドデコード信号
XADD ロウアドレス信号
YADD カラムアドレス信号
IOCNYT、IOCNTa、IOCNTb、IOCNTc データ系制御信号
PX、PXa、PXb、PXc ロウプリデコード信号
PY、PYa、PYb、PYc カラムプリデコード信号
VOUT 内部発生電位
VDEC、VDECa、VDECb、VDECc 検知レベル
VOPa、VOPb、VOPc 動作指示信号
Ra、Rb、Rc 抵抗
POR パワーオンリセット信号
PDOUTa、PDOUTb データ出力バッファからの出力信号
PDOBa、PDOBb テストデータラッチ回路からの出力信号
PDOEN1、PDOEN2 データ転送制御信号
PDO 外部データ出力信号
PT、PTADD ビット選択デコード信号
DQa#a、DQa#b、DQb#a、DQb#b 出力データ
IOCHG ビット幅制御信号
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a semiconductor memory device, and more particularly to an embedded semiconductor memory device integrated on the same semiconductor chip as a logic circuit or the like. More specifically, the present invention relates to a layout configuration of a semiconductor memory device.
[0002]
[Prior art]
FIG. 10 shows an overall configuration of a semiconductor memory device integrated with a conventional logic circuit. In FIG. 10, the conventional semiconductor memory device 1 receives an external control signal EXCMD, an external address signal from the logic circuit 10. Controlled by EXADD, data transfer is performed via the logic circuit and external data buses DIOa-DIOf. The conventional semiconductor memory device 1 is provided corresponding to each of the memory cell arrays 3a-3f and the memory cell arrays 3a-3f each consisting of memory cells arranged in a matrix, and via internal data buses DLa-DLf, Data input / output circuits 4a-4f for outputting read data from selected memory cells and writing external data, row decoders 5a-5c for selecting word lines from the memory cell arrays 3a-3f, external control signals and external address signals And a control circuit 6 that controls the data input / output circuit and the row decoder.
[0003]
FIG. 11 is a configuration diagram of a data input / output circuit in the conventional semiconductor memory device 1. As shown in FIG. 11, the data input / output circuit 4 includes a data bus selection circuit 41 for selecting a data bus corresponding to the bus width of the external data bus DIO from each internal data bus DL, and a memory cell via the internal data bus DL. A read amplifier / write buffer 42 for amplifying read data and writing data, and a data input / output buffer circuit 43 for inputting / outputting external read / write data via an external data bus DIO.
[0004]
FIG. 12 is a configuration diagram of the control circuit 6 in the conventional semiconductor memory device 1. As shown in FIG. 12, the control circuit 6 receives the external control signal EXCMD, the address buffer circuit 62 that captures the external address signal EXADD, and the input buffer circuit output signal INCMD, and decodes the command. The command decoder 63 receives the column address signal YADD for selecting the internal data bus, receives column predecoders 64a to 64c for predecoding, the output signal CMD of the command decoder and the output signals PYa to PYc from the column predecoder. Data system control circuits 65a-65c for fetching and controlling data input / output circuits, and row predecoders 66a-66c for receiving a row address signal XADD for selecting a word line from the address buffer circuit and performing predecoding. ing. Reference numeral 7 denotes an internal power supply circuit, which generates a VBB potential for suppressing a leak current of the memory cell transistor, a precharge potential of a bit line, etc., and transmits it to the memory cell array through a power supply line (not shown).
[0005]
Next, the basic operation of the semiconductor memory device 1 will be described taking a read operation as an example.
[0006]
First, the external control signal EXCMD and the external address signal EXADD are taken into the input buffer circuit 61 and the address buffer circuit 62, respectively, at the rising edge of the clock. The fetched external control signal is input to the command decoder 63 through the input buffer, decoded, and identified as a read operation. Row predecode signals PXa to PXc output from the row predecoders 66a to 66c are input to the row decoder, a designated word line is selected from the memory cell arrays 3a to 3f, and read data from the memory cells are shown in the figure. Amplified by a sense amplifier that is not connected to the data bus.
[0007]
On the other hand, an internal command signal CMD and column predecode signals PYa-PYc, which are output signals from the command decoder, are input to the data system control circuits 65a-65c, and data bus selection and reading are performed in each circuit in the data input / output circuit. A control signal for controlling amplifier driving or the like is output from the data system control signal. In the figure, this control signal is shown as IOCNTa-IOCNTc.
[0008]
In the data input / output circuit, the data bus selection circuit selects an internal data bus corresponding to the bit width. The read data from the selected data bus is latched by the read amplifier circuit, amplified by the control signal output from the data system control circuit, and transferred to the data input / output buffer circuit. The transfer data is output from the input / output buffer circuit to the logic circuit via the external data bus.
[0009]
[Problems to be solved by the invention]
Here, as shown in FIG. 10, a case where a logic circuit and a semiconductor memory device are integrated on one chip is considered. The logic circuit 10 and the semiconductor memory device 1 exchange data via data buses DIOa to DIOf. As an advantage of integrating the logic circuit and the semiconductor memory device on the same chip, data can be exchanged without using external pins, so that high-speed data transfer between them can be realized, or the wiring pitch of the external data bus is shortened. In other words, the bit width can be expanded.
[0010]
By the way, a conventional semiconductor memory device for mixed use is composed of a control circuit, a data input / output circuit (hereinafter referred to as “peripheral circuit”) and a memory cell array determined for each product type, so that the logic circuit is relatively large. When a large memory capacity is required, generally, a countermeasure has been taken in which only the memory capacity is increased in the row direction while the peripheral circuit is fixed.
[0011]
However, with such a change in memory capacity, the operation speed of the semiconductor memory device itself deteriorates due to an increase in the load of the data bus due to an increase in the internal data bus length or a delay in the row predecoding signal transmitted through the row decoder. In particular, there is a problem that the data transfer capability is lowered.
[0012]
In addition, when the control circuit is arranged in the column direction as in the prior art, the wiring length from the external input to the data system control circuit or the row decoder is increased, and between the circuits in the control circuit There is also a problem that a large wiring area is required for wiring.
[0013]
Furthermore, in order to make full use of the high bit width which is an advantage of integration of the semiconductor memory device and the logic circuit, it is necessary to be able to flexibly change the bit width corresponding to each logic circuit.
[0014]
In order to solve the above-described problems, the present invention can easily change the configuration of the memory capacity and the bit width without deteriorating the operation speed, and has a circuit and layout configuration suitable for integration with a logic circuit. It is an object to provide a semiconductor memory device having the above.
[0015]
[Means for Solving the Problems]
In order to achieve the above object, a memory block according to the present invention is arranged corresponding to a memory cell array composed of memory cells arranged in a matrix, and amplifies read data from the memory cell array and writes external data. A data input / output circuit having a function to perform, and a row decoder having a function of selecting a word line from the memory cell array, receiving an external control signal and an external address signal, and controlling read and write operations to the selected memory cell Is a memory block that performs read and write operations to a selected memory cell by an external control signal and an external address signal, and a data input / output circuit controlled by the control circuit includes a memory cell array On the internal data bus arranged in a certain direction above And a plurality of data bus selection circuits having a function of selecting a designated data bus, and provided corresponding to the number of data buses selected by the plurality of data bus selection circuits. A plurality of read amplifier / write buffer circuits having a function of writing external data, and a data input / output buffer circuit provided corresponding to the plurality of read amplifiers / write buffer circuits and having a function of inputting / outputting read / write data to / from the outside In the direction of data transfer from the corresponding memory cell array, a plurality of data bus selection circuits, a plurality of read amplifier / write buffer circuits, and a plurality of data input / output buffer circuits are arranged in the corresponding memory cell array width in this order. Each of the memory cell arrays further includes a redundant relief fuse disposed adjacent to a side to which the external data bus of the data input / output circuit is connected, and the data input / output circuit is constituted by the redundant relief fuse. Has a decoding circuit for decoding the relief signal It is characterized by that.
[0016]
With this configuration, by arranging each circuit in the data transfer direction, the data transfer can be speeded up and a layout configuration suitable for a semiconductor memory device having a wide bit width can be realized.
[0017]
In the memory block according to the present invention, it is preferable that the data input / output circuit further includes a circuit having a function of changing a bit width by a control signal from the outside or the inside. This is because different bit widths can be easily configured in the same semiconductor memory device.
[0018]
The memory block according to the present invention has a function of configuring a plurality of bit widths by arranging a plurality of read amplifier / write buffer circuits and a plurality of data input / output buffer circuits in the extension direction of the internal data bus. It is preferable. This is because a semiconductor memory device having an arbitrary bit width corresponding to the logic circuit to be coupled can be easily designed.
[0021]
In the memory block according to the present invention, a plurality of memory cell arrays are disposed adjacent to the row decoder, a data input / output circuit disposed corresponding to the memory cell array is disposed adjacent to the control circuit, and the control circuit includes An input buffer circuit having a function of taking in an external control signal, an address buffer circuit having a function of taking in an external address signal, a command decode circuit having a function of decoding an output signal from the input buffer circuit, and data from the address buffer circuit A column predecoder having a function of receiving a column address signal for selecting a bus and predecoding the column address signal, and a function of receiving an output signal and a column predecode signal from a command decode circuit and controlling a data input / output circuit A data system control circuit having A row predecoder having a function of receiving a row address signal for selecting a word line to be output from the memory, predecoding the row address signal, and outputting a row predecode signal to the row decoder; The input buffer circuit, the command decode circuit, the column predecoder, the data system control circuit, and the row predecoder are preferably arranged in this order. By arranging the circuits constituting the control circuit in the order of critical paths with the width of the row decoder, the wiring length between the circuits in the control circuit can be extremely shortened, and the circuit operation can be speeded up. Because it can. In addition, since they are arranged in a certain direction, wiring of each circuit inside the control circuit and wiring from the data system control circuit to the data input / output circuit are facilitated.
[0022]
Next, in order to achieve the above object, the semiconductor memory device according to the present invention includes the memory block described above. Two Arranged adjacently, each memory block is controlled by the same external control signal and external address signal, and arbitrary data is transferred to each memory cell array in each memory block using each external input / output data bus of each memory block. It has a function of performing a read / write operation.
[0023]
With this configuration, it is possible to increase the memory capacity without deteriorating the operation speed of the semiconductor memory device.
[0024]
In the semiconductor memory device according to the present invention, it is preferable that the external control signal and the external address signal are branched inside a predetermined memory block and input to other memory blocks. This is because the logic circuit coupled to the outside can control all the memory blocks constituting the semiconductor memory device through only one interface related to the external control signal.
[0025]
The semiconductor memory device according to the present invention is preferably constituted by a memory block surrounded by a power supply line applied from the outside. This is because the power source of the semiconductor memory device can be strengthened and noise can be reduced.
[0026]
Further, in the semiconductor memory device according to the present invention, the memory block outputs data in synchronization with a clock, data input and output signal lines for normal operation and test mode, a latch circuit that latches output data during a test, and the like. It is preferable that two adjacent memory blocks have a function of performing a test using the same data input and output signal lines. This is because the number of test pins to be controlled during the test can be reduced.
[0027]
The semiconductor memory device according to the present invention is responsive to the detection level from the detection circuit in only one internal power supply circuit among the internal power supply circuits provided in the memory block. It is preferable that the output circuit operates. This is because the internal voltage level in the semiconductor memory device can be adjusted by adjusting only one detection circuit.
[0028]
In the semiconductor memory device according to the present invention, it is preferable to operate only one detection circuit among the detection circuits in the internal power supply circuit provided in the memory block provided in the semiconductor memory device. This is because it is possible to prevent the occurrence of a through current due to an adverse effect of the non-detection circuit or a variation in detection level due to process variations.
[0029]
The semiconductor memory device according to the present invention further includes a circuit that automatically determines the operation / non-operation of the detection circuit in the internal power supply circuit provided in the memory block based on the arrangement information of the adjacent memory block. Is preferred. This is because a semiconductor memory device that operates only one detection circuit among all the internal power supply circuits in the semiconductor memory device can be easily realized.
[0030]
DETAILED DESCRIPTION OF THE INVENTION
(Embodiment 1)
Hereinafter, a semiconductor memory device according to a first embodiment of the present invention will be described with reference to the drawings. 1 is a configuration diagram of a semiconductor memory device according to a first embodiment of the present invention. In FIG. 1, a semiconductor memory device 1 has a configuration in which a plurality of memory blocks 2a, 2b and 2c which can operate independently are arranged adjacent to each other.
[0031]
Here, each memory block 2a-2c is surrounded by an externally applied power source. The semiconductor memory device 1 is coupled to the logic circuit 10 via an external control signal EXCMD, an external address signal EXADD, and external data buses DIOa to DIOf. That is, the semiconductor memory device 1 has only one input interface related to the external control signal EXCMD and the external address signal EADD from the logic circuit 10, and these external signals are, for example, the fourth layer metal wiring in the memory block 2b. And are input to the memory blocks 2a and 2c. On the other hand, since the external data buses DIOa to DIOf are arranged corresponding to the memory cell arrays 3a to 3f, any data can be read out from the memory cell arrays 3a to 3f in the memory blocks 2a to 2c. Writing is performed.
[0032]
Next, taking the memory block 2a as an example, the configuration of each memory block will be described. As shown in FIG. 2, the memory block 2a includes memory cell arrays 3a and 3b made up of memory cells arranged in a matrix, and is provided corresponding to the memory cell array, and selected memory cells via internal data buses DLa and DLb. Data input / output circuits 4a and 4b for amplifying and outputting read data and writing external data, a row decoder 5a for selecting a word line from the memory cell array, an external control signal and an external address signal, and a data input / output circuit 4a and 4b and a control circuit 6a for controlling the row decoder 5a. Reference numeral 7a denotes an internal power supply circuit for transmitting a potential generated in the memory block. Reference numerals 8a and 8b denote redundant relief fuses, which are arranged corresponding to the memory cell array.
[0033]
The data input / output circuits 4a and 4b are connected via data bus selection circuits 41a and 41b for selecting a data bus corresponding to the bus width of the external data buses DIOa and DIOb from the internal data buses DLa and DLb, and the internal data buses DLa and DLb. Read amplifier / write buffer circuits 42a and 42b for amplifying read data from memory cells and writing data, and data input / output buffer circuit 43a for exchanging data with external devices via external data buses DIOa and DIOb And 43b, which are arranged as shown in FIG.
[0034]
FIG. 3 shows a detailed arrangement of the control circuit 6a. As shown in FIG. 3, the control circuit 6a has an input buffer circuit 61a that takes in an external command signal EXCMD branched from the adjacent memory block 2a from the surface facing the logic circuit 10, and an external buffer that is also branched from the memory block 2a. An address buffer circuit 62a that captures the address signal EXADD, a command decoder 63a that decodes the signal INCMD output from the input buffer, and a column address signal YADD that is output from the address buffer 62a and selects the internal data bus, A column predecoder 64a that performs decoding, a data system control circuit 65a that takes in an output signal CMD from the command decoder and an output signal PY from the column predecoder, and outputs a control signal IOCNT for controlling the data input / output circuit; It takes in a row address signal for selecting a word line from the memory cell array, and a row predecoder 66a for outputting the row predecode signal PX in the row decoder.
[0035]
As shown in FIG. 2 and FIG. 3, since the data input / output circuits 4a and 4b are arranged in the direction of data transfer according to the width of the memory cell array, the data transfer of the data input / output circuits 4a and 4b is speeded up. In addition, an optimal layout arrangement of the data input / output circuits 4a and 4b for realizing a wide bit width suitable for logic mixed mounting can be realized.
[0036]
The control circuit 6a is laid out in the order of critical paths in the width of the row decoder from the input buffer circuit 61a and the address buffer circuit 62a, which are external signal capturing circuits serving as inputs, to the row predecoder 66a serving as an output, and Since the wiring length is shortened, the critical path is speeded up.
[0037]
Further, in the data system control circuit, the output signals from the column predecoder 64a and the command decoder 63a are connected with a short wiring length, and these wirings are all arranged in a certain direction from the input buffer to the row decoder. Speeding up the circuit operation by reducing the wiring delay and wiring to each circuit in the control circuit and wiring from the data system control circuit to each circuit in the data input / output circuit are facilitated.
[0038]
The memory blocks 2a-2c having such a configuration are arranged as shown in FIG. The external control signal and the external address signal are input to one semiconductor memory device from one interface and branched in the memory block 2b constituting the semiconductor memory device 1, and an input buffer circuit and an address buffer circuit of each memory block Is input.
[0039]
Each memory block is designed so that it can operate independently. Therefore, the original operation speed is degraded by adopting a configuration in which external signals are internally branched and input to each memory block for control. Therefore, the capacity of the semiconductor memory device 1 can be increased. At this time, the wiring region for wiring the external control signal and the external address signal input to the control circuit in each memory block is formed by wiring on the peripheral circuit by using, for example, a fourth layer metal wiring. Thus, an increase in area due to wiring of external signals can be prevented.
[0040]
In addition, normal mode signal lines that are used to control these external signals for normal operation and test mode signal lines that are used only during testing and are fixed to “high” or “low” during normal operation are alternately arranged. As a result, wiring delay due to crosstalk between the wirings is reduced. Further, in the region where the external signal on the peripheral circuit is not wired, the power supply line is wired on the mesh of the entire semiconductor memory device 1 using the fourth layer metal wiring, so that the power supply is strengthened.
[0041]
Further, since each memory block is surrounded by a power line, the power line is further strengthened at the boundary of each memory block. Further, the enclosed power supply line has an effect of reducing noise between the memory cell arrays or data input / output circuits in the adjacent memory blocks. Furthermore, the semiconductor memory device 1 having such a configuration makes it possible to easily design a memory block having an arbitrary capacity by simply arranging a plurality of memory blocks and wiring external signals.
[0042]
As described above, according to the first embodiment, a plurality of memory blocks each having a function of independently operating a semiconductor memory device are arranged adjacent to each other, and an external input signal is provided inside the memory block constituting the semiconductor memory device. The data is read and written to each memory cell array via the logic circuit and each external data bus without deteriorating the operation speed of the memory block. The memory capacity of the semiconductor memory device can be increased.
[0043]
In addition, the control circuit of each memory block is arranged in the order of the critical path and the input buffer, command decoder, column predecoder, column control circuit, and row predecoder in accordance with the width of the row decoder. Peripheral circuits can be speeded up by shortening the wiring length between each circuit, and wiring from the data system control circuit to the data input / output circuit is facilitated by arranging each wiring of the control circuit in the column direction. Become.
[0044]
Further, by arranging the data input / output circuit in the order of the memory cell array, the data bus selection circuit, the read amplifier / write buffer circuit, and the data input / output buffer circuit, the data transfer speed can be increased. By surrounding the power supply line, the power supply of the entire semiconductor memory device is strengthened.
[0045]
(Embodiment 2)
FIG. 4 is a configuration diagram of the semiconductor memory device according to the second embodiment of the present invention. In FIG. 4, the semiconductor memory device 1 has a configuration in which memory blocks 2a-2c are arranged adjacent to each other. Internal power supply circuits 7a-7c indicate internal power supply circuits provided in each memory block, and each detection level VDECa-VDECc output from the detection circuits 71a-71c in each internal power supply circuit is only in the memory block 1. Combined as one detection level VDEC, this common detection level VDEC is input to the output circuits 72a to 72c of all internal power supply circuits provided in the semiconductor memory device, and the internally generated potential VOUT is transmitted to each memory block. The
[0046]
Reference numeral 73 denotes an internal power supply operation determination circuit which outputs a determination signal VOPa-VOPc to the detection circuit based on the adjacent information of the memory block and gives instructions regarding the operation and non-operation of the detection circuit.
[0047]
FIG. 5 is a diagram showing a specific configuration of the internal power supply operation determination circuit. In FIG. 5, reference numerals 73a to 73c denote internal power supply operation determination circuits provided in the internal power supply circuits in the memory blocks 2a to 2c. 91a to 91c take in adjacent information of each memory block and The determination circuit which determines non-operation and instruct | indicates is shown. Reference numerals 92a to 92c denote adjacent information output circuits for supplying adjacent information to adjacent memory blocks. The operation of the internal power supply operation determination circuit will be specifically described below.
[0048]
The decision circuits 91a to 91c are latch circuits that use a power-on reset signal as an input to the gate of the first stage inverter. The source side of the P-channel transistor constituting the inverter is adjacent to the adjacent memory block. Output signals in the information output circuits 92a to 92c are connected.
[0049]
The adjacent information output circuits 92a to 92c are configured such that resistors Ra to Rc are coupled to the external power supply VDD and arranged at the end of the memory block by, for example, a fourth layer metal wiring. The power-on reset signal input to the determination circuits 91a to 91c is a signal that maintains the “high” level when the power is turned on and falls to “low” after a predetermined time has elapsed.
[0050]
In other words, each determination circuit in the internal power supply determination circuit is latched with “high” data when the power is turned on. Thereafter, when the power-on reset signal falls to “low”, the adjacent information of the memory block, that is, the internal power supply operation determination circuits 73b to 73c in which the memory block is adjacent to the upper side in FIG. Since VDD is input to the source potential of the P-channel transistor of the first stage inverter, “low” is latched in the determination circuit when the power-on reset signal falls to “low”.
[0051]
On the other hand, in FIG. 7, since the adjacent information is not input to the internal power supply operation determination circuit 73 in the memory block arranged at the upper end of the semiconductor memory device, the source potential of the P channel transistor constituting the first stage inverter is “OPEN”. Even if the power-on reset signal falls to “low”, the latched “high” data continues to be latched as it is. An output signal from the latch circuit is input to a detection circuit inside the internal power supply circuit, and the operation / non-operation of the detection circuit is determined.
[0052]
For this reason, among all internal power supply circuits in the semiconductor memory device, only the detection circuit inside the internal power supply circuit in the memory block at the upper end in FIG. 7 operates, and the detection levels of the respective internal power supply circuits are combined. In the semiconductor memory device, the output level of each internal power supply circuit is determined by only one detection level. As a result, when taking measures to adjust the voltage level using a fuse, etc., all the internal power supply circuits determine the output potential in response to a single detection level, so all the detection circuits in the memory block are adjusted. The detection level may be adjusted only for the detection circuit that determines the detection level.
[0053]
In addition, since only one detection circuit is operated in the semiconductor memory device and the other detection circuits are not operated, the detection level due to process fluctuations is reduced by combining the detection levels as well as reducing the power consumption. It is possible to prevent the occurrence of a through-current that flows due to variations in the current. Further, by providing the internal power supply operation determination circuit, a semiconductor memory device that operates only one detection circuit among all internal power supply circuits in the semiconductor memory device can be easily realized.
[0054]
As described above, according to the second embodiment, among all the internal power supply circuits of the memory block constituting the semiconductor memory device, all the internal power supplies are detected according to the detection levels output from the detection circuit inside the single internal power supply generation circuit. In order to determine the generated potential of the circuit, the internal potential generated in the internal power supply circuits of all the memory blocks constituting the semiconductor memory device can be adjusted by adjusting the detection level of only one detection circuit. In addition, each internal power supply circuit is provided with an internal power supply operation determination circuit to operate the detection circuit of the internal power supply circuit of only one memory block among all the memory blocks constituting the semiconductor memory device based on adjacent information. By combining the detection levels, it is possible to prevent the occurrence of a through current that flows due to variations in the detection levels caused by process variations.
[0055]
In the second embodiment, the detection circuit inside the internal power supply circuit in the leftmost memory block is operated in the memory blocks constituting the semiconductor memory device, but by changing the internal power supply operation determination circuit, The detection circuit inside the internal power supply circuit of any memory block constituting the semiconductor memory device may be operated.
[0056]
(Embodiment 3)
FIG. 6 shows a main part of the semiconductor memory device according to the third embodiment of the present invention, which is composed of memory blocks 2a and 2b. In the semiconductor memory device according to the third embodiment, the 1-bit test data input signal PDIN and the test data output signal PDO are stored in the memory block 2a as in the case of the external control signal and the external address signal as in the first embodiment. And branch to the inside to be wired to each memory block. In the memory blocks 2a and 2b, in order to reduce the number of test pins at the time of inspection, a memory cell array and bits are decoded by a decoding circuit (not shown), and inspection is performed by inputting and outputting 1-bit data.
[0057]
In FIG. 6, reference numerals 100a-100q and 101a-101q denote data output buffer circuits in the memory blocks 2a and 2b, respectively, which are turned on when “high” is input to the input OUTEN, and “Hi−” at the “low” input. Z ”state. The decode signal PT from the control signal is input to OUTEN of each data output buffer circuit, and only one output buffer circuit is turned on, and the others are set to the “Hi-Z” state. Outputs from the output buffer circuits are coupled to one wiring as PDOUTa and PDOUTb and input to the test data latch circuits 102a and 102b. The test data latch circuit includes a DFF circuit that outputs data in synchronization with the rising edge of the clock. The transfer instruction circuits 103a and 103b are composed of a tristate buffer and a switch 104, and control data output to the outside by a control signal PDOEN1 or PDOEN2 from the control circuit. Hereinafter, the data output operation during the test will be specifically described with reference to a timing chart (FIG. 7). Here, it is assumed that the semiconductor memory device has the memory blocks 2a and 2b having the latency 2.
[0058]
First, it is assumed that a read command is input to the memory blocks 2a and 2b in clock cycles #a and #b. For read data in clock cycle #a, output signals PDOUTa and PDOUTb from the output buffer are determined by the rising edge of clock cycle #c after two cycles. Therefore, the test data latch circuits 102a and 102b can take the output signals PDOUTa and PDOUTb during the clock falling period of the clock cycle #b, receive the rising edge of the clock cycle #c, and transfer the output signals PDOBa and PDOBb to the transfer circuit. Output to.
[0059]
The transfer circuits 103a and 103b are controlled by control signals PDOEN1 and PDOEN2 selected by the switches 104a and 104b, respectively. PDOEN1 and PDOEN2 are pulse signals synchronized with the rising edge and the falling edge, respectively. Therefore, the output signal DQa # of the memory block 2a is synchronized with the rising edge of the test data output signal clock cycle #c on the data output signal line PDO. The output signal DQB # a of the memory block 2b is output in synchronization with the falling edge of the clock cycle #c.
[0060]
Hereinafter, the read data DQa # b and DQb # b of the memory blocks a and b are output in synchronization with the read data in the clock cycle #b in synchronization with the rising and falling edges of the clock cycle #d. . For this reason, there is only one output signal line for the test data necessary for the inspection of the memory blocks 2a and 2b.
[0061]
As described above, according to the third embodiment, the data input / output circuit receives the decode signal for decoding the output bit output from the control signal, and only one of them is turned on, and the others are set to “Hi− The data output buffer circuit in the “Z” state, the test data latch circuit that latches the output data of the data output buffer circuit in the test mode, and the output data from the test data latch circuit are shared by the adjacent memory blocks by the control signal Reduce the number of test pins to be controlled during testing, because the output data of the adjacent memory block is divided into the rising edge and falling edge of the clock by the transfer circuit that outputs to the test mode data output signal line It becomes possible.
[0062]
(Embodiment 4)
FIG. 8 shows a main part of the semiconductor memory device according to the fourth embodiment of the present invention. Reference numeral 4 denotes a data input / output circuit, which includes a data bus selection circuit 41, a read amplifier / write buffer circuit 42, a data input circuit. An output buffer circuit 43 and a decode circuit 44 that decodes the redundancy relief signal ROM output from the redundancy relief fuse, and 8 is a redundancy relief fuse. The redundancy relief signal output from the redundancy relief fuse is decoded by a decoding circuit to rescue the internal data bus unit.
[0063]
For this reason, the data input / output circuit is arranged in order of the data bus selection circuit 41, the decode circuit 44, the read amplifier / write buffer circuit 42, and the data input / output buffer circuit 43 from the memory cell array. Arranged adjacent to the output buffer circuit 43.
[0064]
Conventionally, since it is necessary to make the fuse interval wider than the wiring interval, it has been difficult to arrange the fuses corresponding to each memory cell array when the bit width is expanded. However, by providing the data input / output circuit 4 with the decode circuit 44 for decoding the relief information ROM output from the redundancy relief fuse 8 in this way, the number of fuses can be greatly reduced, and the redundancy relief fuse 8 can be replaced with the data. By arranging it adjacent to the outermost part of the input / output circuit 4, it is possible to secure a sufficient wiring area for providing an external logic circuit and a wide data bus width even if a fuse is arranged corresponding to each memory cell array.
[0065]
In the fourth embodiment, the output bit width to the outside can be changed according to the application by the bit control signal output from the data system control circuit.
[0066]
FIG. 9 is a main part configuration diagram of a memory block in the semiconductor memory device according to the fourth embodiment. In FIG. 9, it is assumed that the memory block has a 128-bit internal data bus and the external data bus can be changed to 16 or 8 bits. Here, a read operation will be described as an example, and an operation with a bit width of 16 bits or 8 bits will be described.
[0067]
First, read data of the selected memory cell in the memory cell array corresponding to the external address signal is output to the internal data bus. The internal data bus is selected from 128 to 16 in response to a data bus selection signal from the control circuit. The 16 read amplifier / write buffer circuits 421a to 421q provided corresponding to the selected 16 buses are driven by read amplifier drive signals, respectively, and the amplified read data DLOa to DLOq are respectively output buffers. Transferred to circuits 111a-111q. The output buffer circuit is controlled by a bit width control signal IOCHG from the control circuit. When IOCHG is “low”, “high” is input to OUTEN of the output buffer circuit, and all 16 output buffer circuits are turned on. When “high”, only eight bits selected for the bit selection address PTADD are in a conductive state, and the others are output to be “Hi-Z”. In the external data bus DIO, two adjacent data buses are connected by an N-channel transistor, and when the bit control signal IOCHG becomes “high”, the adjacent output data signal lines are short-circuited and the same data is output.
[0068]
In addition, the read amplifier / write buffer circuit and the input / output buffer circuit in the data input / output circuit are formed into cells by each of the 16 read amplifier circuits and the input / output buffer circuit as set here, and a larger bit width is required. When designing a semiconductor memory device, it is possible to easily design a memory block corresponding to a required bit width while maintaining the data transfer speed by arranging the circuit cells in the row direction.
[0069]
As described above, according to the fourth embodiment, the number of fuses can be reduced by providing a decode circuit for decoding a relief signal from the redundancy relief fuse in the data input / output circuit. Since it is arranged adjacent to the outermost part of the output circuit, a sufficient wiring area for providing a wide data bus width with the outside can be secured even if a fuse is arranged corresponding to each memory cell array.
[0070]
In addition, the bit control signal and the bit selection signal are used to conduct part or all of the data input / output buffer circuit in the data input / output circuit, the others are set to “Hi-Z”, and the external data bus is controlled. The bit width can be easily changed.
[0071]
Furthermore, each of the plurality of read amplifier / write buffer circuits and data input / output buffer circuits is made into cells, and each circuit cell is arranged in the row direction as necessary, so that it is possible to easily perform the necessary external operation while maintaining the data transfer rate. A memory block corresponding to the bit width can be easily designed.
[0072]
In the fourth embodiment, the bit control signal is generated internally, but it may be controlled from the outside, and the bit width is input together with the external address signal for selecting the bit. It goes without saying that a plurality of bit configurations may be selected.
[0073]
【The invention's effect】
As described above, according to the memory block of the present invention, the memory cell array is arranged corresponding to the memory cell array in which the memory cells are arranged on the matrix, and the data input / output circuit transfers data from the memory cell array to the external data bus. A data input / output circuit having a configuration arranged in order, a row decoder for selecting a word line from the memory cell array, an external control signal and an address signal are fetched, and the data input / output circuit and the row decoder are controlled to face the logic circuit. The layout design can be performed easily, and high-speed operation and high-speed data transfer are made up of a control circuit arranged in the order of critical paths from to the row decoder and a redundant relief fuse corresponding to the memory cell array. It becomes possible to do.
[0074]
In addition, the data input / output circuit can easily change the bit width by a control signal from the control circuit, and the data input / output circuit can be easily configured by arranging a plurality of circuit cells in the data input / output circuit. Thus, it is possible to realize a memory block having an arbitrary bit configuration.
[0075]
According to the semiconductor memory device of the present invention, a plurality of the above-described memory blocks are arranged adjacent to each other, and an external control signal and an external address signal are branched inside the memory block and input to each memory block. With the configuration of controlling, high-speed operation can be performed even when the memory capacity is increased.
[0076]
Furthermore, according to the semiconductor memory device of the present invention, by including the determination circuit that determines the operation / non-operation of the internal power supply circuit based on the adjacent information of the memory block, the detection circuit of the internal power supply circuit in all the memory blocks Among them, only one detection circuit is operated, and the output circuit in all internal power supply circuits is operated according to the detection level, thereby detecting only one detection circuit among the detection circuits of a plurality of internal power supply circuits. Thus, it becomes possible to adjust the internally generated potential of the entire semiconductor memory device.
[0077]
According to the semiconductor memory device of the present invention, the input / output data signal at the time of the test is wired to each memory block, and the data is output in synchronization with the latch circuit that latches the output data of the data output buffer circuit and the clock. By providing the transfer circuit, the test can be performed with fewer test pins at the time of the test. Furthermore, it is possible to easily design a semiconductor memory device having a bit width and a memory capacity as required.
[Brief description of the drawings]
1 is a configuration diagram of a semiconductor memory device according to a first embodiment of the present invention;
FIG. 2 is a configuration diagram of a memory block according to the first embodiment of the present invention.
FIG. 3 is a schematic configuration diagram of a control circuit in the memory block according to the first embodiment of the present invention;
FIG. 4 is a schematic configuration diagram of an internal power supply circuit in the semiconductor memory device according to the second embodiment of the present invention;
FIG. 5 is a configuration diagram of an internal power supply operation determination circuit in the semiconductor memory device according to the second embodiment of the present invention;
6 is a configuration diagram of an output buffer circuit in a semiconductor memory device according to a third embodiment of the present invention; FIG.
FIG. 7 is a timing chart showing a data output operation during a test in the semiconductor memory device according to the third embodiment of the present invention;
FIG. 8 is a schematic configuration diagram of a memory block according to a fourth embodiment of the present invention.
FIG. 9 is a configuration diagram of a data output circuit in the memory block according to the fourth embodiment of the present invention;
FIG. 10 is an overall configuration diagram of a conventional semiconductor memory device.
FIG. 11 is a configuration diagram around a data input / output circuit in a conventional semiconductor memory device.
FIG. 12 is a configuration diagram of a control circuit in a conventional semiconductor memory device.
[Explanation of symbols]
1 Semiconductor memory device
2 memory blocks
3, 3a-3f Memory cell array
4, 4a-4f Data input / output circuit
41, 41a, 41b Data bus selection circuit
42, 42a, 42b, 421a-421q Read amplifier / write buffer circuit
43, 43a, 43b Data input / output circuit
44 Redundant relief information decoding circuit
5a, 5b, 5c row decoder circuit
6, 6a, 6b, 6c control circuit
61, 61a Input buffer circuit
62, 62a Address buffer circuit
63, 63a Command decode circuit
64, 64a, 64b, 64c Column predecoder
65, 65a, 65b, 65c Data system control circuit
66, 66a, 66b, 66c Row predecoder
7, 7a, 7b, 7c Internal power supply circuit
71a, 71b, 71c Detection circuit in internal power supply circuit
72a, 72b, 72c Output circuit in internal power supply circuit
73a, 73b, 73c Internal power supply operation determination circuit in internal power supply circuit
8, 8a, 8b, 8c Redundant relief fuse
91a, 91b, 91c determination circuit
92a, 92b, 92c Adjacent information output circuit
100a-100q output buffer circuit
101a-101q output buffer circuit
102a, 102b Test data latch circuit
103a, 103b Transfer circuit
DL, DLa-DLf Internal data bus
DIO, DIOa-DIOf External data bus
EXCMD External control signal
EXADD external address signal
INCMD internal command signal
CMD command decode signal
XADD row address signal
YADD column address signal
IOCNYT, IOCNTa, IOCNTb, IOCNTc Data system control signal
PX, PXa, PXb, PXc Row predecode signal
PY, PYa, PYb, PYc Column predecode signal
VOUT Internally generated potential
VDEC, VDECa, VDECb, VDECc detection level
VOPa, VOPb, VOPc operation instruction signal
Ra, Rb, Rc resistance
POR power-on reset signal
PDOUTa, PDOUTb Output signal from data output buffer
Output signal from PDOBa, PDOBb test data latch circuit
PDOEN1, PDOEN2 Data transfer control signal
PDO External data output signal
PT, PTADD bit selection decode signal
DQa # a, DQa # b, DQb # a, DQb # b Output data
IOCHG bit width control signal

Claims (11)

行列状に配列されるメモリセルからなるメモリセルアレイと、
それに対応して配置され、前記メモリセルアレイからの読み出しデータの増幅及び外部データの書き込みを行う機能を有するデータ入出力回路と、
前記メモリセルアレイからワード線を選択する機能を有するロウデコーダから構成され、
外部制御信号及び外部アドレス信号を受け、選択された前記メモリセルへの読み出し及び書き込み動作の制御を行う制御回路をさらに備え、前記外部制御信号及び前記外部アドレス信号によって、選択された前記メモリセルへの読み出し及び書き込み動作を行うメモリブロックであって、
前記制御回路により制御される前記データ入出力回路が、前記メモリセルアレイ上において一定方向に配置される内部データバスに対応して設けられ、指定のデータバスを選択する機能を有する複数のデータバス選択回路と、
前記複数のデータバス選択回路が選択するデータバス数に対応して設けられ、前記メモリセルアレイの読み出しデータの増幅及び外部データの書き込み機能を有する複数のリードアンプ/ライトバッファ回路と、
前記複数のリードアンプ/ライトバッファ回路に対応して設けられ、外部と読み出し書き込みデータの入出力を行う機能を有するデータ入出力バッファ回路を含み、
対応する前記メモリセルアレイからデータ転送の方向に前記複数のデータバス選択回路、前記複数のリードアンプ/ライトバッファ回路、前記複数のデータ入出力バッファ回路の順に対応するメモリセルアレイ幅に配置され
さらに前記メモリセルアレイごとに、前記データ入出力回路の外部データバスが接続される側に隣接して配置される冗長救済用ヒューズを有し、前記データ入出力回路が、前記冗長救済用ヒューズによる救済信号をデコードするデコード回路を有するメモリブロック。
A memory cell array composed of memory cells arranged in a matrix;
A data input / output circuit which is arranged correspondingly and has a function of amplifying read data from the memory cell array and writing external data;
A row decoder having a function of selecting a word line from the memory cell array;
A control circuit that receives an external control signal and an external address signal and controls read and write operations to the selected memory cell is further provided, and the selected memory cell is controlled by the external control signal and the external address signal. A memory block that performs read and write operations of
The data input / output circuit controlled by the control circuit is provided corresponding to an internal data bus arranged in a certain direction on the memory cell array, and has a function of selecting a specified data bus. Circuit,
A plurality of read amplifier / write buffer circuits provided corresponding to the number of data buses selected by the plurality of data bus selection circuits, and having a function of amplifying read data of the memory cell array and writing external data;
A data input / output buffer circuit provided corresponding to the plurality of read amplifier / write buffer circuits and having a function of inputting / outputting read / write data to / from the outside;
In the direction of data transfer from the corresponding memory cell array, the plurality of data bus selection circuits, the plurality of read amplifier / write buffer circuits, and the plurality of data input / output buffer circuits are arranged in the corresponding memory cell array width ,
Each of the memory cell arrays further includes a redundant relief fuse arranged adjacent to the side to which the external data bus of the data input / output circuit is connected, and the data input / output circuit is repaired by the redundant relief fuse. A memory block having a decoding circuit for decoding a signal.
前記データ入出力回路が、外部又は内部からの制御信号によりビット幅を変更する機能を有する回路をさらに含む請求項1記載のメモリブロック。  2. The memory block according to claim 1, wherein the data input / output circuit further includes a circuit having a function of changing a bit width by a control signal from the outside or the inside. 前記複数のリードアンプ/ライトバッファ回路と前記複数のデータ入出力バッファ回路を内部データバスの延長方向に複数配列することにより、複数のビット幅を構成できる機能を有する請求項2記載のメモリブロック。  3. The memory block according to claim 2, wherein the plurality of read amplifier / write buffer circuits and the plurality of data input / output buffer circuits are arranged in the extension direction of the internal data bus, thereby having a function of configuring a plurality of bit widths. 複数の前記メモリセルアレイが前記ロウデコーダに隣接して配置され、
前記メモリセルアレイに対応して配置される前記データ入出力回路が前記制御回路に隣接して配置され、
前記制御回路が、前記外部制御信号を取り込む機能を有する入力バッファ回路と、前記外部アドレス信号を取り込む機能を有するアドレスバッファ回路と、前記入力バッファ回路からの出力信号をデコードする機能を有するコマンドデコード回路と、前記アドレスバッファ回路からデータバスを選択するためのカラムアドレス信号を受け、前記カラムアドレス信号をプリデコードする機能を有するカラムプリデコーダと、前記コマンドデコード回路からの出力信号と前記カラムプリデコード信号を受け、前記データ入出力回路を制御する機能を有するデータ系制御回路と、前記アドレスバッファからの出力されるワード線を選択するためのロウアドレス信号を受け、前記ロウアドレス信号をプリデコードし、前記ロウデコーダへロウプリデコード信号を出力する機能を有するロウプリデコーダを有し、
前記ロウデコーダの方向へ前記入力バッファ回路、前記コマンドデコード回路、前記カラムプリデコーダ、前記データ系制御回路、前記ロウプリデコーダの順に配置されている請求項1記載のメモリブロック。
A plurality of the memory cell arrays are disposed adjacent to the row decoder;
The data input / output circuit disposed corresponding to the memory cell array is disposed adjacent to the control circuit;
An input buffer circuit having a function of taking in the external control signal, an address buffer circuit having a function of taking in the external address signal, and a command decoding circuit having a function of decoding an output signal from the input buffer circuit A column predecoder having a function of receiving a column address signal for selecting a data bus from the address buffer circuit and predecoding the column address signal, an output signal from the command decode circuit, and the column predecode signal A data system control circuit having a function of controlling the data input / output circuit, a row address signal for selecting a word line output from the address buffer, and predecoding the row address signal; Row predeco to the row decoder It has a row pre-decoder has a function of outputting a de signal,
2. The memory block according to claim 1, wherein the input buffer circuit, the command decode circuit, the column predecoder, the data system control circuit, and the row predecoder are arranged in this order in the row decoder direction.
二つの請求項1記載の前記メモリブロックが隣接して配置され、各前記メモリブロックが同一の前記外部制御信号及び外部アドレス信号により制御され、各前記メモリブロックの有する各外部入出力データバスを用いて、各前記メモリブロック内の各前記メモリセルアレイへ任意のデータの読み出し書き込み動作を行う機能を有することを特徴とする半導体記憶装置。 The two memory blocks according to claim 1 are arranged adjacent to each other, each of the memory blocks is controlled by the same external control signal and external address signal, and each external input / output data bus of each of the memory blocks is used. A semiconductor memory device having a function of performing a read / write operation of arbitrary data to each of the memory cell arrays in each of the memory blocks. 前記外部制御信号及び外部アドレス信号が、所定の前記メモリブロック内部で分岐され、他の各前記メモリブロックへ入力される請求項5記載の半導体記憶装置。  6. The semiconductor memory device according to claim 5, wherein the external control signal and the external address signal are branched inside the predetermined memory block and input to each of the other memory blocks. 周囲を外部より印加される電源線により取り囲まれた前記メモリブロックによって構成される請求項5記載の半導体記憶装置。  6. The semiconductor memory device according to claim 5, wherein said memory block is surrounded by a power supply line applied from outside. 前記メモリブロックに設けられている内部電源回路のうち唯一つの前記内部電源回路内における検知回路からの検知レベルに応答して、前記半導体記憶装置全体の内部電源回路の出力回路が動作する請求項5記載の半導体記憶装置。  6. The output circuit of the internal power supply circuit of the entire semiconductor memory device operates in response to a detection level from a detection circuit in only one of the internal power supply circuits provided in the memory block. The semiconductor memory device described. 前記半導体記憶装置に設けられている前記メモリブロックに設けられている内部電源回路内における検知回路のうち、唯一つの前記検知回路のみを動作させる請求項8記載の半導体記憶装置。  9. The semiconductor memory device according to claim 8, wherein only one of the detection circuits among the detection circuits in an internal power supply circuit provided in the memory block provided in the semiconductor storage device is operated. 隣接する前記メモリブロックの配置情報により前記メモリブロック内に設けられている内部電源回路内部の検知回路の動作/非動作を自動的に判別する回路をさらに含む請求項9記載の半導体記憶装置。  The semiconductor memory device according to claim 9, further comprising a circuit that automatically determines operation / non-operation of a detection circuit within an internal power supply circuit provided in the memory block based on arrangement information of the adjacent memory block. 隣接する前記メモリブロックの配置情報により前記メモリブロック内に設けられている内部電源回路内部の検知回路の動作/非動作を自動的に判別する判定回路を有し、全ての前記メモリブロックの内部電源回路の出力回路が、前記判定回路からの出力信号により動作する唯一つの前記内部電源回路内における検知回路が出力する検知レベルに応答して動作する請求項5記載の半導体記憶装置。  A determination circuit that automatically determines operation / non-operation of a detection circuit in an internal power supply circuit provided in the memory block based on arrangement information of adjacent memory blocks, and includes internal power supplies of all the memory blocks; 6. The semiconductor memory device according to claim 5, wherein an output circuit of the circuit operates in response to a detection level output from a detection circuit in only one internal power supply circuit that operates in accordance with an output signal from the determination circuit.
JP2000202676A 2000-07-04 2000-07-04 Memory block and semiconductor memory device using the memory block Expired - Fee Related JP4157256B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000202676A JP4157256B2 (en) 2000-07-04 2000-07-04 Memory block and semiconductor memory device using the memory block

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000202676A JP4157256B2 (en) 2000-07-04 2000-07-04 Memory block and semiconductor memory device using the memory block

Publications (2)

Publication Number Publication Date
JP2002025273A JP2002025273A (en) 2002-01-25
JP4157256B2 true JP4157256B2 (en) 2008-10-01

Family

ID=18700169

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000202676A Expired - Fee Related JP4157256B2 (en) 2000-07-04 2000-07-04 Memory block and semiconductor memory device using the memory block

Country Status (1)

Country Link
JP (1) JP4157256B2 (en)

Also Published As

Publication number Publication date
JP2002025273A (en) 2002-01-25

Similar Documents

Publication Publication Date Title
US7710764B2 (en) Semiconductor memory cells with shared p-type well
JP4868345B2 (en) Semiconductor memory element redundancy circuit
JP2000011681A (en) Synchronous semiconductor memory device
JPH1050099A (en) Integrated circuit memory provided with multiplexed redundant column data path
JP5093885B2 (en) Semiconductor memory device
JP2000195255A (en) Memory device
JP2002025290A (en) Column redundancy circuit
KR100235144B1 (en) Semiconductor memory device
JP2010272168A (en) Semiconductor device
KR100334143B1 (en) Semiconductor memory device and method for relieving defective memory cells
JP4756581B2 (en) Semiconductor memory device
JPH09147547A (en) Semiconductor storage device
JP4157256B2 (en) Memory block and semiconductor memory device using the memory block
JPH07161183A (en) Semiconductor memory device
US6301170B2 (en) MRAD test circuit, semiconductor memory device having the same and MRAD test method
JP2002100199A (en) Semiconductor memory and replacing method for redundancy circuit
JP4077140B2 (en) Semiconductor memory device
US20010055228A1 (en) Semiconductor memory device having a redundancy construction
JP4293380B2 (en) Semiconductor device
US6069835A (en) Semiconductor memory device
JP5131816B2 (en) Semiconductor memory device
JP2004079077A (en) Semiconductor memory device
JP3499120B2 (en) Semiconductor storage device
JP4484124B2 (en) Semiconductor device
JP2005340227A (en) Semiconductor storage and semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050107

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080526

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080617

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080711

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110718

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110718

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120718

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees