JP4073235B2 - Plate for plasma processing equipment - Google Patents

Plate for plasma processing equipment Download PDF

Info

Publication number
JP4073235B2
JP4073235B2 JP2002099058A JP2002099058A JP4073235B2 JP 4073235 B2 JP4073235 B2 JP 4073235B2 JP 2002099058 A JP2002099058 A JP 2002099058A JP 2002099058 A JP2002099058 A JP 2002099058A JP 4073235 B2 JP4073235 B2 JP 4073235B2
Authority
JP
Japan
Prior art keywords
plasma
temperature
plate
processing chamber
bias
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2002099058A
Other languages
Japanese (ja)
Other versions
JP2002319577A5 (en
JP2002319577A (en
Inventor
俊夫 増田
主人 高橋
満 末広
哲徳 加治
三郎 金井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2002099058A priority Critical patent/JP4073235B2/en
Publication of JP2002319577A publication Critical patent/JP2002319577A/en
Publication of JP2002319577A5 publication Critical patent/JP2002319577A5/en
Application granted granted Critical
Publication of JP4073235B2 publication Critical patent/JP4073235B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、プラズマ処理装置および処理方法に係り、特に半導体製造工程における微細なパターンを形成するのに好適なプラズマ処理装置に関する。
【0002】
【従来の技術】
半導体製造工程では、たとえば成膜、エッチング、アッシングなどの微細加工プロセスで、プラズマ処理装置が広く用いられている。プラズマ処理によるプロセスは、真空チャンバー(リアクタ)内部に導入されたプロセスガスをプラズマ発生手段によりプラズマ化し、半導体ウエハ表面で反応させて微細加工を行うとともに、揮発性の反応生成物を排気することにより、所定の処理を行うものである。
【0003】
このプラズマ処理プロセスでは、リアクタ内壁やウエハの温度、あるいは内壁への反応生成物の堆積状態がプロセスに大きな影響を及ぼす。また、リアクタ内部に堆積した反応生成物が剥離すると、発塵の原因となって、素子特性の劣化や歩留まりの低下につながる。
【0004】
このため、プラズマ処理装置においては、プロセスを安定に保ちかつ異物の発生を抑制するために、リアクタ内部の温度や表面への反応生成物の堆積を制御することが重要である。
【0005】
たとえば、特開平8―144072号公報には、シリコン酸化膜のドライエッチング工程における選択比を向上させる目的で、リアクタ内部の各部の温度を、エッチングステージの温度よりも150℃以上高い150℃以上300℃以下(望ましくは200℃以上250℃以下)の高温度値に±5℃以内の精度で制御保持するドライエッチング装置が記載されている。このようにリアクタ内面各部の温度を高温に加熱制御することで、リアクタ内面へのプラズマ重合物の付着量が減少し、半導体ウエハ上へのプラズマ重合物の付着量が増加して、選択比が向上する。
【0006】
また、特開平5―275385号公報には、平行平板型のプラズマ処理装置において、クランプリング(被処理体保持手段)、フォーカスリング(プラズマ集中手段)の少なくとも一方に、プラズマ処理により生じる反応生成物が付着しない温度に昇温・維持させる加熱手段を設けた装置が記載されている。加熱手段としては抵抗発熱体を用いている。加熱により反応生成物の付着が防止できるので、反応生成物の剥離や、被処理体表面へのパーティクルの付着が低減される。
【0007】
【発明が解決しようとする課題】
上記のように、プラズマ処理装置では、チャンバー内壁面の温度や内壁表面への反応生成物の堆積の制御が重要である。
【0008】
しかしながら、チャンバー内壁面、特に広い面積をもつ側壁面の温度を200℃〜250℃程度以上の高温に設定すると、エッチング特性が内壁表面の温度に非常に敏感となり、プロセスの再現性・信頼性が低下しやすいという問題がある。
【0009】
たとえば、S.C. McNevin, et al., J. Vac. Sci. Technol. B 15(2) Mar/Apr 1997, p.21, 'Chemical challenge of submicron oxide etching' には、誘導結合型のプラズマにおいて、側壁温度が200℃から170℃に変化すると酸化膜エッチレートが5%以上増加することが示されている。この理由としては、側壁温度の低下により、より多くの炭素が壁に吸着するようになり、ウエハー上への炭素の堆積が減少して、酸化膜エッチレートが増加したものと推測されている。このように、特に高密度プラズマでは、高温領域でプラズマがリアクタ内壁と強く相互作用するために、リアクタ内部の温度バランスの変化により、内壁表面への反応生成物の堆積や表面の組成変化が急速に進んで、エッチング特性の変化としてあらわれることになる。
【0010】
さらに、高温領域では、上記のプラズマと内壁との相互作用が、温度変化に対して非常に敏感となる。たとえば、内壁面材料としてSiO2を用いた場合、SiO2のF原子によるエッチレートと壁温の間の熱力学的関係式が報告されており、(D. L.Flamm, et al., J. Appl. Phys., 50, p.6211 (1979))、この関係式を150℃以上の温度領域に適用すると、壁温度が200℃から250℃以上では、エッチレートが指数関数的に急激に増加していく。
【0011】
従って、このような高温領域では、温度制御は、たとえば±5℃以内と高い精度が要求される。しかしながら、内壁面は高密度なプラズマにさらされるわけであるから、壁面の温度をこのような高温領域で高精度に制御するのは容易ではない。また、これを実現するには、温度制御に、温度検出手段とヒータやランプなどの加熱手段を用いることになるが、温度制御の機構・手段がおおがかりになってしまう。さらに、このような高温領域では内壁面には反応生成物は堆積しないので、壁面はプラズマによりエッチングされて消耗する。したがって、内壁面の部品を定期的に交換する必要があり、消耗品のコスト上昇につながる。また、加熱に大きなエネルギーを要するので、エネルギー消費の観点からも好ましくない。
【0012】
同様な問題は、ウエハや電極周囲のリングの加熱についてもあてはまる。リングを加熱して昇温することで反応生成物の付着は防止できるものの、抵抗発熱体などの加熱機構は装置構成を複雑にさせる。また、反応生成物の付着は防止できても、リングや内壁表面がプラズマでエッチングされて消耗すると、構成材料そのものが新たな発塵源となるおそれがある。さらにリングや内壁面の部品が消耗するとこれらを定期的に交換する必要があり、装置のランニングコスト上昇につながる。
【0013】
こうした課題を解決するひとつの方法が、チャンバー内壁面をポリマーによる表面コーティング層で保護することである。たとえば、特開平7―312363には、ワークピース(被加工物)の支持台の温度をチャンバーの壁面よりも高い状態で維持して、チャンバー内壁面に表面コーティング層を形成させるプラズマエッチング装置が記載されている。そして、コンタミナント粒子をポリマーフィルム内に捕獲して蓄積することで、反応生成物によるコンタミナントのチャンバ内への残留蓄積を低減するとされている。
【0014】
しかしながら、この場合には壁面の保護を目的とするものではなく、コンタミナント粒子の捕獲が目的である。また、チャンバー内壁面に表面コーティング層を形成させる際の温度は、ワークピース(被加工物)よりも5℃以上低い値と記述されているのみであり、温度の範囲と制御の精度については考慮がなされていない。また、圧力範囲も数百mtorr(数10Pa)の高圧力のレンジである。しかしながら、膜の堆積温度は、膜の組成や質を変化させ、膜の剥離強度や異物発生に影響すると推測される。また、堆積膜の温度変動は、熱膨張と収縮の繰り返しによりクラックの発生や剥離につながって、異物の原因となると予測され、温度制御の精度は重要な因子である。また、数十mtorr以下(数Pa以下)の圧力範囲では、高イオンエネルギー化や分子の平均自由行程が長くなることで、膜堆積状況が異なると考えられる。さらに、上記の公知例ではコンタミナントをとりこんだコーティング層をプラズマ処理チャンバー壁面から除去する必要があり、これが装置のスループットや消耗品コストに直接影響するが、この点については考慮がなされていない。
【0015】
本発明は、上記の課題を解決するためになされたものであり、リアクタ内部の温度と反応生成物の堆積を制御することにより、エッチング特性に経時的な変化を生じさせることなく、プロセスの再現性・信頼性を、長期間にわたってかつ低コストで維持できるプラズマ処理装置を提供することを目的とする。
【0016】
【課題を解決するための手段】
本発明者らは、前記の課題について鋭意研究を重ねた結果、リアクタ内の圧力が数Pa以下の領域で、リアクタ内壁面の温度をウエハよりも十分低い温度で、しかも一定温度に制御したときに、内壁面に強固なコーティング膜が形成されることを見出した。さらに詳細な分析の結果、このコーティング膜は、膜形成時の温度が低いほどポリマー重合が進んでいること、および膜形成時の温度を一定に制御することでしっかりした層状の構造が形成されること、したがって膜表面の剥離や損傷がみられず発塵の原因とはならないことを知見した。
【0017】
なお、上記においてリアクタ内壁面の温度が「ウエハよりも十分低く一定」とは、ウエハよりも5℃以上低い範囲で、望ましくは20℃以上低い範囲で、±10℃以内の精度で制御することを意味している。また、ウエハの処理中の温度がおよそ100℃から110℃程度である場合には、温度範囲は100℃以下、望ましくは80℃以下を意味している。
【0018】
ところで一方、リアクタ内部においては、上記のような低温領域での制御が困難な部分あるいは構成部品も存在する。本発明者らは、このような個所についても検討を重ねた結果、発熱抵抗体のような複雑な加熱機構を有することなく、その温度や表面への反応生成物の堆積を制御する方法を見出すに至った。
【0019】
本発明は、上記の知見に基づいてなされたものであり、真空処理室と、プラズマ発生装置と、処理室にガスを供給する処理ガス供給手段と、該真空処理室内で処理される試料を保持する電極と、該真空処理室を減圧する真空排気系とを有するプラズマ処理装置において、前記処理ガスにプラズマ放電により重合膜が形成されるような組成のガスを少なくとも1種類以上含み、前記処理室内でプラズマ放電により前記処理ガスをプラズマ化し、前記処理室の内部でプラズマに接する内壁面(あるいは内部構成部品の表面)の少なくとも一部分を、試料よりも十分に低い温度で一定に制御して、処理室内壁面に強固な重合膜を形成させることを特徴とする。
【0020】
本発明の他の特徴は、前記の重合膜を形成させる内壁面の温度を、試料よりも5℃以上、望ましくは20℃以上低い範囲で、±10℃以内の精度で、制御することにある。
【0021】
本発明の他の特徴は、前記の重合膜を形成させる処理室内壁面の温度を、0℃以上100℃以下、望ましくは20℃以上80℃以下の範囲で、±10℃以内の精度で、制御することにある。
【0022】
本発明の他の特徴は、前記の処理室内の処理圧力を0.1Pa以上10Pa以下、望ましくは0.5Pa以上4Pa以下とすることにある。
【0023】
本発明の他の特徴は、前記の重合膜を形成させる処理室内壁面を構成する部材を、容易に交換可能な構造とすることにある。
【0024】
本発明の他の特徴は、前記の処理室内壁面に形成された重合膜の成長を抑制する処理プロセスを含むことにある。
【0025】
本発明のさらに他の特徴は、真空処理室と、プラズマ発生装置と、処理室にガスを供給する処理ガス供給手段と、該真空処理室内で処理される試料を保持する電極と、該真空処理室を減圧する真空排気系とを有するプラズマ処理装置において、上記処理室の内部でプラズマに接する構成部品(あるいは内壁面)を、その少なくとも一部分にバイアスが印加され、かつその熱容量を十分に小さくし、かつその表面積を小さくするように構成することにある。
【0026】
本発明の他の特徴は、上記の処理室の内部でプラズマに接する構成部品の温度を、100℃以上250℃以下、望ましくは150℃以上200℃以下の範囲で調整することにあり、さらに処理室の処理圧力を0.1Pa以上10Pa以下、望ましくは0.5Pa以上4Pa以下とすることにある。
【0027】
本発明の他の特徴は、前記内壁構成部品の形状がリング状であり、当該部品のプラズマに接する表面積が処理室内壁の全面積の20%以下であることにある。
【0028】
本発明の他の特徴は、上記の処理室の内部でプラズマに接してその少なくとも一部分にバイアスが印加される構成部品の形状がリング状であり、その厚みが6mm以下、内径が試料径以上であることにある。
【0029】
本発明のさらに他の特徴は、前記のプラズマ処理装置において、前記内壁構成部品のプラズマに接する側の近傍に赤外光吸収体を形成するように構成して、当該部品の温度を赤外線照射手段により遠隔的に制御することにある。
【0030】
本発明の他の特徴は、上記の赤外線照射で温度制御される部品の温度を、100℃以上250℃以下、望ましくは150℃以上200℃以下の範囲で、±10℃以内の精度で、制御することにある。
【0031】
本発明のさらに他の特徴は、上記のプラズマ処理装置において、プラズマ発生装置が有磁場UHF帯電磁波放射方式であることにある。
【0032】
本発明によれば、プラズマ放電により処理ガスの一部が重合して、処理室内壁面のプラズマに接する部分あるいは部品の表面に、ポリマーによる表面コーティング層が形成される。そして、リアクタ内壁面の温度をウエハよりも十分低い温度で一定温度に制御することで、このコーティング層のポリマー重合が進んでしっかりした層状の構造を形成することが可能となる。したがって内壁面がプラズマによりエッチングされて消耗することがないので、内壁面の部品交換の頻度が低減でき、ランニングコスト低下が可能となる。また、このコーティング層は、膜の組成が緊密であるので、プラズマにさらされても、表面に剥離や損傷が生じないので、発塵の原因とはならない。
【0033】
また、チャンバー内壁面の温度をウエハよりも低い温度領域に設定しているので、内壁面を200℃以上の高温領域に設定した場合に比べて、プラズマと内壁面との相互作用が弱く、しかも温度変化に対して敏感とならない。このため、プロセスの再現性・信頼性が長期間にわたって低下しにくく、また温度制御の精度もたとえば±10℃以内でよく、温度制御に複雑な機構を用いることなく比較的容易に実現することが可能となる。
【0034】
また、内壁面に所定の値を超える重合膜が形成された場合にはこの膜を除去する必要がある。この膜除去プロセスをクリーニングではなく、装置を大気開放して重合膜が形成された処理室内壁面の構成部品を交換して装置は再び稼動させ、膜の除去はチャンバから取り出した後にウエットクリーニングなどでex-situに行って内壁面を再生することで、装置の不稼動時間を低減してスループットを低下させないとともに、部品の再生と繰り返し使用により消耗品コストを低減できる効果がある。また、処理中に重合膜の成長を抑制するプロセスを加えることで、装置の開放と清掃までの時間を延ばすことができる。
【0035】
一方、本発明のさらに他の特徴によれば、リアクタ内部において、ウエハよりも十分に低い領域での温度制御が困難な部分あるいは構成部品については、その少なくとも一部分にバイアスが印加される構造を設け、かつ部品全体の熱容量を十分に小さくすることにより、ヒータやランプなどの複雑な機構を用いることなく部品全体が高温領域に制御できるので、反応生成物の過剰な堆積を抑制して反応生成物の剥離にともなう異物発生を低減できる。また、部品の表面積を小さくすることで、温度や表面状態が変動してもプロセスへの影響を抑制できる。さらに、上記の構成部品に印加されるバイアスの程度を調整して、温度を100℃以上250℃以下、望ましくは150℃以上200℃以下の範囲に設定することにより、およそ250℃以上の高温領域に設定した場合に比べて温度変化に対して敏感ではないので、構成部品の温度変動がプロセスに対して実質的に影響しないレベルに小さくできる利点がある。
【0036】
本発明のさらに他の特徴によれば、処理室内部でプラズマに接する構成部品の温度を、赤外線照射とガス熱伝達を用いて、より能動的に高温領域で高精度に制御できるので、反応生成物の過剰な堆積を抑制して反応生成物の剥離にともなう異物発生を低減できるとともに温度や表面状態の変動を抑制してプロセスに対する影響を抑制できる。さらに、温度を100℃以上250℃以下、望ましくは150℃以上200℃以下の範囲で±10℃以内の精度で、制御することにより、およそ250℃以上の高温領域に設定した場合に比べて、温度変化に対して敏感ではないので、構成部品の温度変動がさらに微細なプロセスに対しても実質的に影響しないレベルに小さくできる利点がある。
【0037】
【発明の実施の形態】
以下、本発明の実施例について、図面に基づいて説明する。
図1は、本発明を、有磁場UHF帯電磁波放射放電方式のプラズマエッチング装置へ適用した実施例を示すもので、当該プラズマエッチング装置の断面模式図である。
【0038】
図1において、処理室100は、10ー6Torr程度の真空度を達成可能な真空容器であり、その上部にプラズマ発生手段としての電磁波を放射するアンテナ110を、下部にはウエハなどの試料Wを載置する下部電極130を、それぞれ備えている。アンテナ110と下部電極130は、平行して対向する形で設置される。また、処理室100の周囲には、電磁コイル101A、101B、ヨーク101Cからなる磁場形成手段101が設置されており、所定の分布と強度をもつ磁場が形成される。そして、アンテナ110から放射される電磁波と磁場形成手段101で形成される磁場との相互作用により、処理室内部に導入された処理ガスをプラズマ化して、プラズマPを発生させ、試料Wを処理する。
【0039】
処理室100の側壁102には、側壁内面の温度を制御するジャケット103が交換可能に保持される。そして、ジャケット103の内部には熱媒体供給手段104から熱媒体が循環供給されて温度が制御される。ジャケットの温度は、0℃〜100℃、望ましくは20℃〜80℃の範囲で、±10℃以内の精度で制御される。一方、処理室100は、真空室105に接続された真空排気系106により真空排気されて、処理室100の内部が0.1Pa以上10Pa以下、望ましくは0.5Pa以上4Pa以下の所定の処理圧力に調整される。処理室100および真空室105はアース電位となっている。処理室100の側壁102、ジャケット103は重金属を含まず熱伝導性のよいたとえばアルミニウムなどの非磁性金属材料として、表面に耐プラズマ性のアルマイトなどの表面処理を施してもよい。
【0040】
電磁波を放射するアンテナ110は、円板状導電体111、誘電体112、誘電体リング113からなり、真空容器の一部としてのハウジング114に保持される。また、円板状導電体111のプラズマに接する側の面にはプレート115が設置され、さらにその外周にリング116が設置される。試料のエッチング、成膜等の処理を行なう処理ガスは、ガス供給手段117から所定の流量と混合比をもって供給され、円板状導電体111とプレート115に設けられた多数の孔を通して、所定の分布に制御されて、処理室100に供給される。
【0041】
円板状導電体111には、アンテナ電源121、アンテナ高周波電源122が、それぞれマッチング回路・フィルタ系123、124を介して接続され、またフィルタ125を通してアースに接続される。アンテナ電源121は、望ましくは300 MHzから900 MHzのUHF帯周波数の電力を供給し、アンテナ110からUHF帯の電磁波が放射される。一方、アンテナ高周波電源122は、円板状導電体111に、たとえば100kHz程度の低周波、あるいは数MHzから10MHz程度の高周波のバイアスを印加することで、円板状導電体111に接するプレート115の表面での反応を制御する。プレート115はウエハと対向しているので、処理プロセスにもっとも大きく影響するが、この面にバイアスを印加して反応生成物を堆積させないことで、装置プロセスが安定化する。また、たとえば、CF系のガスを用いた酸化膜エッチングにおいて、プレート115の材質を、高純度のシリコンやカーボンなどとすることで、プレート115の表面でのFラジカルやCFxラジカルの反応を制御して、ラジカルの組成比を調整する。プレート115の下面とウエハWの距離(以下、ギャップと呼ぶ)は、30mm以上150mm以下、望ましくは50mm以上120mm以下とする。
【0042】
円板状導電体111は、図示しない温度制御手段、すなわちその内部を循環する熱媒体により温度が所定の値に維持され、円板状導電体111に接するプレート115の表面温度が制御される。リング116は、アンテナ高周波電源122によるバイアスで加熱されて温度制御されるが、これについては後に詳しく述べる。
【0043】
処理室100の下部には、アンテナ110に対向して下部電極130が設けられている。下部電極130には、400kHzから13.56MHzの範囲のバイアス電力を供給するバイアス電源141がマッチング回路・フィルタ系142を介して接続されて試料Wに印加するバイアスを制御するとともに、フィルタ143を介してアースに接続される。
【0044】
下部電極130は、静電吸着装置131により、その上面、すなわち試料載置面にウエハなどの試料Wを載置保持する。静電吸着装置131は、その上面に静電吸着用誘電体層(以下、静電吸着膜と略称する)が形成されている。そして、静電吸着用の直流電源144とフィルタ145により数100V〜数kVの直流電圧を印加して、静電吸着膜を介して試料Wと静電吸着装置111との間に作用するクーロン力を発生させて、試料Wを下部電極130上に吸着・保持する。静電吸着膜としては、たとえば酸化アルミニウムや酸化アルミニウムにチタン酸化物を混合した誘電体を用いる。
【0045】
さらに、試料Wは、その表面反応を制御するために、図示しない温度制御手段によりその表面温度が所定の温度に制御される。このために、下部電極130には、静電吸着装置131と試料Wの間の熱伝達性を高めるために、不活性ガス、たとえばHeガスが所定の流量と圧力に設定されて供給されている。これにより、ウエハの温度は、最高でおよそ100℃〜110℃以下の範囲に制御される。
【0046】
また、静電吸着装置131の上面の試料Wの外側部には、試料台リング132が設けられている。試料台リング132には、SiCなどのセラミクスやカーボン、シリコン、石英材料を用いる。試料台リング132は、アルミナなどの絶縁体133で、静電吸着装置131と絶縁される。さらに、試料台リング132に絶縁体133を介してバイアス電源141からのバイアス電力を一部漏洩させて加えることで、試料台リング132へのバイアス印加を調整して、その表面での反応を制御することも可能である。たとえば、CF系のガスを用いた酸化膜エッチングにおいて、試料台リング132の材質を高純度のシリコンとすれば、シリコンのスカベンジ作用により試料台リング132の表面でのFラジカルやCFxラジカルの反応を調整して、特にウエハ外周部でのエッチング均一性を向上することができる。試料台リング132は、バイアスにより加熱されるともに、伝熱ガスにより冷却されて、温度制御されるが、これについては後に詳しく述べる。
【0047】
本実施例によるプラズマエッチング装置は以上のように構成されており、このプラズマエッチング装置を用いて、たとえばシリコン酸化膜のエッチングを行う場合の具体的なプロセスを、図1を用いて説明する。
【0048】
まず、処理の対象物であるウエハWは、図示していない試料搬入機構から処理室100に搬入された後、下部電極130の上に載置・吸着される。そして、必要に応じて下部電極の高さが調整されて所定のギャップに設定される。ついで、処理室100内は真空排気系106により真空排気されていく。一方、試料Wのエッチング処理に必要なガス、たとえばC4F8とArが、ガス供給手段117から、所定の流量と混合比、たとえばAr流量300sccm、C4F8流量9sccmをもって、アンテナ110のプレート115から処理室100に供給される。同時に、処理室100は真空排気系106により排気され、処理室100の内部が所定の処理圧力、例えば1Paになるように調整される。他方、磁場形成手段101により、所定の分布と強度の磁場が形成される。そして、アンテナ電源121によりアンテナ110からUHF帯の電磁波が放射され、磁場との相互作用により処理室100内にプラズマPが生成される。このプラズマPにより、処理ガスを解離させてイオン・ラジカルを発生させ、さらにアンテナ高周波電源122、バイアス電源141を制御して、ウエハWにエッチング等の処理を行う。そして、エッチング処理の終了にともない、電力および処理ガスの供給を停止してエッチングを終了する。
【0049】
さて、本実施例におけるプラズマ処理装置は上記のように構成されているが、リアクタ内各部、特に側壁103の内面およびリング116、試料台リング132の温度制御および反応生成物の堆積制御について、具体的に説明していく。
【0050】
まず側壁103について、図1により説明する。すでに説明したように、処理室100の側壁102の内側にはジャケット103が保持され、熱媒体により温度制御が可能となっている。
【0051】
本発明者らは、酸化膜エッチングを対象に、処理ガスとしてC4F8とArの混合ガス系を用いて圧力2Paで実験した結果、リアクタ内壁面の温度をウエハ温度(およそ100℃程度)よりも十分低い温度である25℃から80℃の範囲で±10℃以内の精度で一定温度に制御したときに、内壁面に強固なコーティング膜が形成されることを見出した。このような数十mtorr以下(数Pa以下)の圧力範囲ではエネルギーの高いイオンが増加するので、膜堆積におけるイオンアシストの効果が高まって、緊密な膜が形成されると考えられる。堆積膜の状況は、側壁温度が低いと緻密で強固な膜が形成され、側壁温度が高いとやや粗い構造であった。この膜質変化を定量的に明らかにするために、側壁温度25℃、50℃、80℃で堆積した膜の組成(元素濃度比)をXPS(X線光電子分光法)で分析したところ、次のような結果であった。
【0052】

Figure 0004073235
この結果からも明らかなように、側壁温度が低いほどカーボンリッチな膜質となっている。また、ここでは示していないが、C1sピークの分析から、側壁温度が低いほどカーボン同士の結合が進んでおり、ポリマー重合が進んでいることもわかっている。これが、マクロには緻密で強固な膜として観察されたと推測できる。
【0053】
またこの実験時には、側壁面の温度は±10℃以内の精度で制御されているので、膜の堆積中に温度変動にともなう内部応力が発生せず、膜構造が緻密になると予測される。電子顕微鏡による観察の結果、しっかりした層状の構造が形成されていることを確認した。この膜はきわめて緊密で強固であり、デポ堆積加速試験で試験的におよそ200ミクロンの膜厚にまで堆積させても、テープ剥離や摩擦試験による膜のはがれは観察されなかった。さらに、この膜はプラズマに対しても高い耐性を示しており、プラズマ処理によっても膜表面の剥離や損傷がみられず、発塵の原因とはならないことを知見した。
【0054】
このように、リアクタ内壁面の温度をウエハ温度よりも十分低い温度で一定に制御することで、内部に熱応力の発生しない強固な堆積膜をリアクタ側壁内面に形成することができる。この膜は十分な耐プラズマ性を有しており、反応生成物の剥離や試料表面へのパーティクルの付着が低減するので、リアクタ内壁の保護膜として作用する。したがって、側壁は消耗したり損傷したりしないので、側壁の部品交換の頻度が低減でき、ランニングコストの低下につながる。また、側壁が堆積膜で保護されるので、耐プラズマ性の高いSiCなどのセラミクスを使う必要がなく、部品コストの低減が可能となる。また、特に側壁温度を常温〜約50℃程度の範囲で制御すれば、側壁の加熱のためのエネルギーが少なくてすむので、省エネルギーにもつながる効果がある。側壁材料としては、重金属を含まずかつ熱伝導性のよい金属、たとえばアルミを用いればよい。
【0055】
なお、堆積膜が存在しない初期状態では、アルミが露出しているために、プラズマからダメージを受けて表面が変質する可能性がある。そこでこれを防止するために、表面に高分子材料をコーティングしてもよい。あるいは、アルミ表面をたとえばアルマイト処理して、さらに、アルマイト処理で生じた微細な孔を高分子材料で封孔処理をしてもよい。もちろん、この封孔処理はアルミのアルマイト処理に限らずに適用できる。このように、高分子による膜をアルミ表面と堆積膜との界面に介在させることで、アルミ表面と堆積膜との密着性をまして、堆積膜を剥離させにくくする効果もある。また、プロセスによっては、膜が過剰に堆積する場合もありうるが、この場合は、ウエハ処理後に短時間のプラズマクリーニングを併用して膜の堆積を制御することで、膜の厚みを一定に保ってもよい。
【0056】
次に、試料台リングについて説明する。すでに図1の実施例で説明したように、試料台リング132は、バイアス印加によりその表面での反応を制御することで、特にウエハ外周部でのエッチング特性を均一にできる。このとき、試料台リング132はバイアスにより加熱されるが、その表面における反応と膜の堆積を制御するために、印加バイアスと温度を制御する必要がある。しかも、静電吸着装置131を組込んだ下部電極に複雑な機構を組み込むことなく、印加バイアスならびに温度の制御が可能であることが望ましい。これは、漏洩バイアスの制御とバイアスによる加熱およびガス伝熱による冷却のバランスにより具現化できる。この実施例を、図2に示す下部電極130の断面図(右側半分)により説明する。
【0057】
下部電極130は、試料Wを静電吸着装置131により保持する。静電吸着装置131は、絶縁体134によりアース135と絶縁される。本実施例では、試料台リング132を、静電吸着装置131に対して絶縁体133を介して設置することにより、バイアス電源141から供給されるバイアス電力の一部を漏洩させて加える構造としている。印加されるバイアスは、絶縁体133の厚みや材質により調整できる。このようなバイアス印加構造とすることにより、下部電極130の内部で試料台リング132への配線構造を設けたり、試料台リング132に別のバイアス電源を接続したりする必要がない。
【0058】
また、静電吸着装置131は、温調用熱媒体の循環(図示していない)により、所定の温度に維持されている。そして、試料Wと静電吸着装置131の表面の間には、伝熱用ガス(例えばHeガス等)の流路136が形成され、伝熱用ガスが導入されることで熱伝導が良好に保たれる。ここで、本実施例では、試料台リング132、絶縁体133、静電吸着装置131の間にも伝熱用ガスの流路136A、136Bが形成される。そして、ウエハ冷却用伝熱ガスの一部が導入されて、接触部での熱伝導が良好に保たれる。このため、試料台リング132は、所定の温度に維持された静電吸着装置131との間の熱伝達が良好に保たれて、温度が安定に保たれる。この結果、試料台リング132へのバイアス印加による温度変動が抑制され、試料台リング132における表面反応や試料の処理特性が安定化できる。また同時に、バイアスによる加熱とイオンアシストにより反応生成物の堆積が防止できるので、反応生成物の剥離や、試料表面へのパーティクルの付着が低減される。
【0059】
このように、試料台リングは、漏洩バイアスの印加とバイアスによる加熱とガス伝熱による冷却のバランスにより、簡単な構造で表面反応や温度と膜堆積の制御が可能となり、処理の長期安定化と異物の低減を図ることができる。
【0060】
なお、本実施例では、伝熱用ガスにより熱伝達を確保したが、たとえば熱導電性シートなど、他の熱伝達手段を用いてもよい。
【0061】
次に、アンテナ110について説明する。すでに図1の実施例で述べたように、円板状導電体111にはアンテナ高周波電源122が接続されて100kHz程度または数MHzから10MHz程度のバイアスが印加される。また、円板状導電体111の温度は熱媒体により所定の値に維持される。したがって、円板状導電体111に接するプレート115は、バイアスが印加されるとともにその表面温度も制御される。プレート115はウエハと対向しているので、処理プロセスにもっとも大きく影響するが、この面にバイアスを印加して反応生成物を堆積させず、さらにプレートの材質に高純度のシリコンを用いてスカベンジ作用による表面反応を用いることで、プロセスを安定化することができる。
【0062】
一方、プレート115の外周部のリング116は、プレート115と同様にアンテナ高周波電源122によるバイアスで加熱し、さらにリング116の熱容量を小さくすることで温度変化の応答性を高めている。これを図3を用いて説明する。
【0063】
図3は、リング116の温度制御方法を示した実施例である。本実施例では、リング116の形状を薄くして、かつプレート115にその一部分がかかり、かつ誘電リング113やプレート115との熱的な接触が少なくなるように構成されている。この場合、プレート115にアンテナ高周波電力を印加すると、プレート115へのバイアスにより、イオンが図中の矢印のようにリング116の表面に引き込まれる。本実施例では、ヒータやランプなどの加熱機構を用いていないので、機構が複雑にならない利点がある。
【0064】
リング116のバイアス印加部分の幅wは、バイアスによる加熱が効率よく行えるように、たとえば10mm以上とする。リング116の厚みは、バイアスで有効に加熱されるためにはたとえば6mm以下、望ましくは4mm以下とする。このように薄い形状とすることで、リング116の熱容量が小さくなる。この結果、リング全体をおよそ100℃以上250℃以下、望ましくは150℃以上200℃以下に加熱することが可能となる。この結果、反応生成物の堆積が抑制されて、反応生成物の剥離にともなう異物発生を低減できる。また、この温度範囲では、およそ250℃以上の高温領域に比べて表面反応の変化が温度変化に対して敏感ではないので、構成部品の温度変動がプロセスに対して実質的に影響しないレベルに小さくできる利点がある。
【0065】
リング116の厚みは、デポ膜の堆積を抑制でき、しかもリング表面がイオンでスパッタされて消耗しないように、アンテナバイアスのパワー・周波数、リング116の材質、リング116への反応生成物の堆積速度などとのバランスで決定される。また、図中に示したように、バイアスが印加される部分以外は厚みを薄くして、リング全体の熱容量をさらに小さくしてもよい。このように、リング116の熱容量を小さくすることで、処理の初期段階の短い時間で応答性よく温度が上昇するので、処理特性への影響が小さい。また、リング116の内径dは、試料の直径よりも大きいことが望ましい。リアクタの内径は試料の1.5倍程度になるから、試料径300mmの場合は、リングの幅sはおよそ50mmから70mmとなり、その表面積はリアクタ内壁面全体に対してたとえば20%以下と十分に小さくなる。このように、部品の表面積を小さくすることで、温度や表面状態が変動してもプロセスへの影響を抑制できる。しかもリング116はウエハよりも外周部に位置しているので、そのプロセスへの影響はさらに小さくなる。
【0066】
ところで、上記の実施例は、プラズマによる受動的な加熱であるため、ある程度の温度変動はさけられない。この変動は現状のプロセスでは影響が顕在化しなくても、処理プロセスの微細化により、エッチング特性に影響を及ぼす可能性があり、この場合にはランプやヒータなどによる積極的な温度制御機構が必要となる。図4には、ランプ加熱による温度制御機構の実施例を示す。
【0067】
本実施例においては、誘電体リング113Aの一部が、上記リング116と同様の構造116Aでバイアスが印加できるように構成されており、さらに誘電体リング113Aのプラズマに近い側に、赤外光・遠赤外光を吸収するたとえばアルミナ薄膜などの赤外吸収体151が形成されている。そして、赤外線放射手段152から赤外光・遠赤外光が放射され、赤外透過窓153、誘電体リング113Aを通過して、赤外吸収体151で吸収され、リング116を加熱する。赤外吸収体151は赤外線により遠隔的に加熱できるので、赤外線吸収体151を誘電体リング113Aのプラズマに近い側に設置することで、誘電体リング123のプラズマにさらされる表面の温度をより高精度に制御することが可能となる。また、加熱機構に赤外線の吸収を用いているため、発熱抵抗体による加熱に比べて応答性がよい利点がある。さらに、バイアス印加部116Aにより、誘電体リング113Aはバイアスによっても加熱されるので、温度の応答性が向上する。
【0068】
一方、赤外線放射手段152はホルダ154に設置されるが、ホルダ154と誘電体リング113Aの間には隙間が設けられ、その隙間にガス供給手段155を通して、温度制御用の伝熱ガスが供給される。伝熱ガスは、真空封止手段156A、156Bで封止される。このガス伝熱により、誘電体リング113Aはホルダ154を通して放熱される。したがって、たとえば処理開始時にはバイアスとランプにより加熱し、処理中にはガス伝熱により放熱させることで、温度制御の精度が向上する。この結果、誘電体リング123の温度をおよそ100℃から250℃、望ましくは150℃から200℃の範囲で±5〜10℃程度の精度で制御できる。この温度では、膜の堆積が減少するため、膜の剥離による異物発生が抑制される。また、誘電体リング113Aの表面状態が温度に対して依存性が大きくない領域であるので、表面状態が変化せず、長期的に安定したプラズマ処理が可能となる。
【0069】
上記の図3、図4の実施例はいずれも、プラズマに接するリング116、誘電体リング113Aを加熱して膜の堆積を減少させるものであったが、プラズマに接するリングを、図1で説明した側壁内面と同様に、ウエハ温度よりも低い温度に一定に制御して安定な堆積膜を形成することも可能である。図5は、この実施例を示し、誘電体リング113Bを、冷媒による温度制御で20℃〜100℃程度の範囲で制御するものである。
【0070】
この実施例では、誘電体リング113Bに設けられた冷媒流路161に、熱媒体供給手段162から温度制御用の冷媒が供給される。冷媒は、封止手段163で封止される。誘電体リング113Bの温度は、図示していない温度コントローラや温度検出器により、所定の値に維持する。このような構成により、プラズマ処理時に、誘電体リング113Bの温度を20℃〜100℃程度の範囲に維持することができる。このため、誘電体リング123の表面に安定した強固な反応生成物の膜が堆積するので、誘電体リング123の表面が削られて消耗することはない。また、プロセスによって膜が過剰に堆積する場合は、プラズマクリーニングを併用して、膜を一定の厚みに保ってもよい。
【0071】
なお、前記の各実施例は、いずれも有磁場UHF帯電磁波放射放電方式のプラズマ処理装置の場合であったが、放射される電磁波はUHF帯以外にも、たとえば2.45GHzのマイクロ波や、あるいは数10MHzから300MHz程度までのVHF帯でもよい。また、磁場はかならずしも必須ではなく、たとえば無磁場マイクロ波放電でもよい。さらに、上記以外にも、たとえば磁場を用いたマグネトロン型のプラズマ処理装置や平行平板型の容量結合方式プラズマ処理装置、あるいは誘導結合型のプラズマ処理装置などに、前記の各実施例を適用できる。
【0072】
図6は、本発明を、磁場を用いたRIE装置(マグネトロンRIE装置やMagnetically Enhanced RIE装置)に適用した例である。真空容器としての処理室100は、側壁102と、ウエハなどの試料Wを載置する下部電極130と、これに対向して接地される上部電極201を備え、また真空容器内に所定のガスを導入するガス供給手段117と、真空容器内を減圧排気する真空排気系106と、前記下部電極と上部電極の間に電界を発生させる電界発生手段203と、真空容器内に磁界を発生させる磁界発生手段202を備えている。磁界発生手段202は、複数の永久磁石またはコイルが処理室100の外周にリング状に配置され、処理室内部に電極に対してほぼ平行な磁場を形成する。そして、電極間に発生する電界により処理ガスをプラズマ化して、プラズマPを発生させ、試料Wを処理する。さらに、マグネトロンRIEでは、磁界発生手段202により電界とほぼ直交する方向に磁場が形成されるので、電子とプラズマ中の分子・原子との衝突頻度が高まって、プラズマ密度が増加し、高いエッチング特性が得られる。
【0073】
本実施例では、図1で述べた実施例と同様に、側壁102に側壁内面の温度を制御するジャケット103が交換可能に保持され、ジャケット103の内部に熱媒体供給手段104から熱媒体が循環供給されて、ジャケットの温度が0℃〜約100℃、望ましくは20℃〜約80℃の範囲で、±10℃以内の精度で制御される。ジャケット103は、たとえばアルマイト処理を施したアルミニウムで構成する。
【0074】
このような構成により、リアクタ内壁面をウエハ温度よりも十分低い温度で一定に制御できるので、リアクタ側壁内面に強固な堆積膜を形成できる。この膜は十分な耐プラズマ性を有しており、リアクタ内壁の保護膜として作用し、反応生成物の剥離や試料表面へのパーティクルの付着が低減する。したがって、側壁は消耗したり損傷したりしないので、側壁の部品交換の頻度が低減でき、ランニングコストの低下につながるとともに、耐プラズマ性の高いSiCなどのセラミクスを使う必要がなく、部品コストの低減が可能となる。
【0075】
また、本実施例では、図1、図2で述べた実施例と同様に、試料台リング132に、電界発生手段203から供給されるバイアス電力の一部を漏洩させる構造とし、さらにガス伝熱により冷却することで、試料台リング132における表面反応や試料の処理特性が安定化できる。また同時に、バイアスによる加熱とイオンアシストにより反応生成物の堆積が防止できるので、反応生成物の剥離や試料表面へのパーティクルの付着が低減される。
【0076】
図7は、本発明を、平行平板型プラズマ処理装置に適用した例である。真空容器としての処理室100は、側壁102と、ウエハなどの試料Wを載置する下部電極130と、これに対向する上部電極210、および上部電極210に電力を供給して電極間に電界を発生させる電界発生手段221とを備えている。所定の処理ガスが処理室100内にガス供給手段117より供給され、真空排気系106で真空容器内が減圧排気される。そして、電極間に発生する電界により処理ガスをプラズマ化して、プラズマPを発生させ、試料Wを処理する。上部電極210は、電極板211が絶縁体212、213で絶縁されてハウジング214に保持される。また、電極板211のプラズマに接する側の面にはプレート215が、その外周にはシールドリング216が設置される。シールドリング216は、絶縁体212、213をプラズマから保護すると同時に、試料台リング132と対をなして、プラズマPを処理室100に封じ込めることでプラズマ密度を向上させて、高いエッチング特性を得る。
【0077】
本実施例では、図1で述べた実施例と同様に、側壁102の内面の温度がジャケット103により0℃〜約100℃、望ましくは20℃〜約80℃の範囲で、±10℃以内の精度で制御されるため、耐プラズマ性を有する堆積膜が形成されてリアクタ内壁の保護膜として作用し、パーティクルの低減や側壁の部品交換の頻度の低減が可能となる。また、試料台リング132についても漏洩バイアス印加構造とガス冷却により、表面反応や試料の処理特性が安定化でき、反応生成物の堆積を防止してパーティクル発生が低減される。さらにシールドリング216は、図3の実施例と同様に、その形状が薄く、かつプレート115に対してシールドリング216の一部分がかかり、かつ他部品との熱的な接触が少なくなるように構成されている。このため、プレート115に電力を印加すると、シールドリング216がセルフバイアスによるイオンにより加熱され、反応生成物の堆積が抑制されて、異物発生を低減できる。
【0078】
図8は、本発明を、誘導結合型のプラズマ処理装置に適用した例である。真空容器としての処理室100は、側壁102と、ウエハなどの試料Wを載置する下部電極130と、天板230とを備えており、真空排気系106で減圧排気される。天板230の上部には、誘導放電用コイル231が配置され、高周波電源232から高周波電力を供給する。処理ガスはガス供給手段117より供給され、誘導放電用コイル231による誘導放電でプラズマ化されて、プラズマPが発生し、試料Wを処理する。誘導結合型のプラズマ処理装置では、天板にシリコンを用いてプロセスを安定化させたり、たとえばファラデーシールドや磁場などの手段でプラズマと壁との相互作用を抑制することで、側壁をウエハよりも低温としても高いエッチング特性が安定して得られる。
【0079】
本実施例では、図1で述べた実施例と同様に、側壁102の内面の温度がジャケット103により0℃〜約100℃、望ましくは20℃〜約80℃の範囲で、±10℃以内の精度で制御される。このため、耐プラズマ性を有する堆積膜が形成されてリアクタ内壁の保護膜として作用し、パーティクルの低減や側壁の部品交換の頻度の低減が可能となる。また、試料台リング132についても漏洩バイアス印加構造とガス冷却により、表面反応や試料の処理特性が安定化でき、反応生成物の堆積を防止してパーティクル発生が低減される。
【0080】
なお、前記の各実施例は、いずれも処理対象が半導体ウエハであり、これに対するエッチング処理の場合であったが、本発明はこれに限らず、例えば処理対象が液晶基板の場合にも適用でき、また処理自体もエッチングに限らず、たとえばスパッタリングややCVD処理に対しても適用可能である。
【0081】
【発明の効果】
本発明によれば、リアクタ内部の温度と壁面の状態を制御することにより、エッチング特性に経時的な変化を生じさせることなく、プロセスの再現性・信頼性を、長期間にわたって低コストで維持できるプラズマ処理装置を提供することができる。
【図面の簡単な説明】
【図1】本発明の一実施例になる、プラズマエッチング装置の断面模式図である。
【図2】本発明の一実施例である、試料台リングの温度制御方法を示す図である。
【図3】本発明の一実施例である、リングの温度制御方法を示す図である。
【図4】本発明の一実施例である、赤外ランプによるリングの温度の制御方法を示す図である。
【図5】本発明の一実施例である、冷媒によるリングの温度制御方法を示す図である。
【図6】本発明の一実施例になる、有磁場RIEプラズマエッチング装置の断面模式図である。
【図7】本発明の一実施例になる、平行平板型プラズマエッチング装置の断面模式図である。
【図8】本発明の一実施例になる、誘導結合型プラズマエッチング装置の断面模式図である。
【符号の説明】
100…処理室、101…磁場形成手段、102…処理室側壁、103…ジャケット、104…ガス供給手段、105…真空室、106…真空排気系、110…アンテナ、110…円板状導電体、112…誘電体、113…誘電体リング、115…プレート、116…温度制御手段、117…ガス供給手段、121…アンテナ電源、122…アンテナ高周波電源、130…下部電極、131…静電吸着装置、132…試料台リング、133…絶縁体、141…バイアス電源、151…赤外吸収体、152…赤外線放射手段、153…赤外透過窓、155…ガス供給手段、142…静電吸着装置、143…絶縁体、147…冷媒流路。[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a plasma processing apparatus and a processing method, and more particularly to a plasma processing apparatus suitable for forming a fine pattern in a semiconductor manufacturing process.
[0002]
[Prior art]
In semiconductor manufacturing processes, plasma processing apparatuses are widely used in fine processing processes such as film formation, etching, and ashing. In the process by plasma processing, the process gas introduced into the vacuum chamber (reactor) is converted into plasma by the plasma generating means, reacted on the surface of the semiconductor wafer, finely processed, and volatile reaction products are exhausted. A predetermined process is performed.
[0003]
In this plasma processing process, the temperature of the reactor inner wall and wafer, or the deposition state of reaction products on the inner wall greatly affects the process. In addition, if the reaction product deposited inside the reactor is peeled off, it causes dust generation, leading to deterioration of device characteristics and a decrease in yield.
[0004]
For this reason, in the plasma processing apparatus, it is important to control the temperature inside the reactor and the deposition of reaction products on the surface in order to keep the process stable and suppress the generation of foreign substances.
[0005]
For example, Japanese Patent Laid-Open No. 8-144072 discloses that the temperature of each part in the reactor is 150 ° C. or higher and 300 ° C. or higher 300 ° C. higher than the temperature of the etching stage in order to improve the selectivity in the dry etching process of the silicon oxide film. A dry etching apparatus is described in which a high temperature value of less than or equal to ℃ (preferably between 200 and 250 ℃) is controlled and maintained with an accuracy within ± 5 ℃. By controlling the temperature of each part of the reactor inner surface to a high temperature in this way, the amount of plasma polymer adhered to the reactor inner surface decreases, the amount of plasma polymer deposited on the semiconductor wafer increases, and the selectivity ratio increases. improves.
[0006]
Japanese Patent Application Laid-Open No. 5-275385 discloses a reaction product generated by plasma processing in at least one of a clamp ring (target object holding means) and a focus ring (plasma concentration means) in a parallel plate type plasma processing apparatus. Describes an apparatus provided with heating means for raising and maintaining the temperature at a temperature at which no adhesion occurs. A resistance heating element is used as the heating means. Since adhesion of the reaction product can be prevented by heating, peeling of the reaction product and adhesion of particles on the surface of the object to be processed are reduced.
[0007]
[Problems to be solved by the invention]
As described above, in the plasma processing apparatus, it is important to control the temperature of the inner wall surface of the chamber and the deposition of reaction products on the inner wall surface.
[0008]
However, if the temperature of the inner wall surface of the chamber, particularly the side wall surface having a large area, is set to a high temperature of about 200 ° C. to 250 ° C. or more, the etching characteristics become very sensitive to the temperature of the inner wall surface, and process reproducibility and reliability are improved. There is a problem that it tends to decrease.
[0009]
For example, SC McNevin, et al., J. Vac. Sci. Technol. B 15 (2) Mar / Apr 1997, p. 21, 'Chemical challenge of submicron oxide etching' It has been shown that when the temperature is changed from 200 ° C. to 170 ° C., the oxide film etch rate increases by 5% or more. The reason for this is presumed that due to the decrease in the sidewall temperature, more carbon is adsorbed on the wall, the deposition of carbon on the wafer is reduced, and the oxide film etch rate is increased. In this way, particularly in high-density plasma, since the plasma interacts strongly with the reactor inner wall in a high temperature region, reaction product deposition on the inner wall surface and surface composition change rapidly due to changes in the temperature balance inside the reactor. This will appear as a change in etching characteristics.
[0010]
Furthermore, in the high temperature region, the interaction between the plasma and the inner wall becomes very sensitive to temperature changes. For example, when SiO2 is used as the inner wall material, a thermodynamic relationship between the etch rate of SiO2 due to F atoms and the wall temperature has been reported (DLFlamm, et al., J. Appl. Phys., 50, p.6211 (1979)), when this relational expression is applied to a temperature range of 150 ° C. or higher, the etch rate increases exponentially at a wall temperature of 200 ° C. to 250 ° C. or higher.
[0011]
Accordingly, in such a high temperature region, the temperature control is required to have a high accuracy, for example, within ± 5 ° C. However, since the inner wall surface is exposed to high-density plasma, it is not easy to control the temperature of the wall surface with high accuracy in such a high temperature region. In order to realize this, temperature detection means and heating means such as a heater and a lamp are used for temperature control. However, the temperature control mechanism / means are important. Furthermore, since reaction products are not deposited on the inner wall surface in such a high temperature region, the wall surface is etched and consumed by plasma. Therefore, it is necessary to periodically replace the parts on the inner wall surface, leading to an increase in the cost of consumables. Moreover, since large energy is required for heating, it is not preferable from the viewpoint of energy consumption.
[0012]
A similar problem applies to the heating of the wafer and the ring around the electrode. Although the reaction product can be prevented from adhering by heating the ring to raise the temperature, a heating mechanism such as a resistance heating element complicates the apparatus configuration. Further, even if the reaction product can be prevented from adhering, if the ring or inner wall surface is etched and consumed by plasma, the constituent material itself may become a new source of dust generation. Furthermore, when the ring and inner wall parts are consumed, it is necessary to replace them periodically, leading to an increase in the running cost of the apparatus.
[0013]
One method for solving these problems is to protect the inner wall surface of the chamber with a surface coating layer made of a polymer. For example, Japanese Patent Application Laid-Open No. 7-312363 describes a plasma etching apparatus in which a surface coating layer is formed on an inner wall surface of a chamber while maintaining the temperature of a support for a workpiece (workpiece) in a state higher than the wall surface of the chamber. Has been. The contaminant particles are captured and accumulated in the polymer film to reduce the residual accumulation of contaminants in the chamber due to reaction products.
[0014]
However, in this case, the purpose is not to protect the wall surface, but to capture contaminant particles. In addition, the temperature at which the surface coating layer is formed on the inner wall surface of the chamber is only described as a value that is 5 ° C. lower than the workpiece (workpiece), and the temperature range and control accuracy are considered. Has not been made. The pressure range is also a high pressure range of several hundred mtorr (several tens of Pa). However, it is estimated that the deposition temperature of the film changes the composition and quality of the film and affects the peel strength of the film and the generation of foreign matter. Further, the temperature fluctuation of the deposited film is predicted to cause cracks and peeling due to repeated thermal expansion and contraction, thereby causing foreign matters, and the accuracy of temperature control is an important factor. In addition, in the pressure range of several tens of mtorr or less (several Pa or less), it is considered that the film deposition state is different due to higher ion energy and longer mean free path of molecules. Furthermore, in the above known example, it is necessary to remove the coating layer containing the contaminant from the wall surface of the plasma processing chamber, which directly affects the throughput of the apparatus and the cost of consumables, but this point is not taken into consideration.
[0015]
The present invention has been made in order to solve the above-described problems. By controlling the temperature inside the reactor and the deposition of reaction products, the process can be reproduced without causing a change in etching characteristics over time. An object of the present invention is to provide a plasma processing apparatus capable of maintaining reliability and reliability over a long period of time and at a low cost.
[0016]
[Means for Solving the Problems]
As a result of intensive research on the above problems, the present inventors have determined that when the pressure in the reactor is in the region of several Pa or less, the temperature of the inner wall of the reactor is controlled to a temperature that is sufficiently lower than the wafer and constant. Furthermore, it has been found that a strong coating film is formed on the inner wall surface. As a result of further detailed analysis, this coating film has a more solid layered structure because the polymer polymerization progresses as the temperature during film formation decreases, and the temperature during film formation is controlled to be constant. Therefore, it was found that there was no peeling or damage of the film surface and no dust generation.
[0017]
In the above, the temperature of the reactor inner wall surface is “sufficiently lower than the wafer and constant” means that the temperature is within 5 ° C. or more, preferably 20 ° C. or more lower than the wafer, and is controlled within ± 10 ° C. Means. When the temperature during wafer processing is about 100 ° C. to 110 ° C., the temperature range means 100 ° C. or lower, preferably 80 ° C. or lower.
[0018]
On the other hand, inside the reactor, there are some parts or components that are difficult to control in the low temperature region as described above. As a result of studying such a place, the present inventors have found a method for controlling the temperature and deposition of reaction products on the surface without having a complicated heating mechanism such as a heating resistor. It came to.
[0019]
The present invention has been made based on the above knowledge, and holds a vacuum processing chamber, a plasma generator, a processing gas supply means for supplying a gas to the processing chamber, and a sample to be processed in the vacuum processing chamber. In the plasma processing apparatus having an electrode to perform and an evacuation system for depressurizing the vacuum processing chamber, the processing gas includes at least one gas having a composition such that a polymer film is formed by plasma discharge, and the processing chamber Then, the processing gas is converted into plasma by plasma discharge, and at least a part of the inner wall surface (or the surface of the internal component) in contact with the plasma inside the processing chamber is controlled to be constant at a temperature sufficiently lower than the sample. A strong polymer film is formed on the indoor wall surface.
[0020]
Another feature of the present invention is that the temperature of the inner wall surface on which the polymer film is formed is controlled with an accuracy within ± 10 ° C. within a range of 5 ° C. or more, preferably 20 ° C. or more lower than the sample. .
[0021]
Another feature of the present invention is that the temperature of the inner wall of the processing chamber for forming the polymerized film is controlled within a range of 0 ° C. to 100 ° C., preferably 20 ° C. to 80 ° C., with an accuracy of ± 10 ° C. There is to do.
[0022]
Another feature of the present invention is that the processing pressure in the processing chamber is 0.1 Pa to 10 Pa, preferably 0.5 Pa to 4 Pa.
[0023]
Another feature of the present invention resides in that a member constituting the processing chamber wall surface on which the polymerized film is formed can be easily replaced.
[0024]
Another feature of the present invention is that it includes a treatment process that suppresses the growth of the polymer film formed on the wall surface of the treatment chamber.
[0025]
Still another feature of the present invention is that a vacuum processing chamber, a plasma generator, a processing gas supply means for supplying a gas to the processing chamber, an electrode for holding a sample to be processed in the vacuum processing chamber, and the vacuum processing In a plasma processing apparatus having an evacuation system for depressurizing a chamber, a bias is applied to at least a part of the component (or inner wall surface) that contacts the plasma inside the processing chamber, and its heat capacity is sufficiently reduced. And it is in the structure to make the surface area small.
[0026]
Another feature of the present invention resides in that the temperature of the component in contact with the plasma inside the processing chamber is adjusted in the range of 100 ° C. or higher and 250 ° C. or lower, preferably 150 ° C. or higher and 200 ° C. or lower. The treatment pressure of the chamber is 0.1 Pa or more and 10 Pa or less, preferably 0.5 Pa or more and 4 Pa or less.
[0027]
Another feature of the present invention is that the shape of the inner wall component is a ring shape, and the surface area of the component in contact with plasma is 20% or less of the total area of the processing chamber wall.
[0028]
Another feature of the present invention is that the shape of the component that is in contact with the plasma inside the processing chamber and to which a bias is applied to at least a part thereof is a ring shape, the thickness is 6 mm or less, and the inner diameter is not less than the sample diameter. There is to be.
[0029]
According to still another aspect of the present invention, in the plasma processing apparatus, an infrared light absorber is formed in the vicinity of the side of the inner wall component that contacts the plasma, and the temperature of the component is infrared irradiation means. It is to be controlled remotely.
[0030]
Another feature of the present invention is that the temperature of the component controlled by infrared irradiation is controlled at an accuracy of ± 10 ° C. within a range of 100 ° C. to 250 ° C., preferably 150 ° C. to 200 ° C. There is to do.
[0031]
Still another feature of the present invention resides in that, in the above plasma processing apparatus, the plasma generation apparatus is a magnetic field UHF band electromagnetic wave radiation system.
[0032]
According to the present invention, a part of the processing gas is polymerized by plasma discharge, and a surface coating layer made of a polymer is formed on the part of the processing chamber wall surface in contact with the plasma or the surface of the part. By controlling the temperature of the inner wall of the reactor to a constant temperature sufficiently lower than that of the wafer, the polymer polymerization of the coating layer proceeds and a firm layered structure can be formed. Therefore, the inner wall surface is not etched and consumed by plasma, so that the frequency of replacement of parts on the inner wall surface can be reduced, and the running cost can be reduced. Further, since this coating layer has a close film composition, it does not cause peeling or damage on the surface even when exposed to plasma, and therefore does not cause dust generation.
[0033]
In addition, since the temperature of the inner wall surface of the chamber is set to a temperature region lower than that of the wafer, the interaction between the plasma and the inner wall surface is weaker than when the inner wall surface is set to a high temperature region of 200 ° C. or higher. Not sensitive to temperature changes. Therefore, process reproducibility and reliability are unlikely to deteriorate over a long period of time, and the accuracy of temperature control may be within ± 10 ° C., for example, and can be realized relatively easily without using a complicated mechanism for temperature control. It becomes possible.
[0034]
Further, when a polymerized film exceeding a predetermined value is formed on the inner wall surface, it is necessary to remove this film. Instead of cleaning this film removal process, the apparatus is opened to the atmosphere and the components on the wall surface of the processing chamber where the polymer film is formed are replaced and the apparatus is restarted. Reproducing the inner wall surface ex-situ has the effect of reducing the downtime of the apparatus and not reducing the throughput, and reducing the cost of consumables by regenerating and reusing parts. Further, by adding a process for suppressing the growth of the polymer film during the treatment, the time until the apparatus is opened and cleaned can be extended.
[0035]
On the other hand, according to still another aspect of the present invention, a structure in which a bias is applied to at least a part of a part or a component that is difficult to control temperature in a region sufficiently lower than the wafer is provided in the reactor. And by making the heat capacity of the whole part sufficiently small, the whole part can be controlled to a high temperature region without using a complicated mechanism such as a heater or a lamp, so that excessive deposition of reaction products is suppressed and the reaction products It is possible to reduce the generation of foreign matter due to peeling of the film. In addition, by reducing the surface area of the component, the influence on the process can be suppressed even if the temperature and the surface state fluctuate. Further, by adjusting the degree of bias applied to the above-described components and setting the temperature in the range of 100 ° C. or higher and 250 ° C. or lower, desirably 150 ° C. or higher and 200 ° C. or lower, a high temperature region of approximately 250 ° C. or higher. Compared to the case where the temperature is set to, the temperature is not sensitive to changes in temperature, so that there is an advantage that the temperature fluctuation of the component can be reduced to a level that does not substantially affect the process.
[0036]
According to still another aspect of the present invention, the temperature of the component in contact with the plasma in the processing chamber can be more actively controlled with high accuracy in the high temperature region by using infrared irradiation and gas heat transfer, so that the reaction is generated. Excessive accumulation of substances can be suppressed to reduce the generation of foreign substances accompanying the separation of reaction products, and the influence on the process can be suppressed by suppressing fluctuations in temperature and surface state. Furthermore, by controlling the temperature within a range of ± 10 ° C. within the range of 100 ° C. to 250 ° C., desirably 150 ° C. to 200 ° C., compared with a case where the temperature is set to a high temperature region of about 250 ° C. or higher, Since it is not sensitive to temperature changes, there is an advantage that the temperature fluctuations of the components can be reduced to a level that does not substantially affect even a finer process.
[0037]
DETAILED DESCRIPTION OF THE INVENTION
Embodiments of the present invention will be described below with reference to the drawings.
FIG. 1 shows an embodiment in which the present invention is applied to a magnetic field UHF band electromagnetic wave radiation discharge type plasma etching apparatus, and is a schematic sectional view of the plasma etching apparatus.
[0038]
In FIG. 1, a processing chamber 100 is a vacuum container that can achieve a vacuum degree of about 10 −6 Torr, an antenna 110 that radiates electromagnetic waves as plasma generating means is provided in the upper part, and a sample W such as a wafer is provided in the lower part. Each of the lower electrodes 130 to be placed is provided. The antenna 110 and the lower electrode 130 are installed so as to face each other in parallel. Further, around the processing chamber 100, magnetic field forming means 101 including electromagnetic coils 101A and 101B and a yoke 101C is installed, and a magnetic field having a predetermined distribution and strength is formed. Then, due to the interaction between the electromagnetic wave radiated from the antenna 110 and the magnetic field formed by the magnetic field forming means 101, the processing gas introduced into the processing chamber is converted into plasma, generating plasma P, and processing the sample W. .
[0039]
A jacket 103 that controls the temperature of the inner surface of the side wall is replaceably held on the side wall 102 of the processing chamber 100. A heat medium is circulated and supplied from the heat medium supply means 104 into the jacket 103 to control the temperature. The temperature of the jacket is controlled within an accuracy of ± 10 ° C. within the range of 0 ° C. to 100 ° C., preferably 20 ° C. to 80 ° C. On the other hand, the processing chamber 100 is evacuated by an evacuation system 106 connected to the vacuum chamber 105, and the inside of the processing chamber 100 is adjusted to a predetermined processing pressure of 0.1 Pa to 10 Pa, preferably 0.5 Pa to 4 Pa. Is done. The processing chamber 100 and the vacuum chamber 105 are at ground potential. The sidewall 102 and the jacket 103 of the processing chamber 100 may be subjected to a surface treatment such as plasma-resistant alumite on the surface as a nonmagnetic metal material such as aluminum that does not contain heavy metals and has good thermal conductivity.
[0040]
An antenna 110 that radiates electromagnetic waves includes a disk-shaped conductor 111, a dielectric 112, and a dielectric ring 113, and is held by a housing 114 that is a part of a vacuum vessel. Further, a plate 115 is installed on the surface of the disk-shaped conductor 111 on the side in contact with plasma, and a ring 116 is installed on the outer periphery thereof. A processing gas for performing processing such as etching and film formation of the sample is supplied from the gas supply means 117 with a predetermined flow rate and a mixing ratio, and passes through a large number of holes provided in the disk-shaped conductor 111 and the plate 115. The distribution is controlled and supplied to the processing chamber 100.
[0041]
An antenna power source 121 and an antenna high-frequency power source 122 are connected to the disk-shaped conductor 111 through matching circuit / filter systems 123 and 124, respectively, and are connected to the ground through the filter 125. The antenna power supply 121 desirably supplies power of a UHF band frequency of 300 MHz to 900 MHz, and UHF band electromagnetic waves are radiated from the antenna 110. On the other hand, the antenna high-frequency power source 122 applies a bias of a low frequency of about 100 kHz or a high frequency of about several MHz to 10 MHz to the disk-shaped conductor 111, for example, to the plate 115 in contact with the disk-shaped conductor 111. Control the reaction at the surface. Since the plate 115 is opposed to the wafer, it has the greatest influence on the processing process. However, the apparatus process is stabilized by applying a bias to this surface and not depositing reaction products. In addition, for example, in oxide film etching using a CF-based gas, the material of the plate 115 is made of high-purity silicon, carbon, or the like, thereby controlling the reaction of F radicals and CFx radicals on the surface of the plate 115. To adjust the composition ratio of radicals. The distance between the lower surface of the plate 115 and the wafer W (hereinafter referred to as a gap) is 30 mm or more and 150 mm or less, preferably 50 mm or more and 120 mm or less.
[0042]
The temperature of the disk-shaped conductor 111 is maintained at a predetermined value by a temperature control means (not shown), that is, a heat medium circulating inside the disk-shaped conductor 111, and the surface temperature of the plate 115 in contact with the disk-shaped conductor 111 is controlled. The ring 116 is heated by a bias from the antenna high-frequency power source 122 and temperature controlled, which will be described in detail later.
[0043]
A lower electrode 130 is provided below the processing chamber 100 so as to face the antenna 110. A bias power supply 141 that supplies a bias power in the range of 400 kHz to 13.56 MHz is connected to the lower electrode 130 via a matching circuit / filter system 142 to control the bias applied to the sample W, and via the filter 143. Connected to ground.
[0044]
The lower electrode 130 mounts and holds a sample W such as a wafer on its upper surface, that is, the sample mounting surface, by the electrostatic chuck 131. The electrostatic adsorption device 131 has an electrostatic adsorption dielectric layer (hereinafter abbreviated as an electrostatic adsorption film) formed on the upper surface thereof. Then, a DC voltage of several hundreds to several kV is applied by a DC power supply 144 and a filter 145 for electrostatic adsorption, and a Coulomb force acting between the sample W and the electrostatic adsorption device 111 via the electrostatic adsorption film. And the sample W is adsorbed and held on the lower electrode 130. As the electrostatic adsorption film, for example, a dielectric material in which titanium oxide is mixed with aluminum oxide or aluminum oxide is used.
[0045]
Further, the surface temperature of the sample W is controlled to a predetermined temperature by temperature control means (not shown) in order to control the surface reaction. For this purpose, an inert gas, for example, He gas is supplied to the lower electrode 130 at a predetermined flow rate and pressure in order to improve heat transfer between the electrostatic adsorption device 131 and the sample W. . Thereby, the temperature of the wafer is controlled to a range of about 100 ° C. to 110 ° C. at the maximum.
[0046]
A sample stage ring 132 is provided on the outer side of the sample W on the upper surface of the electrostatic chuck 131. The sample stage ring 132 is made of ceramics such as SiC, carbon, silicon, or quartz material. The sample stage ring 132 is insulated from the electrostatic chuck 131 by an insulator 133 such as alumina. Further, by applying a part of the bias power from the bias power supply 141 to the sample stage ring 132 via the insulator 133, the bias application to the sample stage ring 132 is adjusted and the reaction on the surface is controlled. It is also possible to do. For example, in oxide film etching using a CF-based gas, if the material of the sample stage ring 132 is made of high-purity silicon, the reaction of F radicals and CFx radicals on the surface of the sample stage ring 132 is caused by the scavenging action of silicon. By adjusting, it is possible to improve the etching uniformity especially at the outer peripheral portion of the wafer. The sample stage ring 132 is heated by the bias and cooled by the heat transfer gas, and the temperature is controlled. This will be described in detail later.
[0047]
The plasma etching apparatus according to the present embodiment is configured as described above, and a specific process for etching a silicon oxide film, for example, using this plasma etching apparatus will be described with reference to FIG.
[0048]
First, a wafer W that is an object to be processed is loaded into the processing chamber 100 from a sample loading mechanism (not shown), and then placed and sucked onto the lower electrode 130. Then, the height of the lower electrode is adjusted as necessary to set a predetermined gap. Next, the processing chamber 100 is evacuated by the evacuation system 106. On the other hand, gases necessary for etching the sample W, such as C4F8 and Ar, are supplied from the gas supply means 117 to the processing chamber 100 from the plate 115 of the antenna 110 with a predetermined flow rate and mixing ratio, for example, an Ar flow rate of 300 sccm and a C4F8 flow rate of 9 sccm. To be supplied. At the same time, the processing chamber 100 is evacuated by the vacuum exhaust system 106, and the inside of the processing chamber 100 is adjusted to a predetermined processing pressure, for example, 1 Pa. On the other hand, the magnetic field forming unit 101 forms a magnetic field having a predetermined distribution and strength. Then, an electromagnetic wave in the UHF band is radiated from the antenna 110 by the antenna power source 121, and plasma P is generated in the processing chamber 100 by interaction with the magnetic field. By this plasma P, the processing gas is dissociated to generate ions and radicals, and the antenna high-frequency power source 122 and the bias power source 141 are controlled to perform processing such as etching on the wafer W. Then, along with the end of the etching process, the supply of electric power and processing gas is stopped to end the etching.
[0049]
Now, the plasma processing apparatus in the present embodiment is configured as described above, but the temperature control of each part in the reactor, particularly the inner surface of the side wall 103 and the ring 116, the sample stage ring 132, and the deposition control of the reaction product are specifically described. I will explain it.
[0050]
First, the side wall 103 will be described with reference to FIG. As already described, the jacket 103 is held inside the side wall 102 of the processing chamber 100, and the temperature can be controlled by the heat medium.
[0051]
The inventors of the present invention conducted an experiment at a pressure of 2 Pa using a mixed gas system of C4F8 and Ar as a processing gas for oxide film etching. As a result, the temperature of the reactor inner wall surface was sufficiently higher than the wafer temperature (about 100 ° C.). It has been found that when the temperature is controlled within a range of 25 ° C. to 80 ° C., which is a low temperature, with a precision within ± 10 ° C., a firm coating film is formed on the inner wall surface. In such a pressure range of several tens of mtorr or less (several Pa or less), ions with high energy increase, so that the effect of ion assist in film deposition is enhanced and a close film is formed. As for the state of the deposited film, a dense and strong film was formed when the sidewall temperature was low, and the structure was somewhat rough when the sidewall temperature was high. In order to clarify this film quality change quantitatively, the composition (element concentration ratio) of the films deposited at the sidewall temperatures of 25 ° C., 50 ° C., and 80 ° C. was analyzed by XPS (X-ray photoelectron spectroscopy). It was a result like this.
[0052]
Figure 0004073235
As is apparent from this result, the film quality becomes richer as the side wall temperature is lower. Although not shown here, from the analysis of the C1s peak, it is known that the lower the side wall temperature is, the more carbon bonds are advanced and the polymer polymerization is advanced. It can be inferred that this was observed as a dense and strong film in the macro.
[0053]
In this experiment, since the temperature of the side wall surface is controlled with an accuracy within ± 10 ° C., it is predicted that internal stress due to temperature fluctuation does not occur during film deposition, and the film structure becomes dense. As a result of observation by an electron microscope, it was confirmed that a firm layered structure was formed. This film was extremely tight and strong, and even when it was experimentally deposited to a film thickness of about 200 microns in the deposition deposition acceleration test, no film peeling due to tape peeling or friction test was observed. Furthermore, it was found that this film is highly resistant to plasma, and that the film surface is not peeled off or damaged by plasma treatment and does not cause dust generation.
[0054]
Thus, by controlling the temperature of the inner wall of the reactor to be constant at a temperature sufficiently lower than the wafer temperature, a strong deposited film that does not generate thermal stress can be formed on the inner surface of the reactor side wall. This film has sufficient plasma resistance and acts as a protective film on the inner wall of the reactor because the separation of reaction products and the adhesion of particles to the sample surface are reduced. Therefore, since the side wall is not consumed or damaged, the frequency of replacement of the side wall parts can be reduced, leading to a reduction in running cost. Further, since the sidewall is protected by the deposited film, it is not necessary to use ceramics such as SiC having high plasma resistance, and it is possible to reduce the component cost. In particular, if the side wall temperature is controlled in the range of room temperature to about 50 ° C., energy for heating the side wall can be reduced, which leads to energy saving. As the sidewall material, a metal that does not contain heavy metal and has good thermal conductivity, such as aluminum, may be used.
[0055]
In the initial state where there is no deposited film, aluminum is exposed, so there is a possibility that the surface will be damaged due to damage from the plasma. Therefore, in order to prevent this, the surface may be coated with a polymer material. Alternatively, the aluminum surface may be subjected to, for example, alumite treatment, and fine holes generated by the alumite treatment may be further sealed with a polymer material. Of course, this sealing treatment is not limited to aluminum alumite treatment. Thus, by interposing the polymer film at the interface between the aluminum surface and the deposited film, the adhesion between the aluminum surface and the deposited film is improved, and the deposited film is hardly separated. Also, depending on the process, the film may be excessively deposited. In this case, the film thickness is kept constant by controlling the film deposition by using a short time plasma cleaning after the wafer processing. May be.
[0056]
Next, the sample stage ring will be described. As already described in the embodiment of FIG. 1, the sample stage ring 132 can make the etching characteristics uniform especially at the outer peripheral portion of the wafer by controlling the reaction on the surface by applying a bias. At this time, the sample stage ring 132 is heated by the bias. However, in order to control the reaction and film deposition on the surface, it is necessary to control the applied bias and temperature. In addition, it is desirable that the applied bias and temperature can be controlled without incorporating a complicated mechanism in the lower electrode incorporating the electrostatic chuck 131. This can be realized by balancing leakage bias control and heating by bias and cooling by gas heat transfer. This embodiment will be described with reference to a cross-sectional view (right half) of the lower electrode 130 shown in FIG.
[0057]
The lower electrode 130 holds the sample W by the electrostatic chuck 131. The electrostatic adsorption device 131 is insulated from the ground 135 by the insulator 134. In this embodiment, the sample stage ring 132 is installed on the electrostatic adsorption device 131 via the insulator 133 so that a part of the bias power supplied from the bias power supply 141 is leaked and applied. . The applied bias can be adjusted by the thickness and material of the insulator 133. With such a bias application structure, it is not necessary to provide a wiring structure to the sample stage ring 132 inside the lower electrode 130 or to connect another bias power source to the sample stage ring 132.
[0058]
In addition, the electrostatic adsorption device 131 is maintained at a predetermined temperature by circulation (not shown) of the temperature control heat medium. A flow path 136 of a heat transfer gas (for example, He gas) is formed between the sample W and the surface of the electrostatic adsorption device 131, and heat transfer gas is introduced to improve heat conduction. Kept. Here, in this embodiment, the heat transfer gas flow paths 136 </ b> A and 136 </ b> B are also formed between the sample stage ring 132, the insulator 133, and the electrostatic adsorption device 131. And a part of heat transfer gas for wafer cooling is introduced, and heat conduction in a contact part is maintained favorable. For this reason, the sample stage ring 132 maintains a stable temperature by maintaining good heat transfer with the electrostatic chuck 131 maintained at a predetermined temperature. As a result, temperature fluctuation due to bias application to the sample stage ring 132 is suppressed, and surface reaction and sample processing characteristics in the sample stage ring 132 can be stabilized. At the same time, the reaction product can be prevented from being deposited by heating with bias and ion assist, so that separation of the reaction product and adhesion of particles to the sample surface are reduced.
[0059]
In this way, the sample stage ring can control surface reaction, temperature, and film deposition with a simple structure by balancing the application of leakage bias, heating by bias, and cooling by gas heat transfer. Foreign matter can be reduced.
[0060]
In this embodiment, heat transfer is ensured by the heat transfer gas, but other heat transfer means such as a heat conductive sheet may be used.
[0061]
Next, the antenna 110 will be described. As already described in the embodiment of FIG. 1, an antenna high-frequency power source 122 is connected to the disk-shaped conductor 111 and a bias of about 100 kHz or several MHz to 10 MHz is applied. In addition, the temperature of the disk-shaped conductor 111 is maintained at a predetermined value by the heat medium. Therefore, a bias is applied to the plate 115 in contact with the disk-shaped conductor 111 and its surface temperature is also controlled. Since the plate 115 faces the wafer, it has the greatest influence on the processing process. However, no bias is applied to this surface to deposit reaction products, and the plate material is made of high-purity silicon and scavenging action. By using the surface reaction by, the process can be stabilized.
[0062]
On the other hand, the ring 116 at the outer peripheral portion of the plate 115 is heated by a bias from the antenna high-frequency power source 122 in the same manner as the plate 115, and the heat capacity of the ring 116 is further reduced to enhance the responsiveness to temperature changes. This will be described with reference to FIG.
[0063]
FIG. 3 is an embodiment showing a method for controlling the temperature of the ring 116. In this embodiment, the shape of the ring 116 is made thin, a part of the plate 115 is applied, and the thermal contact with the dielectric ring 113 and the plate 115 is reduced. In this case, when antenna high-frequency power is applied to the plate 115, ions are attracted to the surface of the ring 116 as indicated by an arrow in the figure by a bias to the plate 115. In the present embodiment, since a heating mechanism such as a heater or a lamp is not used, there is an advantage that the mechanism is not complicated.
[0064]
The width w of the bias application portion of the ring 116 is set to, for example, 10 mm or more so that heating by the bias can be performed efficiently. The thickness of the ring 116 is, for example, 6 mm or less, preferably 4 mm or less in order to be effectively heated by the bias. With such a thin shape, the heat capacity of the ring 116 is reduced. As a result, the entire ring can be heated to approximately 100 ° C. or more and 250 ° C. or less, desirably 150 ° C. or more and 200 ° C. or less. As a result, the deposition of the reaction product is suppressed, and the generation of foreign matter accompanying the separation of the reaction product can be reduced. Also, in this temperature range, the change in surface reaction is less sensitive to temperature changes than in the high temperature region of approximately 250 ° C. or higher, so that the temperature fluctuations of the components are small enough to have no substantial effect on the process. There are advantages you can do.
[0065]
The thickness of the ring 116 can suppress deposition of the deposition film, and the power and frequency of the antenna bias, the material of the ring 116, and the deposition rate of the reaction product on the ring 116 so that the ring surface is not sputtered by ions. It is decided by the balance with. Further, as shown in the figure, the thickness of the ring other than the portion to which the bias is applied may be reduced to further reduce the heat capacity of the entire ring. In this way, by reducing the heat capacity of the ring 116, the temperature rises with good responsiveness in a short time in the initial stage of processing, so that the influence on the processing characteristics is small. The inner diameter d of the ring 116 is desirably larger than the diameter of the sample. Since the inner diameter of the reactor is about 1.5 times that of the sample, when the sample diameter is 300 mm, the ring width s is about 50 mm to 70 mm, and the surface area is sufficiently less than 20% of the entire inner wall of the reactor, for example. Get smaller. Thus, by reducing the surface area of the component, the influence on the process can be suppressed even if the temperature and the surface state fluctuate. In addition, since the ring 116 is positioned on the outer peripheral portion of the wafer, the influence on the process is further reduced.
[0066]
By the way, since the above embodiment is passive heating by plasma, a certain temperature fluctuation cannot be avoided. Even if the effect of this variation does not become obvious in the current process, there is a possibility that the etching characteristics may be affected by miniaturization of the processing process. In this case, an active temperature control mechanism such as a lamp or a heater is required. It becomes. FIG. 4 shows an embodiment of a temperature control mechanism by lamp heating.
[0067]
In the present embodiment, a part of the dielectric ring 113A is configured to be able to apply a bias with the structure 116A similar to the ring 116, and further, infrared light is placed closer to the plasma side of the dielectric ring 113A. An infrared absorber 151 such as an alumina thin film that absorbs far infrared light is formed. Then, infrared light and far infrared light are radiated from the infrared radiation means 152, pass through the infrared transmission window 153 and the dielectric ring 113 </ b> A, and are absorbed by the infrared absorber 151 to heat the ring 116. Since the infrared absorber 151 can be remotely heated by infrared rays, the temperature of the surface of the dielectric ring 123 exposed to the plasma can be increased by installing the infrared absorber 151 on the side close to the plasma of the dielectric ring 113A. It becomes possible to control with accuracy. Further, since infrared absorption is used for the heating mechanism, there is an advantage that the responsiveness is better than the heating by the heating resistor. Further, since the dielectric ring 113A is also heated by the bias by the bias applying unit 116A, the temperature responsiveness is improved.
[0068]
On the other hand, the infrared radiation means 152 is installed in the holder 154, but a gap is provided between the holder 154 and the dielectric ring 113A, and heat transfer gas for temperature control is supplied to the gap through the gas supply means 155. The The heat transfer gas is sealed by the vacuum sealing means 156A and 156B. Due to this gas heat transfer, the dielectric ring 113A is radiated through the holder 154. Therefore, the accuracy of temperature control is improved, for example, by heating with a bias and a lamp at the start of processing and dissipating heat by gas heat transfer during processing. As a result, the temperature of the dielectric ring 123 can be controlled with an accuracy of about ± 5 to 10 ° C. in the range of about 100 ° C. to 250 ° C., preferably 150 ° C. to 200 ° C. At this temperature, deposition of the film is reduced, so that the generation of foreign matter due to film peeling is suppressed. In addition, since the surface state of the dielectric ring 113A is a region where the dependence on the temperature is not large, the surface state does not change, and stable plasma treatment can be performed for a long time.
[0069]
3 and FIG. 4 both heat the ring 116 in contact with the plasma and the dielectric ring 113A to reduce film deposition. The ring in contact with the plasma will be described with reference to FIG. Similarly to the inner surface of the side wall, it is possible to form a stable deposited film by constantly controlling the temperature lower than the wafer temperature. FIG. 5 shows this embodiment, in which the dielectric ring 113B is controlled in the range of about 20 ° C. to 100 ° C. by temperature control using a refrigerant.
[0070]
In this embodiment, the temperature control refrigerant is supplied from the heat medium supply means 162 to the refrigerant flow path 161 provided in the dielectric ring 113B. The refrigerant is sealed by the sealing means 163. The temperature of the dielectric ring 113B is maintained at a predetermined value by a temperature controller or a temperature detector (not shown). With such a configuration, the temperature of the dielectric ring 113B can be maintained in a range of about 20 ° C. to 100 ° C. during plasma processing. For this reason, since a stable and strong reaction product film is deposited on the surface of the dielectric ring 123, the surface of the dielectric ring 123 is not scraped and consumed. When the film is excessively deposited by the process, plasma cleaning may be used together to keep the film at a constant thickness.
[0071]
Each of the above examples was a case of a magnetic treatment UHF band electromagnetic wave radiation discharge type plasma processing apparatus, but the radiated electromagnetic waves other than the UHF band are, for example, 2.45 GHz microwaves, or The VHF band from several 10 MHz to about 300 MHz may be used. Further, the magnetic field is not always essential, and for example, a magneticless microwave discharge may be used. In addition to the above, the embodiments described above can be applied to, for example, a magnetron type plasma processing apparatus using a magnetic field, a parallel plate type capacitively coupled plasma processing apparatus, or an inductively coupled plasma processing apparatus.
[0072]
FIG. 6 shows an example in which the present invention is applied to an RIE apparatus (magnetron RIE apparatus or Magnetically Enhanced RIE apparatus) using a magnetic field. The processing chamber 100 as a vacuum vessel includes a side wall 102, a lower electrode 130 on which a sample W such as a wafer is placed, and an upper electrode 201 that is grounded so as to face the same, and a predetermined gas is introduced into the vacuum vessel. The gas supply means 117 to be introduced, the vacuum exhaust system 106 for evacuating the inside of the vacuum vessel, the electric field generating means 203 for generating an electric field between the lower electrode and the upper electrode, and the generation of a magnetic field for generating a magnetic field in the vacuum vessel Means 202 are provided. The magnetic field generating means 202 has a plurality of permanent magnets or coils arranged in a ring shape on the outer periphery of the processing chamber 100, and forms a magnetic field substantially parallel to the electrode in the processing chamber. Then, the processing gas is converted into plasma by the electric field generated between the electrodes, plasma P is generated, and the sample W is processed. Further, in the magnetron RIE, a magnetic field is formed in a direction substantially orthogonal to the electric field by the magnetic field generating means 202, so that the collision frequency between electrons and molecules / atoms in the plasma increases, plasma density increases, and high etching characteristics. Is obtained.
[0073]
In the present embodiment, as in the embodiment described with reference to FIG. 1, a jacket 103 that controls the temperature of the inner surface of the side wall is replaceably held on the side wall 102, and the heat medium is circulated from the heat medium supply means 104 inside the jacket 103. The jacket temperature is controlled within an accuracy of ± 10 ° C. within the range of 0 ° C. to about 100 ° C., preferably 20 ° C. to about 80 ° C. The jacket 103 is made of, for example, aluminum that has been anodized.
[0074]
With such a configuration, the inner wall surface of the reactor can be constantly controlled at a temperature sufficiently lower than the wafer temperature, so that a strong deposited film can be formed on the inner surface of the reactor side wall. This film has sufficient plasma resistance, and acts as a protective film for the inner wall of the reactor, thereby reducing reaction product separation and particle adhesion to the sample surface. Therefore, since the side wall is not consumed or damaged, the frequency of replacement of the side wall parts can be reduced, leading to a reduction in running cost, and there is no need to use ceramics such as SiC having high plasma resistance, thereby reducing the part cost. Is possible.
[0075]
In the present embodiment, similarly to the embodiment described with reference to FIGS. 1 and 2, the sample stage ring 132 has a structure in which part of the bias power supplied from the electric field generating means 203 is leaked. The surface reaction in the sample stage ring 132 and the processing characteristics of the sample can be stabilized by cooling by the above. At the same time, the deposition of the reaction product can be prevented by heating by bias and ion assist, so that the separation of the reaction product and the adhesion of particles to the sample surface are reduced.
[0076]
FIG. 7 shows an example in which the present invention is applied to a parallel plate type plasma processing apparatus. The processing chamber 100 as a vacuum vessel supplies power to the side wall 102, the lower electrode 130 on which the sample W such as a wafer is placed, the upper electrode 210 facing the upper electrode 210, and the upper electrode 210 to generate an electric field between the electrodes. Electric field generating means 221 to be generated. A predetermined processing gas is supplied into the processing chamber 100 from the gas supply means 117, and the vacuum chamber 106 is evacuated under reduced pressure by the vacuum exhaust system 106. Then, the processing gas is converted into plasma by the electric field generated between the electrodes, plasma P is generated, and the sample W is processed. The upper electrode 210 is held by the housing 214 with the electrode plate 211 insulated by insulators 212 and 213. A plate 215 is provided on the surface of the electrode plate 211 on the side in contact with the plasma, and a shield ring 216 is provided on the outer periphery thereof. The shield ring 216 protects the insulators 212 and 213 from the plasma, and at the same time forms a pair with the sample stage ring 132 to contain the plasma P in the processing chamber 100, thereby improving the plasma density and obtaining high etching characteristics.
[0077]
In this embodiment, as in the embodiment described with reference to FIG. 1, the temperature of the inner surface of the side wall 102 is within the range of ± 10 ° C. within the range of 0 ° C. to about 100 ° C., preferably 20 ° C. to about 80 ° C. Since it is controlled with accuracy, a deposited film having plasma resistance is formed and acts as a protective film on the inner wall of the reactor, and it becomes possible to reduce the number of particles and the frequency of replacement of side wall components. Also, the sample stage ring 132 can stabilize the surface reaction and sample processing characteristics by the leakage bias application structure and gas cooling, prevent the deposition of reaction products, and reduce the generation of particles. Further, like the embodiment of FIG. 3, the shield ring 216 has a thin shape, and a part of the shield ring 216 is applied to the plate 115, and the thermal contact with other parts is reduced. ing. For this reason, when electric power is applied to the plate 115, the shield ring 216 is heated by ions due to self-bias, the deposition of reaction products is suppressed, and foreign matter generation can be reduced.
[0078]
FIG. 8 shows an example in which the present invention is applied to an inductively coupled plasma processing apparatus. The processing chamber 100 as a vacuum container includes a side wall 102, a lower electrode 130 on which a sample W such as a wafer is placed, and a top plate 230, and is evacuated by a vacuum exhaust system 106. An induction discharge coil 231 is disposed on the top plate 230, and high frequency power is supplied from a high frequency power source 232. The processing gas is supplied from the gas supply means 117 and is converted into plasma by induction discharge by the induction discharge coil 231 to generate plasma P to process the sample W. In the inductively coupled plasma processing apparatus, silicon is used for the top plate to stabilize the process, or the interaction between the plasma and the wall is suppressed by means such as a Faraday shield or a magnetic field, so that the side wall is made smaller than the wafer. High etching characteristics can be stably obtained even at low temperatures.
[0079]
In this embodiment, as in the embodiment described with reference to FIG. 1, the temperature of the inner surface of the side wall 102 is within the range of ± 10 ° C. within the range of 0 ° C. to about 100 ° C., preferably 20 ° C. to about 80 ° C. Controlled with precision. For this reason, a deposited film having plasma resistance is formed and acts as a protective film for the inner wall of the reactor, and it becomes possible to reduce particles and the frequency of replacement of side wall components. Also, the sample stage ring 132 can stabilize the surface reaction and sample processing characteristics by the leakage bias application structure and gas cooling, prevent the deposition of reaction products, and reduce the generation of particles.
[0080]
In each of the above embodiments, the object to be processed is a semiconductor wafer and the etching process is performed on the semiconductor wafer. However, the present invention is not limited to this, and can be applied to the case where the object to be processed is, for example, a liquid crystal substrate. Further, the process itself is not limited to etching, and can be applied to, for example, sputtering or CVD process.
[0081]
【The invention's effect】
According to the present invention, the reproducibility and reliability of the process can be maintained at a low cost over a long period of time without causing a change with time in etching characteristics by controlling the temperature inside the reactor and the state of the wall surface. A plasma processing apparatus can be provided.
[Brief description of the drawings]
FIG. 1 is a schematic sectional view of a plasma etching apparatus according to an embodiment of the present invention.
FIG. 2 is a diagram showing a temperature control method for a sample stage ring, which is an embodiment of the present invention.
FIG. 3 is a diagram showing a ring temperature control method according to an embodiment of the present invention.
FIG. 4 is a diagram illustrating a ring temperature control method using an infrared lamp according to an embodiment of the present invention.
FIG. 5 is a diagram illustrating a ring temperature control method using a refrigerant according to an embodiment of the present invention.
FIG. 6 is a schematic sectional view of a magnetic field RIE plasma etching apparatus according to an embodiment of the present invention.
FIG. 7 is a schematic sectional view of a parallel plate type plasma etching apparatus according to an embodiment of the present invention.
FIG. 8 is a schematic sectional view of an inductively coupled plasma etching apparatus according to an embodiment of the present invention.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 100 ... Processing chamber, 101 ... Magnetic field formation means, 102 ... Processing chamber side wall, 103 ... Jacket, 104 ... Gas supply means, 105 ... Vacuum chamber, 106 ... Vacuum exhaust system, 110 ... Antenna, 110 ... Disc-shaped conductor, DESCRIPTION OF SYMBOLS 112 ... Dielectric material, 113 ... Dielectric ring, 115 ... Plate, 116 ... Temperature control means, 117 ... Gas supply means, 121 ... Antenna power supply, 122 ... Antenna high frequency power supply, 130 ... Lower electrode, 131 ... Electrostatic adsorption apparatus, 132 ... Sample stage ring, 133 ... Insulator, 141 ... Bias power supply, 151 ... Infrared absorber, 152 ... Infrared radiation means, 153 ... Infrared transmission window, 155 ... Gas supply means, 142 ... Electrostatic adsorption device, 143 ... insulator, 147 ... refrigerant flow path.

Claims (2)

アース電位に接続された真空処理室と、該真空処理室の上部に設けられ第一の電源からUHF帯の電力が印加される円板状導電体を有するプラズマ発生装置と、前記真空処理室に処理ガスを供給する処理ガス供給手段と、前記真空処理室内で処理される試料を保持する試料載置面を有する電極と、前記真空処理室内で前記試料載置面に対向しプラズマに面する位置に配置され前記プラズマに面しない側に前記円板状導電体が接して配置されるプレートと、前記円板状導電体を介して前記プレートの温度を所定の値に制御する温度制御手段と、前記円板状導電体を介して前記プレートに高周波のバイアス電力を印加する第二の電源と、前記真空処理室を減圧する真空排気系とを有し、CF系のガスを用いて酸化膜エッチングを行うプラズマ処理装置いられるプレートであって、
前記プレートは、高純度のシリコンまたはカーボンからなり、前記処理ガス供給手段から供給される処理ガスを前記真空処理室に導入するための多数の孔を有し、
前記プレートは、前記プラズマに面する表面での反応が前記第二の電源から印加される高周波のバイアスにより制御されると共に、該プレートの表面温度が前記温度制御手段により所定の値に維持されるように構成されていることを特徴とするプラズマ処理装置用のプレート。
A plasma processing apparatus having a vacuum processing chamber connected to a ground potential, a disk-shaped conductor provided at an upper portion of the vacuum processing chamber to which UHF band power is applied from a first power source; and the vacuum processing chamber A processing gas supply means for supplying a processing gas; an electrode having a sample mounting surface for holding a sample to be processed in the vacuum processing chamber; and a position facing the sample mounting surface and facing the plasma in the vacuum processing chamber. A plate disposed in contact with the disk-shaped conductor on the side not facing the plasma, and temperature control means for controlling the temperature of the plate to a predetermined value via the disk-shaped conductor, has a second power source for applying a high frequency bias power to said plate through said discoid conductor, and a vacuum exhaust system for reducing the pressure of the vacuum processing chamber, oxide film etching using a CF gas plasma processing to perform A plate that is needed use in location,
The plate is made of high-purity silicon or carbon, and has a large number of holes for introducing a processing gas supplied from the processing gas supply means into the vacuum processing chamber,
The plate is maintained at a predetermined value by Rutotomoni reaction on the surface facing the plasma is controlled by the high frequency bias applied from the second power source, the surface temperature of the plate is the temperature control means It is comprised in this way, The plate for plasma processing apparatuses characterized by the above-mentioned.
アース電位に接続された真空処理室と、該真空処理室の上部に設けられプラズマ生成用の第一の高周波電源およびバイアス用の第二の高周波電源からの電力が印加される円板状導電体を有するプラズマ発生装置と、前記真空処理室に処理ガスを供給する処理ガス供給手段と、前記真空処理室内で処理される試料を保持する試料載置面を有する電極と、前記真空処理室内で前記試料載置面に対向しプラズマに面する位置に配置され前記プラズマに面しない側に前記円板状導電体が接して配置されるプレートと、前記円板状導電体を介して前記プレートの温度を所定の値に制御する温度制御手段とを備え、前記バイアス用の第二の高周波電源が前記円板状導電体を介して前記プレートに印加され、CF系のガスを用いて酸化膜エッチングを行うプラズマ処理装置いられるプレートであって、
前記プレートは、高純度のシリコンまたはカーボンからなり、前記処理ガス供給手段から供給される処理ガスを前記真空処理室に導入するための多数の孔をし、
前記プレートは、前記プラズマに面する表面での反応が前記第二の電源から印加される高周波のバイアスにより制御されると共に、該プレートの表面温度が前記温度制御手段により所定の値に維持されるように構成されていることを特徴とするプラズマ処理装置用のプレート。
A vacuum processing chamber connected to the ground potential, and a disk-shaped conductor provided at the upper portion of the vacuum processing chamber to which power is applied from the first high frequency power source for plasma generation and the second high frequency power source for bias A plasma generating apparatus, a processing gas supply means for supplying a processing gas to the vacuum processing chamber, an electrode having a sample mounting surface for holding a sample to be processed in the vacuum processing chamber, and the electrode in the vacuum processing chamber A plate which is disposed at a position facing the sample mounting surface and facing the plasma and is disposed in contact with the disk-shaped conductor on the side not facing the plasma; and a temperature of the plate via the disk-shaped conductor Temperature control means for controlling the bias to a predetermined value, the second high-frequency power supply for bias is applied to the plate via the disk-shaped conductor, and oxide film etching is performed using a CF-based gas. flops do A plate which need use in Zuma processor,
The plate is made of high-purity silicon or carbon, it has a number of holes for introducing a processing gas supplied to the vacuum processing chamber from the processing gas supply means,
The plate is maintained at a predetermined value by Rutotomoni reaction on the surface facing the plasma is controlled by the high frequency bias applied from the second power source, the surface temperature of the plate is the temperature control means It is comprised in this way, The plate for plasma processing apparatuses characterized by the above-mentioned.
JP2002099058A 2002-04-01 2002-04-01 Plate for plasma processing equipment Expired - Fee Related JP4073235B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002099058A JP4073235B2 (en) 2002-04-01 2002-04-01 Plate for plasma processing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002099058A JP4073235B2 (en) 2002-04-01 2002-04-01 Plate for plasma processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP14767298A Division JP3583289B2 (en) 1998-05-28 1998-05-28 Plasma processing apparatus and plasma processing method

Publications (3)

Publication Number Publication Date
JP2002319577A JP2002319577A (en) 2002-10-31
JP2002319577A5 JP2002319577A5 (en) 2006-04-27
JP4073235B2 true JP4073235B2 (en) 2008-04-09

Family

ID=19193644

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002099058A Expired - Fee Related JP4073235B2 (en) 2002-04-01 2002-04-01 Plate for plasma processing equipment

Country Status (1)

Country Link
JP (1) JP4073235B2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5686971B2 (en) * 2009-02-05 2015-03-18 東京エレクトロン株式会社 Focus ring heating method, plasma etching apparatus and plasma etching method
US8486221B2 (en) 2009-02-05 2013-07-16 Tokyo Electron Limited Focus ring heating method, plasma etching apparatus, and plasma etching method
KR101082134B1 (en) 2010-03-16 2011-11-09 삼성모바일디스플레이주식회사 Method for manufacturing a touch screen panel using the dry etching apparatus
JP6226117B2 (en) * 2013-07-25 2017-11-08 パナソニックIpマネジメント株式会社 Plasma processing apparatus and plasma processing method
WO2015170676A1 (en) * 2014-05-07 2015-11-12 東京エレクトロン株式会社 Plasma etching method
JP2018107433A (en) * 2016-12-27 2018-07-05 東京エレクトロン株式会社 Focus ring and substrate processing apparatus
CN111383889B (en) * 2018-12-27 2023-03-24 中微半导体设备(上海)股份有限公司 Plasma processing cavity containing thermal phase change material
KR20210004845A (en) 2019-07-05 2021-01-13 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus

Also Published As

Publication number Publication date
JP2002319577A (en) 2002-10-31

Similar Documents

Publication Publication Date Title
US6171438B1 (en) Plasma processing apparatus and plasma processing method
JP3411539B2 (en) Plasma processing apparatus and plasma processing method
JP3583289B2 (en) Plasma processing apparatus and plasma processing method
JP3764594B2 (en) Plasma processing method
US20120037182A1 (en) Particle removal apparatus and method and plasma processing apparatus
JP5976377B2 (en) Method for controlling adhesion of fine particles to substrate to be processed and processing apparatus
JPH11195645A (en) Dry etching device
US20050236109A1 (en) Plasma etching apparatus and plasma etching method
JP3712898B2 (en) Plasma etching equipment
JP4073235B2 (en) Plate for plasma processing equipment
JP2007324154A (en) Plasma treating apparatus
JP3993493B2 (en) Plasma etching equipment
JP2001057363A (en) Plasma processing device and method
JP2000150487A (en) Plasma treatment method
JP3606198B2 (en) Plasma processing equipment
JPH06124998A (en) Plasma process equipment
JP6298293B2 (en) Substrate processing apparatus, shutter mechanism, and plasma processing apparatus
JP2001230234A (en) Apparatus and method for plasma treatment
JP3328625B2 (en) Plasma processing method and plasma processing apparatus
JP2956640B2 (en) Plasma processing equipment
JP3077144B2 (en) Sample holding device
JPH06112138A (en) Microwave-plasma processing apparatus
JPH08241887A (en) Plasma processor and plasma processing method

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050527

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060206

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070903

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110201

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110201

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120201

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130201

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130201

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees