JP3979958B2 - 集積回路のテスト容易化設計方法および装置 - Google Patents

集積回路のテスト容易化設計方法および装置 Download PDF

Info

Publication number
JP3979958B2
JP3979958B2 JP2003094705A JP2003094705A JP3979958B2 JP 3979958 B2 JP3979958 B2 JP 3979958B2 JP 2003094705 A JP2003094705 A JP 2003094705A JP 2003094705 A JP2003094705 A JP 2003094705A JP 3979958 B2 JP3979958 B2 JP 3979958B2
Authority
JP
Japan
Prior art keywords
output
input
data
path
external
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003094705A
Other languages
English (en)
Other versions
JP2004302828A (ja
Inventor
博 伊達
利典 細川
政英 宮崎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
System JD Co Ltd
Original Assignee
System JD Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by System JD Co Ltd filed Critical System JD Co Ltd
Priority to JP2003094705A priority Critical patent/JP3979958B2/ja
Publication of JP2004302828A publication Critical patent/JP2004302828A/ja
Application granted granted Critical
Publication of JP3979958B2 publication Critical patent/JP3979958B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、RTL回路の段階において、RTL回路に含まれるデータパスをテスト容易化することによる大規模集積回路(LSI)のテスト容易化設計に関する。
【0002】
【従来の技術】
近年、LSIに搭載される回路規模の増大により、LSIのテストがますます重要になり、LSIのテスト設計の自動化は必要不可欠である。LSIのテスト設計の自動化のためには、高い故障検出効率の達成が必要であり、そのためにテスト容易化設計(DFT)が必要である。
【0003】
LSIの設計段階において、ゲートレベルへ変換される前のRTL回路は、データを処理するデータパスとデータパスの動作を制御するコントローラという2つの部分回路で構成されている。データパスはレジスタ、マルチプレクサ、演算器などの回路要素で構成されている。このRTL回路の段階で、データパスを構成する各回路要素を対象として完全故障検出効率を実現するテスト容易化を可能にすることが望まれている。その理由は、論理合成後のゲートレベル回路の段階でテスト容易化を行なう完全スキャン設計とは違って、ゲートレベル回路への変換前のテスト容易化であるからタイミング等の論理合成の制約が損なわれず、また、通常動作時のクロックと同じ速度のクロックを与えるテスト(at−speedテスト)が可能になるからである。
【0004】
下記非特許文献1および特許文献1には、データパスを構成する回路要素に適宜スルー機能とホールド機能を付加することによって、外部入力から各回路要素の入力へ任意の値を伝搬すること(強可制御性)と各回路要素の任意の出力値を外部出力へ伝搬すること(強可観測性)を保証することが記載されている。このスルー機能とホールド機能を追加してテスト容易化されたデータパスについて、任意の値を外部入力から各回路要素へ伝搬し(正当化)、テスト結果を外部出力へ伝搬するための制御系列であるテストプランが生成される。テストプランに具体的な制御値を代入することによって、テストのための制御信号の系列(テスト系列)が生成され、データパスに与えられる。
【0005】
【特許文献1】
特開2001−135791号公報
【非特許文献1】
和田ほか、“完全故障検出効率を保証するデータパスの非スキャンテスト容易化設計法”、信学論、J82−D−1、pp.843−85
1、1999年7月
【0006】
【発明が解決しようとする課題】
非特許文献1および特許文献1に記載された手法では、データ信号線のビット幅がすべて等しいという前提があるのに対して、実際の回路ではこの前提が常に満たされるとは限らない。
【0007】
したがって本発明の目的は、データ信号線のビット幅が均一でない場合でも適用可能な、データパスのテスト容易化設計を提案することにある。
【0008】
本発明の付随的な目的は、データ信号線のビット幅が均一でないために追加されるテストピンの追加個所をレジスタ段数およびスルー機能実施のための面積オーバーヘッドを考慮して決定することのできる、データパスのテスト容易化設計を提案することにある。
【0009】
【課題を解決するための手段】
前述の目的は、RTL回路の段階においてデータパスをテスト容易化することによる集積回路のテスト容易化設計方法であって、(a)データパスに含まれるテスト対象の回路要素のそれぞれについて、回路要素の入力からデータの経路をデータの流れ方向とは逆に外部入力まで追跡することによって、回路要素の入力のビットのうち、経路上の回路要素にスルーを実現しても外部入力からデータを伝搬することができないものを特定し、(b)データパスに含まれるテスト対象の回路要素のそれぞれについて、回路要素の出力からデータの経路をデータの流れに沿って外部出力まで追跡することによって、回路要素の出力のビットのうち、経路上の回路要素にスルーを実現しても外部出力へデータを伝搬することができないものを特定し、(c)外部出力から到達できない回路要素の入力のビット及び外部出力へ伝搬できない回路要素の出力のビットが特定されたデータパスに対してテスト容易化の処理を行ない、(d)該テスト容易化済みのデータパスに対するテストプランを生成するステップを具備する方法によって達成される。
【0010】
前述の付随的な目的は、(e)データパスに含まれる回路要素をスルーの実現方法に応じて複数のタイプに分類し、(f)ステップ(a)の前に、すべての外部入力からデータの経路をデータの流れ方向に沿って追跡し、追跡の間にレジスタ数および分類されたタイプに応じて定まるスルー実現のための面積オーバーヘッドを加算して、複数の入力のいずれか1つから出力にスルーさせる第1のタイプに分類された回路要素の各入力までのレジスタ数および面積オーバーヘッドを決定し、(g)ステップ(b)の前に、すべての外部出力からデータの経路をデータの流れ方向とは逆に追跡し、追跡の間にレジスタ数および分類されたタイプに応じて定まるスルー実現のための面積オーバーヘッドを加算して、ファンアウト要素の各出力までのレジスタ数および面積オーバーヘッドを決定するステップをさらに具備し、ステップ(a)において、前記第1のタイプの回路要素に到達したとき、ステップ(f)で決定された、レジスタ数および面積オーバーヘッドの値に基づいて選択された入力への追跡が続行され、ステップ(b)において、前記ファンアウト要素に到達したとき、ステップ(g)で決定された、レジスタ数および面積オーバーヘッドの値に基いて選択された出力への追跡が続行される方法により達成される。
【0011】
この方法において、ステップ(f)における追跡により、第1の未到達経路が特定され、ステップ(g)における追跡により、第2の未到達経路が特定され、ステップ(a)における追跡は第1の未到達経路を除外して行なわれ、ステップ(b)における追跡は第2の未到達経路を除外して行なわれることが好ましい。
【0012】
【発明の実施の形態】
以下、添付図面を参照して本発明の一実施形態に係るテスト容易化設計方法及び装置について説明する。最初に、図1を用いて、本発明の一実施形態に係るテスト容易化設計法の処理フローを説明する。
【0013】
最初に、テスト生成モデルリスト作成処理(ステップ1000)では、データパス回路中のすべての組合せ回路モジュールに対して、各入力を制御するための経路を生成し、その組合せ回路モジュールの入力の内、どのビットが外部入力から制御可能かを調べる。また、組合せ回路モジュールの出力に対しても観測経路を生成し、どのビットが外部出力に伝搬できるのかを調べる。そして、各組合せ回路モジュールの入出力に対して、信号の伝播可能性を示す情報を付加したテスト生成モデルを生成する。次に、データパス中の各回路モジュールに対して、外部入出力まで伝搬可能な信号線に対しては、任意のテストパターンの印加ができ、その出力は、必ず外部出力で観測できるようにテスト容易化設計を施す。これを準強可検査性DFTと呼ぶ(ステップ1002)。最後に、テストプラン生成処理(ステップ1004)では、テスト容易化設計を施した回路に対して、テストプランを生成する。
【0014】
なお、ステップ1000の処理が終了した段階で、仮想入力ピンおよび仮想出力ピンとして、どの個所にテストピンを追加すれば良いかがわかっているので、外部入出力端子を必要な数だけ追加しそれらとテストピンを接続することにより、強可検査性DFTとすることができる。
【0015】
以下、図1の各部分処理に関して、図2のRSA暗号化回路の例を用いて説明する。
【0016】
テスト生成モデルリスト作成処理では、RTレベルの回路記述を入力し、図3に示すように、以下の処理を行う。データパス回路中の組合せ回路モジュールを一つ選択し、その制御経路を生成し(ステップ1106)、その組合せ回路モジュールの入力の内、どのビットが外部入力から制御可能かを調べる。また、その出力に関しても、観測経路を生成し(ステップ1102)、どのビットが外部出力に伝播できるのかを調べる。そして、各組合せ回路モジュールの入出力に対して、信号の伝播可能性を示す情報を付加したテスト生成モデルを生成する(ステップ1104)。以上の処理をすべての組合せ回路モジュールに対して行う。
【0017】
図4は、制御経路生成(図3ステップ1100)の処理フローを示す。第1ステップとして、与えられたRTL回路をグラフ表現する(ステップ1200)。図5は、図2の回路に対してグラフ表現した例である。グラフのノードは、RTL回路中のモジュール、レジスタ、セパレータ、ファンアウト、バインダ、外部入力、外部出力、即値、ステータス信号、制御信号に対応している。図中、Mはモジュール、Rはレジスタ、Sはセパレータ、Fはファンアウト、Bはバインダ、PIは外部入力、POは外部出力、Cは即値、SSはステータス信号である。図中、制御信号線は省略されている。グラフの各辺には、信号線のビット幅の情報が付加されているが、図を見易くするため、図中にはその一部が示されている。
【0018】
図4における第2ステップとして、モジュールのタイプ分けを行う(ステップ1202)。ここでは、各モジュールに対して、スルーの実現方法のタイプとして、以下のいずれかのタイプに分類する。タイプ1(T1)は、2入力のモジュールで、両方の入力を用いて、出力にスルーするものである。タイプ2(T2)は、入力のいずれか一つの入力を用い出力にスルーするものである。タイプ3(T3)は、入力中の特定の入力を用いて出力にスルーするものである。タイプ4(T4)は、ステータス信号を出力としており、スルーができないものである。タイプ5(T5)は、上記、T1からT4までのいずれにも当てはまらないモジュールである。図6にモジュールのタイプ分けを行った結果を示す。スルー実現にあたって、例えばタイプ2の加算器であれば一方の入力にテスト時に定数0を与える回路を付加すれば、テスト時に他方の入力から出力へのスルーが実現される。
【0019】
図4における未到達信号線の検出処理(ステップ1204)では、すべての外部入力PIからグラフの辺をデータの流れ(図中の矢印)の方向に幅優先探索でトレースし、到達できない辺を認識する。図7に上記の処理の結果得られた未到達信号線を示す。ボールドの線が未到達信号線を表わしている。グラフの辺をトレースする際、タイプ2のモジュール(T2)には、その入力に対して、(1)PIからその入力に至る経路内のレジスタ数、及び、(2)経路内のモジュールにスルー機能を実現する際に必要な面積オーバーヘッドを保持しておく。面積オーバーヘッドは、経路が通るモジュールのタイプで決まる定数を加算することで計算される。さらに、各タイプ2のモジュール(T2)における入力信号のうち、何ビットが入力信号から制御可能であるかを記録しておく。図8は、タイプ2のモジュールに対して、上記、レジスタ数とスルー機能を付加する際の面積オーバーヘッドを示したものである。
【0020】
図4における制御経路探索処理(ステップ1206)では、図8に示すように、未到達信号を処理の対象からはずし、以下の処理を行う。
【0021】
Step1:モジュールを選択
Step2:モジュールの入力を一つ選択
Step3:モジュールの入力のビット数を保持し、PI方向へPIに到達するまで経路探索を続ける。
【0022】
Step4:その途中で、モジュール(M)またはファンアウト(F)、セパレータ(S)、バインダ(B)に遭遇した場合は、後述の判定処理を行う。
【0023】
Step5:同一のFに2回目の印をつけようとしたら後述のループ解消処理を行い継続する。
【0024】
Step6:PIに到達した場合は、経路情報を保持し、Step7へ。
【0025】
そうでなければ、Step3へ。
【0026】
Step7:選択するモジュールの入力がなければ、探索を終了し、Step8へ。
【0027】
そうでなければ、Step2へ。
【0028】
Step8:選択モジュールがなければ終了。
【0029】
そうでなければ、Step1へ。
【0030】
以下に、Step4における判定処理について説明する。判定処理では、経路探索中に遭遇するノードの種類によって、以下のように処理を行う。ファンアウト(F)の場合、分岐を通過した印を付加し、入力方向へ継続する。セパレータ(S)の場合、どのビットが継続するビットであるかを認識して探索を継続する。バインダ(B)の場合、どのビットが途切れたかを認識し継続する。仮想ピンを生成する場合には、この場所に生成する。タイプ2のモジュール(M)の場合、経路の選択ができるので経路分岐の決定を行なう。タイプ2以外のモジュールの場合、探索を継続する。ここで、経路分岐の決定では、先に記憶しておいたレジスタ段数及び面積オーバーヘッドを用いて、F=a(レジスタ段数)+(1−a)(面積オーバーヘッド)から計算される値が小さい方の入力が選択される。
【0031】
以下に、Step5におけるループ解消処理について説明する。モジュールの入力にパターンを設定する際のループ解消処理の目的は、タイミング衝突を避けるためホールドレジスタを挿入することである。この場合、すでに、ホールド機能を有するレジスタが回路中に使われている場合は、それを有効活用するようにする。
【0032】
図9に、観測経路生成(図3ステップ1102)の処理フローを示す。第1ステップのグラフ生成(ステップ1300)、第2ステップのモジュールのタイプ分け(ステップ1302)は、図4の制御経路生成処理の場合と同じ処理である。
【0033】
図9における未到達信号の検出処理(ステップ1304)では、すべての外部出力POからグラフの辺を矢印とは逆の方向に幅優先探索でトレースし、到達できない辺を認識する。図10に上記の処理の結果得られた未到達信号線を示す。ボールドの線が未到達信号線を表わしている。グラフの辺をトレースする際、図11に示すように、ファンアウト(F)には、その出力に対して、(1)POからその出力に至る経路内のレジスタ数、及び、(2)経路内のモジュールにスルー機能を実現する際に必要な面積オーバーヘッドを保持しておく。さらに、各ファンアウト(F)における入力信号のうち、何ビットが出力信号で観測可能であるかを記録しておく。
【0034】
図9における観測経路探索処理(ステップ1306)では、図11に示すように未到達信号を処理の対象からはずし、以下の処理を行う。
【0035】
Step1:モジュールを選択
Step2:モジュールの出力のビット数を保持し、PO方向へPOに到達するまで経路探索。
【0036】
Step3:その途中で、モジュール(M)、またはファンアウト(F)、セパレータ(S)、バインダ(B)に遭遇した場合は、後述の判定処理を行う。
【0037】
Step4:同一のMに2回目の印をつけようよしたら、前述のループ解消処理を行い継続する。
【0038】
Step5:POに到達した場合は、経路情報を保持し、Step6へ。
【0039】
そうでなければ、Step3へ。
【0040】
Step6:選択するモジュールがなければ終了。
【0041】
そうでなければ、Step1へ。
【0042】
以下に、Step3における判定処理について説明する。判定処理では、経路探索中に遭遇するノードの種類によって、以下のように処理を行う。ファンアウト(F)の場合、経路分岐の決定を行なう。セパレータ(S)の場合、ビットの対応関係を認識し継続。バインダ(B)の場合、ビットの対応関係を認識し継続。タイプ2のモジュール(M)の場合、通過したことを記録し、探索を継続。タイプ4のモジュールの場合、仮想出口ピンを生成する場合にはこの場所に仮想出力ピンを生成する。タイプ2でもタイプ4でもないモジュールの場合、探索を継続。ここで、経路分岐の決定では、先に記憶しておいたレジスタ段数および面積オーバーヘッドを用いて、F=a(レジスタ段数)+(1−a)(面積オーバーヘッド)から計算される値が小さい方が選択される。
【0043】
図3における、テスト生成モデルリスト作成処理(ステップ1104)では、上記で求めた各モジュールにおける入力と出力に対して、外部入力から制御可能なビット、及び、外部出力で観測可能なビットを決定し、すべてのモジュールに対するリストを作成する。
【0044】
図1における、準強可検査性DFT(ステップ1002)、及びテストプラン生成(ステップ1004)に関しては、前述の非特許文献1に記載された手法に準じて生成することができる。さらに、前述の特許文献1に記載された手法により、このテストプランを生成するテストコントローラをRTL回路に追加することにより、集積回路のテストが可能となる。
【0045】
以上説明したテスト容易化設計処理は、コンピュータに上記の処理を実行させるソフトウェアにより実現することができる。
【0046】
【発明の効果】
本方式を用いると、イレギュラーデータパス回路における組合せモジュールの入力に対して、どのビットが外部入力から制御でき、その出力のどのビットを外部出力で、観測ができるのかをあらかじめ考慮して、制御経路や観測経路を決定するため、レジスタ段数やスルー機能を実現するための面積オーバーヘッドを軽減でき、効果的にDFTが可能となる。
【図面の簡単な説明】
【図1】本発明の一実施形態に係るテスト容易化設計方法のフローチャートである。
【図2】データパスの幅が不均一なRTL回路の一例としてのRSA暗号化回路を示す図である。
【図3】図1のステップ1000、テスト生成モデルリスト作成処理のフローチャートである。
【図4】図3のステップ1160、制御経路生成処理のフローチャートである。
【図5】図2の回路をグラフ表現した図である。
【図6】モジュールのタイプ分けの結果を示す図である。
【図7】未到達信号線の検出結果を示す図である。
【図8】タイプ2のモジュールの入力に対するレジスタ数と面積オーバーヘッドを示す図である。
【図9】図3ステップ1102、観測経路生成処理のフローチャートである。
【図10】観測経路についての未到達信号線の検出結果を示す図である。
【図11】ファンアウト要素の出力に対するレジスタ数と面積オーバーヘッドを示す図である。

Claims (5)

  1. RTL回路の段階においてデータパスをテスト容易化することによる、コンピュータにより実現される集積回路のテスト容易化設計方法であって、
    (a)コンピュータの記憶装置にそのデータが格納された、データパスに含まれるテスト対象の回路要素のそれぞれについて、回路要素の入力からデータの経路をデータの流れ方向とは逆に外部入力まで追跡することによって、回路要素の入力のビットのうち、経路上の回路要素にスルーを実現しても外部入力からデータを伝搬することができないものと伝搬可能なものとを特定してその結果のデータを記憶装置に格納し、
    (b)前記データパスに含まれるテスト対象の回路要素のそれぞれについて、回路要素の出力からデータの経路をデータの流れに沿って外部出力まで追跡することによって、回路要素の出力のビットのうち、経路上の回路要素にスルーを実現しても外部出力へデータを伝搬することができないものと伝搬可能なものとを特定してその結果のデータを記憶装置に格納し、
    (c)記憶装置にそのデータが格納された、外部力から伝搬できない回路要素の入力のビット、外部入力から伝搬可能な回路要素の入力のビット、外部出力へ伝搬できない回路要素の出力のビット及び外部出力へ伝搬可能な回路要素の出力のビットが特定されたデータパスに対して、外部力から伝搬可能な回路要素の入力のビット及び外部出力へ伝搬可能な回路要素の出力のビットについてのみ、テスト容易化設計を施してその結果のデータを記憶装置に格納し、
    (d)記憶装置にそのデータが格納された、該テスト容易化済みのデータパスに対するテストプランを生成するステップを具備し、
    (e)データパスに含まれる回路要素をスルーの実現方法に応じて複数のタイプに分類し、
    (f)ステップ(a)の前に、すべての外部入力からデータの経路をデータの流れ方向に沿って追跡し、追跡の間にレジスタ数および分類されたタイプに応じて定まるスルー実現のための面積オーバーヘッドを加算して、複数の入力のいずれか1つから出力にスルーさせる第1のタイプに分類された回路要素の各入力までのレジスタ数および面積オーバーヘッドを決定し、
    (g)ステップ(b)の前に、すべての外部出力からデータの経路をデータの流れ方向とは逆に追跡し、追跡の間にレジスタ数および分類されたタイプに応じて定まるスルー実現のための面積オーバーヘッドを加算して、ファンアウト要素の各出力までのレジスタ数および面積オーバーヘッドを決定するステップをさらに具備し、
    ステップ(a)において、前記第1のタイプの回路要素に到達したとき、ステップ(f)で決定された、レジスタ数および面積オーバーヘッドの値に基づいて選択された入力への追跡が続行され、
    ステップ(b)において、前記ファンアウト要素に到達したとき、ステップ(g)で決定された、レジスタ数および面積オーバーヘッドの値に基いて選択された出力への追跡が続行される方法。
  2. ステップ(f)における追跡により、第1の未到達経路が特定され、
    ステップ(g)における追跡により、第2の未到達経路が特定され、
    ステップ(a)における追跡は第1の未到達経路を除外して行なわれ、
    ステップ(b)における追跡は第2の未到達経路を除外して行なわれる請求項1記載の
    方法。
  3. RTL回路の段階においてデータパスをテスト容易化することによる集積回路のテスト容易化設計装置であって、
    データパスに含まれるテスト対象の回路要素のそれぞれについて、回路要素の入力からデータの経路をデータの流れ方向とは逆に外部入力まで追跡することによって、回路要素の入力のビットのうち、経路上の回路要素にスルーを実現しても外部入力からデータを伝搬することができないものと伝搬可能なものとを特定する第1の特定手段と、
    データパスに含まれるテスト対象の回路要素のそれぞれについて、回路要素の出力からデータの経路をデータの流れに沿って外部出力まで追跡することによって、回路要素の出力のビットのうち、経路上の回路要素にスルーを実現しても外部出力へデータを伝搬することができないものと伝搬可能なものとを特定する第2の特定手段と、
    外部力から伝搬できない回路要素の入力のビット、外部入力から伝搬可能な回路要素の入力のビット、外部出力へ伝搬できない回路要素の出力のビット及び外部出力へ伝搬可能な回路要素の出力のビットが特定されたデータパスに対して、外部力から伝搬可能な回路要素の入力のビット及び外部出力へ伝搬可能な回路要素の出力のビットについてのみ、テスト容易化設計を施す手段と、
    該テスト容易化済みのデータパスに対するテストプランを生成する手段とを具備し、
    データパスに含まれる回路要素をスルーの実現方法に応じて複数のタイプに分類する手段と、
    すべての外部入力からデータの経路をデータの流れ方向に沿って追跡し、追跡の間にレジスタ数および分類されたタイプに応じて定まるスルー実現のための面積オーバーヘッドを加算して、複数の入力のいずれか1つから出力にスルーさせる第1のタイプに分類された回路要素の各入力までのレジスタ数および面積オーバーヘッドを決定する第1の決定手段と、
    すべての外部出力からデータの経路をデータの流れ方向とは逆に追跡し、追跡の間にレジスタ数および分類されたタイプに応じて定まるスルー実現のための面積オーバーヘッドを加算して、ファンアウト要素の各出力までのレジスタ数および面積オーバーヘッドを決定する第2の決定手段をさらに具備し、
    第1の特定手段は、前記第1のタイプの回路要素に到達したとき、第1の決定手段が決定したレジスタ数および面積オーバーヘッドの値に基づいて選択された入力への追跡を続行し、
    第2の特定手段は、前記ファンアウト要素に到達したとき、第2の決定手段が決定したレジスタ数および面積オーバーヘッドの値に基いて選択された出力への追跡を続行する装置。
  4. 第1の決定手段による追跡により、第1の未到達経路が特定され、
    第2の決定手段による追跡により、第2の未到達経路が特定され、
    第1の特定手段の追跡は第1の未到達経路を除外して行なわれ、
    第2の特定手段の追跡は第2の未到達経路を除外して行なわれる請求項3記載の装置。
  5. 請求項1〜2のいずれか1項記載の方法をコンピュータに実現させるプログラム。
JP2003094705A 2003-03-31 2003-03-31 集積回路のテスト容易化設計方法および装置 Expired - Fee Related JP3979958B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003094705A JP3979958B2 (ja) 2003-03-31 2003-03-31 集積回路のテスト容易化設計方法および装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003094705A JP3979958B2 (ja) 2003-03-31 2003-03-31 集積回路のテスト容易化設計方法および装置

Publications (2)

Publication Number Publication Date
JP2004302828A JP2004302828A (ja) 2004-10-28
JP3979958B2 true JP3979958B2 (ja) 2007-09-19

Family

ID=33407209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003094705A Expired - Fee Related JP3979958B2 (ja) 2003-03-31 2003-03-31 集積回路のテスト容易化設計方法および装置

Country Status (1)

Country Link
JP (1) JP3979958B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007110939A1 (ja) * 2006-03-29 2007-10-04 System Jd Co., Ltd. テスト容易化設計装置及びテスト容易化設計方法

Also Published As

Publication number Publication date
JP2004302828A (ja) 2004-10-28

Similar Documents

Publication Publication Date Title
Abramovici et al. BIST-based delay-fault testing in FPGAs
US7131081B2 (en) Scalable scan-path test point insertion technique
Cheng et al. Classification and identification of nonrobust untestable path delay faults
US6141790A (en) Instructions signature and primary input and primary output extraction within an IEEE 1149.1 compliance checker
US20140310666A1 (en) Methods for implementing variable speed scan testing
US20120047413A1 (en) Methods for implementing variable speed scan testing
Kim et al. Multiple faults: Modeling, simulation and test
US8176462B2 (en) Method and apparatus for generating test patterns for use in at-speed testing
US20200410065A1 (en) Deterministic test pattern generation for designs with timing exceptions
Reinsalu et al. Fast RTL fault simulation using decision diagrams and bitwise set operations
US20020188904A1 (en) Efficiency of fault simulation by logic backtracking
Westerman et al. Discrete event system approach for delay fault analysis in digital circuits
JP3979958B2 (ja) 集積回路のテスト容易化設計方法および装置
US11409931B1 (en) Systems and methods for optimizing scan pipelining in hierarchical test design
Siebert et al. Delay fault coverage increasing in digital circuits
Yang et al. Ace-pro: Reduction of functional errors with ace propagation graph
US7299430B1 (en) Reducing design execution run time bit stream size for device testing
Chiusano et al. RT-level TPG exploiting high-level synthesis information
Yoshikawa et al. False path identification using RTL information and its application to over-testing reduction for delay faults
Pei et al. Flip-flop selection for partial enhanced scan to reduce transition test data volume
Jervan et al. Test generation: a hierarchical approach
JP2004317505A (ja) プログラム、記憶媒体、テストパターン作成方法及び装置
Chang et al. Rtl analysis and modifications for improving at-speed test
Hussin et al. Automatic generation of test instructions for path delay faults based-on stuck-at fault in processor cores using assignment decision diagram
JP4575981B2 (ja) テスト容易化設計装置及びテスト容易化設計方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061117

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070109

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20070208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070208

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070307

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070417

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070425

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070529

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070626

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

S303 Written request for registration of pledge or change of pledge

Free format text: JAPANESE INTERMEDIATE CODE: R316303

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S303 Written request for registration of pledge or change of pledge

Free format text: JAPANESE INTERMEDIATE CODE: R316303

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

S803 Written request for registration of cancellation of provisional registration

Free format text: JAPANESE INTERMEDIATE CODE: R316803

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

R370 Written measure of declining of transfer procedure

Free format text: JAPANESE INTERMEDIATE CODE: R370

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

S803 Written request for registration of cancellation of provisional registration

Free format text: JAPANESE INTERMEDIATE CODE: R316803

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110706

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees