JP3872304B2 - Semiconductor manufacturing apparatus and semiconductor manufacturing method - Google Patents

Semiconductor manufacturing apparatus and semiconductor manufacturing method Download PDF

Info

Publication number
JP3872304B2
JP3872304B2 JP2001063607A JP2001063607A JP3872304B2 JP 3872304 B2 JP3872304 B2 JP 3872304B2 JP 2001063607 A JP2001063607 A JP 2001063607A JP 2001063607 A JP2001063607 A JP 2001063607A JP 3872304 B2 JP3872304 B2 JP 3872304B2
Authority
JP
Japan
Prior art keywords
wafer
temperature
stage
processing
semiconductor manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001063607A
Other languages
Japanese (ja)
Other versions
JP2002270579A (en
Inventor
浩之 橘内
潤一 田中
誠一郎 菅野
秀之 山本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2001063607A priority Critical patent/JP3872304B2/en
Publication of JP2002270579A publication Critical patent/JP2002270579A/en
Application granted granted Critical
Publication of JP3872304B2 publication Critical patent/JP3872304B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、半導体の製造技術に属する。特に、半導体製造装置内においてウエハ処理を行う際に、処理結果の再現性を実現するための処理方法に関する。
【0002】
【従来の技術】
近年の半導体素子の高集積化にともない回路パターンは微細化の一途をたどっており、要求される加工寸法精度はますます厳しくなってきている。このような状況では、処理状態の再現性が重要になってくる。例えば、高いアスペクト比が要求されるエッチングプロセスにおいては異方性エッチングを実現するために側壁を有機ポリマで保護しながらエッチングを行うプロセスが実現されているが、保護膜となる有機ポリマの生成は、処理室内に残留しているエッチング生成物の量やウエハの温度により変化する。したがって、処理室内の残量反応生成物の量が処理毎にばらついたり、ウエハの温度制御が不十分であると側壁保護膜がウエハ間でばらつき、その結果エッチング形状の再現性が悪化するという問題を引き起こす。近年の半導体製造プロセスでは、10nm程度の加工寸法のばらつきであってもデバイスの不良を引き起こす場合がある。
【0003】
このようなプラズマ処理結果のばらつきに対処する方法としては、例えば特開平8−165585号公報に開示されている。
【0004】
【発明が解決しようとする課題】
前記開示例では、プラズマを生成するための高周波電極の自己バイアス電圧を測定するモニタを設けて、自己バイアスモニタ値が一定になるように高周波電力を調整することが特徴となっている。この開示例によれば、プラズマ中で発生するイオンやラジカルなど、エッチングに関与する粒子の発生が再現性良く行なわれるとは期待できるが、ウエハ温度がばらついていると、ウエハ上でのエッチング反応の速度が異なるために、エッチング結果にばらつきがでることになる。また、処理室壁面に反応性の高い残留堆積物が残っていた場合、プラズマ中のイオンやラジカルの種類や量がばらつき、エッチング結果に影響を与えることが懸念される。
【0005】
本発明の目的は、プラズマ処理特性の変動を監視することができるとともに、変動に対応して処理特性の制御を行って、生産歩留まりや生産効率を向上させることを可能とする半導体製造装置および製造方法を提供することにある。
【0006】
【課題を解決するための手段】
上記目的は、ウエハの温度もしくはウエハステージの温度を計測すると手段と、ウエハの温度もしくはウエハステージの温度を任意に保つ温度調節機能と、過去のロット単位における処理結果の情報を記憶する機能と、ウエハもしくはステージ温度と処理特性を相関付ける関係式を記憶する機能を持って、前記関係式により過去のロット単位おける処理結果のばらつきを最小になるようウエハの温度、もしくはステージ温度を求め、その値にしたがって一枚処理毎にウエハ処理時におけるウエハの温度、もしくはステージ温度を制御することにより達成される。
【0007】
また上記目的は、ウエハの温度もしくはウエハステージの温度を計測すると手段と、ウエハの温度もしくはウエハステージの温度を任意に保つ温度調節機能と、過去のロット単位におけるモニタ結果の情報を記憶する機能とモニタ結果とウエハもしくはステージ温度とを相関付ける関係式を記憶する機能を持って、前記関係式により過去のロット単位おけるモニタ結果のばらつきを最小になるようウエハの温度、もしくはステージ温度を求め、その値にしたがって、一枚処理毎にウエハ処理時におけるウエハの温度もしくはステージ温度を制御することにより達成される。
【0008】
また上記目的は、ウエハの温度もしくはウエハステージの温度を計測すると手段と、ウエハの温度、もしくはウエハステージの温度を任意に保つ温度調節機能と、処理の状態をモニタする手段と、処理装置の制御装置には過去の処理が良好に行われた際のモニタ値を記憶する機能と、モニタ結果とウエハもしくはステージ温度とを相関付ける関係式を記憶する機能を持って、現在処理中のモニタ値と過去の良好に処理が行われたモニタ値とを比較して、前記関係式により両者のずれが最小になるようウエハの温度もしくはステージ温度求め、次ウエハの処理時にはその値にしたがってウエハの温度もしくはステージ温度を制御することによって達成される。
【0009】
また上記した制御を行った際に、実際に変化させた温度の値を記憶することによって、どの程度処理性能がずれたのかの履歴を残すことができる。またあらかじめ実際に変化させた温度の値にしきい値を設けておき、しきい値を超えた場合にその旨を知らせることをによって、装置のメンテナンス時期を知ることができ、生産効率を向上させることも可能なる。
【0010】
【発明の実施の形態】
以下、図面を参照にし、本発明の実施例について詳細に説明する。
まず、図1〜図3を用いて第一の実施例を説明する。図1は、第一の実施例にかかる半導体製造装置であり、ここではSiウエハ等の半導体基板上のパター形成を行うプラズマエッチング装置の概略構成図を示したものである。図2はエッチング処理を行ったデバイスの加工形状の処理枚数に伴う変化の例を示したものであり、図3は本実施例で行う制御のフローチャートを示したものである。
【0011】
図1において、1はエッチング処理を行うSiウエハ、8はエッチング処理室である。6はプラズマ放電を起こすための電極であり、エッチングガスを処理室8内に均一に導入するためのシャワー穴7が設けられている。4はエッチングガスの導入管、18は真空排気口であり真空ポンプ(ここには図示しない)に接続されている。2は電極6に高周波電力を印加する高周波電源、5はアース、9は電極6とチャンバを電気的に隔絶するための絶縁体である。
【0012】
10はウエハステージ、16はウエハ温度をセンシングするための温度計測手段、13〜15はステージ10の温度調節機構である。本実施例では冷却機構であり、14は冷媒の循環器、15は基板ステージまでの流路、13は基板ステージ10内に設けられた冷媒の流路である。ウエハステージ10は静電吸着によってウエハ1を吸着できるものであり、吸着した上でステージ内10を通して設けられたガス流路17から熱伝導性の高いガス、例えばヘリウム等のガスをステージ10とウエハ1との間に満たすことにより、ウエハ1の温度制御を効率よく行う。11は静電吸着のための直流電源、12はオンオフ操作を行うスイッチである。
【0013】
19は装置全体の制御装置であり、ガス流量、圧力、高周波電力などの制御コンピュータ等からなる。21は制御装置19内にある温度制御部であり、温度計測手段16によって計測された値に基づいてステージ温度の制御を行う。また、20は処理パラメータの演算部分であり、過去のロット単位における処理結果の情報と、ウエハもしくはステージ温度とエッチングレートやエッチング形状などの処理特性を相関付ける関係式を記憶する機能を備えたものである。
【0014】
このように構成されたプラズマエッチング装置において、まず加工を施すウエハ1をステージ上に設置し、エッチングガスを導入管4、シャワー穴7を通して処理室8内へ導入し、所定の圧力に保つ。その後、高周波電源2より上部電極6に高周波電力を印加してプラズマ3を発生させ、所定の時間エッチングを行うことにより、Siウエハ1上に形成させた薄膜の加工を行うことができる。ところで、このようなプラズマを応用した処理では、加工処理中の基板の温度性御が非常に重要になってくる。
【0015】
基板温度は、スパッタ、CVDのような成膜では成膜レートに、エッチングではエッチングレートや加工形状に影響を及ぼすためである。前にも述べたが、例えば高いアスペクト比が要求されるエッチングにおいては異方性エッチングを実現するために側壁を有機ポリマで保護しながらエッチングを行うプロセスが実現されているが、保護膜となる有機ポリマの生成は、処理室8の壁面に残留しているエッチング生成物の量により影響を受け、残留しているエッチング生成物が多いと保護膜が付着しすぎて加工形状、図2に示したd寸法が太くなる傾向がある。
【0016】
例えば処理室8の壁面に付着して堆積物となるエッチング生成物の量は、処理枚数の増加にともなって増加するために、同じ条件で処理を続けると、図2の22に示したようにロット内で加工形状が変化する場合がある。最近の最先端デバイスでは、加工寸法が0.1μmに到達しようとしており、この変化量が10nm程度でも満足な特性が得られないことがある。
【0017】
本実施例の特徴は、過去のロット単位における処理結果の情報、およびウエハもしくはステージ温度と処理特性を相関付ける関係式を制御装置19内のパラメータ演算部20内に持ち、ウエハ温度の制御を行うことにある。ある品種の製品を処理する場合を図3に示したフローチャートに従って説明する。
【0018】
まず過去に処理された同種の製品におけるロット単位のウエハ温度Tと形状データを呼び出す。例えばロット内での形状変化が図2の21のように変化する場合、得たい形状寸法dからのずれΔdnが各ウエハナンバーnごとに呼び出される。次に、形状寸法のずれΔdnを、ウエハ温度の変化(ΔT)と形状変動(Δd)を相関付ける関係式、Δd=g(ΔT)代入することによって、Δdnを補正するためのウエハ温度を計算(T+ΔT)する。この計算値に基づいて温度制御部21において冷却能力を変えてウエハ温度を制御することによって、図2の22に示したような形状寸法変動を解消することが可能となる。
【0019】
ここで、ウエハ温度Tで処理された場合の形状データは、実際の製品を処理したデータを記憶しても、製品と同様の材料、マスクパターン形状である試用ウエハを処理したデータを用いても良い。また、ステージ温度の変化(ΔT)と形状変動(Δd)相関付ける関係式は、あらかじめ製品と同様の材料、マスクパターン形状である試用ウエハを用いて求めておく必要がある。
【0020】
ここでウエハ温度制御は、ウエハステージ10の温度を制御した上で、ウエハステージ10とウエハ1間の熱伝導によって行なわれる。変化させようとする温度の幅が小さい場合には、ヘリウムガスの圧力を一定にしておき、ステージ温度を変化させることによって、ウエハ温度の制御を行うことができる。しかし、変化させようとする温度の幅が大きい場合には、上記の方法ではウエハ温度を所望の温度にするために時間がかかる。例えば、−5℃から5℃に変化させるのに10分程度必要となるために生産性を低下させることになる。このような場合には、あらかじめステージ温度は低めに設定しておき、ヘリウムガスの圧力を低くすることによって、ウエハ1の温度を上昇させる方向で制御することで高速にウエハ1の温度制御を行うことが可能となる。
【0021】
次に図4〜図6を用いて本発明第二の実施例について説明する。図4は、本実施例にかかるプラズマエッチング装置の概略構成図を示したものであり、装置構成は第一の本実施例と同じであるが、処理装置8には処理の状態をモニタする手段23を備えている。本実施例ではプラズマ発光を状態モニタとして測定している。図5は処理枚数に伴う状態モニタ値の変化、および処理形状の変化の例を示したものである。また図6は本実施例で行う制御のフローチャートを示したものである。
【0022】
制御装置19内のパラメータ演算部20内には、設計寸法と同じ形状寸法dが得られた場合の状態モニタ値、過去のロット単位における状態モニタ値の変動情報、ウエハもしくはステージ温度と状態モニタ値とを相関付ける関係式を持つ。ウエハもしくはステージ温度と状態モニタ値とを相関付ける関係式は、モニタ値の変動と形状変動を相関付ける関係式、形状変動とウエハもしくはステージ温度とを相関付ける関係式を持つことで得ることができる。これらの相関式は、過去に処理された同種の製品を処理した状態モニタ値、温度、形状データを使ってデータベース化するか、製品と同様の材料、マスクパターン形状である試用ウエハを処理したデータをデータベース化しておくことが望ましい。
【0023】
ある品種の製品を処理する場合を図6のフローチャートに従って説明する。まず設計寸法と同じ形状寸法dが得られた場合の状態モニタ値Pと過去に処理された同種の製品における一枚ごとのウエハ温度Tと状態モニタデータPn(1ロット25枚の場合、n=1〜25)をロット単位で呼び出す。
【0024】
例えばロット内での処理状態のモニタ値Pnが、図5の26のように変化する場合、設計寸法と同じ形状寸法dが得られた場合の状態モニタ値PからのずれΔPn(=Pn−P)がウエハごとに計算される。次に、形状寸法のずれの予測値Δdnが、得られた状態モニタ値のずれ(ΔP)と形状変動(Δd)相関付ける関係式、Δdn=f(ΔP)から求めることができる。さらに、ウエハ温度の変化(ΔT)と形状変動(Δd)相関付ける関係式、Δd=g(ΔT)代入することによって、ウエハ温度と状態モニタ値とを相関付ける関係式ΔT=g−1(f(ΔP))が得られ、モニタ値のΔPnを補正するためのウエハ温度を計算(ΔTn)する。この計算値に基づいて温度制御部21において冷却能力を変えてウエハ温度を制御することによって、図5の26に示したような状態モニタ値の変動を解消することが可能となり、その結果、図6の27に示したような形状変動を解消することができる。
【0025】
ここで処理状態モニタとしては、プラズマへの投入電力、電流、電圧、インピーダンス、自己バイアス電圧、プラズマ発光などが挙げられる。その一例として、発明者らがプラズマ発光のモニタ値と加工寸法の関係式を求めた結果を次に示す。プラズマ発光の主成分解析を行い、さらに主成分解析から得られた第一主成分から第三主成分のを説明変数として重回帰分析を行うことにより、ポリシリコンエッチングにおける微小な形状変動とプラズマ発光のモニタ値とを相関付ける関係式が得られている。HBrとCl2のプラズマを用いたエッチングにおいて、Δd=α1×PC1+α2×PC2+α3×PC3。ここで、PC1、PC2、PC3は、各々プラズマ発光の主成分解析から得られた第一主成分から第三主成分、α1=0.83、α2=0.1、α3=3.2であった。
【0026】
次に図7に示したフローチャートを用いて本発明第三の実施例について説明する。本実施例における装置構成、モニタ手段は、図4に示した第二の本実施例と同じであるが、制御装置19内のパラメータ演算部20内に、設計寸法と同じ形状寸法dが得られた場合の状態モニタ値、ウエハもしくはステージ温度と状態モニタ値とを相関付ける関係式を持ち、現在処理中のモニタ値と過去の良好に処理が行われたモニタ値とを比較して、前記関係式により両者のずれが最小になるようウエハの温度もしくはステージ温度求め、次ウエハの処理時にはその値にしたがってウエハの温度もしくはステージ温度を制御する点が特徴である。
【0027】
すなわち、ある品種の製品を処理する場合、まず設計寸法と同じ形状寸法dが得られた場合の状態モニタ値Pを呼び出す。一枚目の処理における状態モニタ値P1とPのずれΔP1を計算する。次に、形状寸法のずれの予測値Δd1を得られた状態モニタ値のずれ(ΔP)と形状変動(Δd)の相関付ける関係式、Δdn=f(ΔP)から求める。さらにウエハ温度の変化(ΔT)と形状変動(Δd)の相関付ける関係式、Δd=g(ΔT)に代入することによって、ウエハ温度と状態モニタ値とを相関付ける関係式ΔT=g−1(f(ΔP))が得られ、モニタ値のずれΔP1を補正するためのウエハ温度を計算(ΔT1)する。この計算値に基づいて温度制御部21において冷却能力を変えて、次のウエハ(二枚目)処理時にウエハ温度制御を行い、状態モニタ値の変動を解消する。これらの操作を次々に繰り返し、すなわち設計寸法と同じ形状寸法dが得られた場合の状態モニタ値Pとn枚目の処理における状態モニタ値Pnのずれに基づいて計算した温度の補正値を、(n+1)枚目に反映させる。本実施例ではロット先頭の一枚目の処理ウエハは補正を行っていないが、一枚目のウエハのみ第二の実施例と同じ方法で、すなわち過去に処理が行われたロット先頭の処理状態モニタ情報から補正地を求めてもよい。
【0028】
以上説明したような制御機能をドライエッチング装置に備え、必要に応じてどの機能を使うか選択できる機能を持つことによって、加工ばらつきの少ない半導体製造装置となる。
【0029】
さらに以上説明してきたような制御機能を使って、変化させた温度の値を記憶し、かつ変化させた温度の値があらかじめ設定した既定値を超えた場合に、その旨出力する機能を付加することにより、装置管理を効率よく行うことができる。すなわち、補正しなければならない温度値が大きければ大きいほど、装置の状態、例えば処理室壁面の汚れ、プラズマへの投入電力、処理室壁面温度など変動している可能性が高い。
【0030】
そこであるしきい値を決めておき、その値以上になった場合に直ちにその旨出力することによって、装置の異常事態を未然に防ぐことになり、またメンテナンスを適正な時期に行うことにもなる。出力形態は、ブザーなどのアラームでも良いし、操作パネルへの表示、もしくは装置オペレーターのパーソナルコンピューターへの表示などでもよい。
【0031】
以上はドライエッチング装置を例に説明を行ってきたが、プラズマCVD装置やスパッタ装置、およびそれらを用いる工程に関しても同様の効果が得られる。ただしこれらの場合には、処理における制御対象が加工形状ではなく、膜の堆積レートや膜質となる。
【0032】
【発明の効果】
以上のように本発明によれば、処理特性の変動を監視することができるとともに、変動に対応して処理特性の制御を行うことができるために、生産歩留まりや生産効率を向上させることを可能となる。また装置管理も効率的に行うことが可能となる。
【図面の簡単な説明】
【図1】本発明の第一の実施例である半導体製造装置の構造を説明する概略図。
【図2】本発明第一の実施例における制御対象を説明するグラフ。
【図3】本発明第一の実施例における動作を説明する工程フロー。
【図4】本発明の第二の実施例である半導体製造装置の構造を説明する概略図。
【図5】本発明第二の実施例における制御対象を説明するグラフ。
【図6】本発明第二の実施例における動作を説明する工程フロー。
【図7】本発明第三の実施例の動作を説明する工程フロー。
【符号の説明】
1…ウエハ、2…高周波電源、3…搬送ロボット、
4…エッチングガスの導入管、5…アース、6…電極、7…シャワー穴、
8…エッチング処理室、9…絶縁体、10…ウエハステージ、11…直流電源、
12…スイッチ、13〜15…ステージ温度調節機構、16…温度計測手段、
17…Heガス導入管、18…排気口、19…制御装置、
20…パラメータ演算部、21…温度制御部、23…発光センサー
24…発光検出器、25…発光分析部。
[0001]
BACKGROUND OF THE INVENTION
The present invention belongs to semiconductor manufacturing technology. In particular, the present invention relates to a processing method for realizing reproducibility of processing results when performing wafer processing in a semiconductor manufacturing apparatus.
[0002]
[Prior art]
As semiconductor devices have been highly integrated in recent years, circuit patterns have been increasingly miniaturized, and the required processing dimension accuracy has become increasingly severe. In such a situation, the reproducibility of the processing state becomes important. For example, in an etching process that requires a high aspect ratio, an etching process has been realized while protecting the sidewall with an organic polymer in order to achieve anisotropic etching. Depends on the amount of etching products remaining in the processing chamber and the temperature of the wafer. Therefore, the amount of the remaining reaction product in the processing chamber varies from processing to processing, and if the temperature control of the wafer is insufficient, the sidewall protective film varies from wafer to wafer, resulting in poor etching shape reproducibility. cause. In a recent semiconductor manufacturing process, a device defect may be caused even with a variation in processing dimension of about 10 nm.
[0003]
For example, Japanese Patent Laid-Open No. 8-165585 discloses a method for dealing with such variations in plasma processing results.
[0004]
[Problems to be solved by the invention]
The disclosed example is characterized in that a monitor for measuring the self-bias voltage of the high-frequency electrode for generating plasma is provided, and the high-frequency power is adjusted so that the self-bias monitor value is constant. According to this disclosed example, generation of particles involved in etching such as ions and radicals generated in plasma can be expected to be performed with good reproducibility, but if the wafer temperature varies, the etching reaction on the wafer Since the speeds of the etching are different, the etching results vary. In addition, when highly reactive residual deposits remain on the wall surface of the processing chamber, there is a concern that the types and amounts of ions and radicals in the plasma vary and affect the etching result.
[0005]
An object of the present invention is to provide a semiconductor manufacturing apparatus and manufacturing capable of monitoring fluctuations in plasma processing characteristics and controlling the processing characteristics in response to the fluctuations to improve production yield and production efficiency. It is to provide a method.
[0006]
[Means for Solving the Problems]
The purpose is to measure the temperature of the wafer or the temperature of the wafer stage, a temperature adjustment function for arbitrarily maintaining the temperature of the wafer or the temperature of the wafer stage, a function of storing information on processing results in the past lot units, Has a function to store a relational expression that correlates the wafer or stage temperature and processing characteristics, and obtains the wafer temperature or stage temperature by using the relational expression so as to minimize the variation in the processing result in the past lot unit. Accordingly, this is achieved by controlling the wafer temperature or stage temperature during wafer processing for each single wafer processing.
[0007]
Further, the above object is to measure the temperature of the wafer or the temperature of the wafer stage, a temperature adjusting function for arbitrarily maintaining the temperature of the wafer or the temperature of the wafer stage, and a function of storing information of monitor results in past lot units. It has a function to store a relational expression that correlates the monitor result with the wafer or stage temperature. By using the relational expression, the wafer temperature or the stage temperature is obtained so as to minimize the variation of the monitoring result in the past lot unit. Thus the value is accomplished by controlling the temperature or the stage temperature of the wafer during wafer processing for each one process.
[0008]
Further, the above object is to provide means for measuring the temperature of the wafer or the temperature of the wafer stage, a temperature adjustment function for arbitrarily maintaining the temperature of the wafer or the temperature of the wafer stage, means for monitoring the processing state, and control of the processing apparatus. The system has a function to store the monitor value when past processing is performed well, and a function to store a relational expression that correlates the monitor result with the wafer or stage temperature, and the monitor value currently being processed. Compared with the monitor values that have been processed successfully in the past, the temperature of the wafer or the stage temperature is obtained by the above relational expression so that the deviation between the two is minimized, and when the next wafer is processed, the wafer temperature or This is achieved by controlling the stage temperature.
[0009]
Further, when the above-described control is performed, a history of how much the processing performance has deviated can be left by storing the temperature value actually changed. In addition, by setting a threshold value for the temperature value actually changed in advance and notifying the fact when the threshold value is exceeded, the maintenance time of the equipment can be known, and the production efficiency can be improved. Is also possible.
[0010]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.
First, a first embodiment will be described with reference to FIGS. FIG. 1 shows a schematic configuration diagram of a plasma etching apparatus for forming a pattern on a semiconductor substrate such as a Si wafer, which is a semiconductor manufacturing apparatus according to a first embodiment. FIG. 2 shows an example of the change in the processed shape of the device subjected to the etching process according to the number of processed sheets, and FIG. 3 shows a flowchart of the control performed in this embodiment.
[0011]
In FIG. 1, 1 is a Si wafer for performing an etching process, and 8 is an etching process chamber. Reference numeral 6 denotes an electrode for causing plasma discharge, and is provided with a shower hole 7 for uniformly introducing an etching gas into the processing chamber 8. 4 is an etching gas introduction pipe, and 18 is a vacuum exhaust port, which is connected to a vacuum pump (not shown here). Reference numeral 2 denotes a high frequency power source for applying high frequency power to the electrode 6, 5 denotes a ground, and 9 denotes an insulator for electrically isolating the electrode 6 from the chamber.
[0012]
Reference numeral 10 denotes a wafer stage, reference numeral 16 denotes a temperature measuring means for sensing the wafer temperature, and reference numerals 13 to 15 denote temperature adjusting mechanisms for the stage 10. In this embodiment, it is a cooling mechanism, 14 is a refrigerant circulator, 15 is a flow path to the substrate stage, and 13 is a refrigerant flow path provided in the substrate stage 10. The wafer stage 10 is capable of adsorbing the wafer 1 by electrostatic adsorption. After the adsorption, a gas having a high thermal conductivity, such as helium gas, is supplied from the gas flow path 17 provided through the stage 10 to the stage 10 and the wafer. 1 is satisfied, the temperature control of the wafer 1 is efficiently performed. 11 is a DC power source for electrostatic attraction, and 12 is a switch for performing an on / off operation.
[0013]
Reference numeral 19 denotes a control device for the entire apparatus, which includes a control computer for gas flow rate, pressure, high frequency power, and the like. A temperature control unit 21 in the control device 19 controls the stage temperature based on the value measured by the temperature measuring means 16. Reference numeral 20 denotes a processing parameter calculation part, which has a function of storing a relational expression that correlates processing result information such as wafer or stage temperature and etching rate and etching shape with information on processing results in the past lot unit. It is.
[0014]
In the plasma etching apparatus configured as described above, the wafer 1 to be processed is first placed on the stage, and an etching gas is introduced into the processing chamber 8 through the introduction tube 4 and the shower hole 7 and maintained at a predetermined pressure. Thereafter, the thin film formed on the Si wafer 1 can be processed by applying high frequency power to the upper electrode 6 from the high frequency power source 2 to generate plasma 3 and performing etching for a predetermined time. By the way, in such processing using plasma, the temperature characteristic of the substrate during processing is very important.
[0015]
This is because the substrate temperature affects the film formation rate in film formation such as sputtering and CVD, and the etching rate and processed shape in etching. As described above, for example, in etching that requires a high aspect ratio, a process of performing etching while protecting the side wall with an organic polymer is realized in order to realize anisotropic etching, but it becomes a protective film. The production of the organic polymer is affected by the amount of etching products remaining on the wall surface of the processing chamber 8, and if there are many remaining etching products, the protective film is too attached and the processed shape is shown in FIG. The d dimension tends to be thick.
[0016]
For example, since the amount of etching products that adhere to the wall of the processing chamber 8 and become deposits increases as the number of processed sheets increases, if the processing is continued under the same conditions, as shown by 22 in FIG. The processing shape may change within a lot. In recent state-of-the-art devices, the processing dimension is about to reach 0.1 μm, and even if the amount of change is about 10 nm, satisfactory characteristics may not be obtained.
[0017]
The feature of this embodiment is that the processing result information in the past lot unit and the relational expression that correlates the wafer or stage temperature with the processing characteristics are stored in the parameter calculation unit 20 in the control device 19 to control the wafer temperature. There is. The case of processing a product of a certain type will be described with reference to the flowchart shown in FIG.
[0018]
First, the wafer temperature T and shape data in lot units for the same type of products processed in the past are called up. For example, when the shape change within the lot changes as indicated by 21 in FIG. 2, the deviation Δdn from the desired shape dimension d is called for each wafer number n. Then, the deviation Δdn geometry, changes in wafer temperature ([Delta] T) and correlate the shape variation ([Delta] d) relationship, by substituting Δd = g (ΔT), the wafer temperature for correcting the Δdn Calculate (T + ΔT). By controlling the wafer temperature by changing the cooling capacity in the temperature control unit 21 based on the calculated value, it becomes possible to eliminate the shape dimension variation as shown by 22 in FIG.
[0019]
Here, as the shape data when processed at the wafer temperature T, data obtained by processing an actual product may be stored, or data obtained by processing a trial wafer having the same material and mask pattern shape as the product may be used. good. In addition, the relational expression that correlates the change (ΔT) of the stage temperature and the shape variation (Δd) needs to be obtained in advance using a trial wafer having the same material and mask pattern shape as the product .
[0020]
Here, the wafer temperature control is performed by heat conduction between the wafer stage 10 and the wafer 1 after controlling the temperature of the wafer stage 10. When the temperature range to be changed is small, the wafer temperature can be controlled by keeping the pressure of the helium gas constant and changing the stage temperature. However, when the temperature range to be changed is large, the above method takes time to bring the wafer temperature to a desired temperature. For example, since it takes about 10 minutes to change the temperature from -5 ° C to 5 ° C, the productivity is lowered. In such a case, the temperature of the wafer 1 is controlled at a high speed by setting the stage temperature to be low in advance and controlling the temperature of the wafer 1 to increase by lowering the pressure of the helium gas. It becomes possible.
[0021]
Next, a second embodiment of the present invention will be described with reference to FIGS. FIG. 4 shows a schematic configuration diagram of the plasma etching apparatus according to the present embodiment. The apparatus configuration is the same as that of the first embodiment, but the processing apparatus 8 has means for monitoring the processing state. 23. In this embodiment, plasma emission is measured as a state monitor. FIG. 5 shows an example of a change in the state monitor value and a change in the processing shape according to the number of processed sheets. FIG. 6 shows a flowchart of the control performed in this embodiment.
[0022]
In the parameter calculation unit 20 in the control device 19, the state monitor value when the same shape dimension d as the design dimension is obtained, the fluctuation information of the state monitor value in the past lot unit, the wafer or stage temperature and the state monitor value It has a relational expression that correlates The relational expression that correlates the wafer or stage temperature and the state monitor value can be obtained by having the relational expression that correlates the fluctuation of the monitor value and the shape fluctuation, and the relational expression that correlates the shape fluctuation and the wafer or stage temperature. . These correlation equations are created by creating a database using status monitor values, temperature, and shape data obtained by processing the same type of products processed in the past, or data obtained by processing a trial wafer that has the same material and mask pattern shape as the product. It is desirable to create a database.
[0023]
The case of processing a product of a certain type will be described with reference to the flowchart of FIG. First, the state monitor value P when the same shape dimension d as the design dimension is obtained, the wafer temperature T and the state monitor data Pn for each product in the same type of product processed in the past (in the case of 25 lots, n = n = 1-25) are called in lot units.
[0024]
For example, when the processing value monitor value Pn in the lot changes as indicated by 26 in FIG. 5, a deviation ΔPn (= Pn−P) from the state monitor value P when the same shape dimension d as the design dimension is obtained. ) Is calculated for each wafer. Next, the predicted value Δdn of the shape dimension deviation can be obtained from the relational expression Δdn = f (ΔP) that correlates the obtained state monitor value deviation (ΔP) and the shape variation (Δd). Furthermore, the change in wafer temperature ([Delta] T) and correlate the shape variation ([Delta] d) relationship, [Delta] d = by substituting g ([Delta] T), equation [Delta] T = g -1 correlating the wafer temperature and the state monitor value (F (ΔP)) is obtained, and the wafer temperature for correcting the monitor value ΔPn is calculated (ΔTn). By controlling the wafer temperature by changing the cooling capacity in the temperature control unit 21 based on the calculated value, it becomes possible to eliminate the fluctuation of the state monitor value as shown in 26 of FIG. 6 to 27 can be eliminated.
[0025]
Here, examples of the process state monitor include power applied to plasma, current, voltage, impedance, self-bias voltage, and plasma emission. As an example, results obtained by the inventors to obtain a relational expression between a monitor value of plasma emission and a processing dimension are shown below. By performing principal component analysis of plasma emission, and by performing multiple regression analysis using the first principal component to third principal component obtained from principal component analysis as explanatory variables, minute shape variations and plasma emission in polysilicon etching are performed. The relational expression correlating with the monitor value is obtained. In etching using plasma of HBr and Cl2, Δd = α1 × PC1 + α2 × PC2 + α3 × PC3. Here, PC1, PC2, and PC3 are respectively the first principal component to the third principal component obtained from the principal component analysis of plasma emission, α1 = 0.83, α2 = 0.1, and α3 = 3.2. It was.
[0026]
Next, a third embodiment of the present invention will be described with reference to the flowchart shown in FIG. The apparatus configuration and monitoring means in this embodiment are the same as those in the second embodiment shown in FIG. 4, but the same shape dimension d as the design dimension is obtained in the parameter calculation unit 20 in the control apparatus 19. Condition monitor value, wafer or stage temperature and the relational expression correlating the state monitor value, and comparing the monitor value currently being processed with the monitor value that has been processed successfully in the past, the relationship The characteristic is that the temperature of the wafer or the stage temperature is obtained so that the deviation between the two is minimized by the equation, and the wafer temperature or the stage temperature is controlled according to the value when the next wafer is processed.
[0027]
That is, when processing a product of a certain type, first, the state monitor value P when the same shape dimension d as the design dimension is obtained is called. The difference ΔP1 between the state monitor values P1 and P in the first process is calculated. Next, a predicted value Δd1 of the shape dimension deviation is obtained from a relational expression Δdn = f (ΔP) that correlates the obtained state monitor value deviation (ΔP) and the shape fluctuation (Δd). Further, a relational expression for correlating the wafer temperature with the state monitor value by substituting into the relational expression for correlating the change in wafer temperature (ΔT) and the shape fluctuation (Δd), Δd = g (ΔT) (ΔT = g −1 ( f (ΔP)) is obtained, and the wafer temperature for correcting the monitor value deviation ΔP1 is calculated (ΔT1). Based on this calculated value, the cooling capacity is changed in the temperature control unit 21, and the wafer temperature control is performed at the time of the next wafer (second sheet) processing to eliminate the fluctuation of the state monitor value. These operations are repeated one after another, that is, a temperature correction value calculated based on the deviation between the state monitor value P when the same shape dimension d as the design dimension is obtained and the state monitor value Pn in the n-th process is obtained. Reflect to the (n + 1) th sheet. In this embodiment, the first processing wafer at the beginning of the lot is not corrected, but only the first wafer is processed in the same manner as in the second embodiment, that is, the processing state at the beginning of the lot that has been processed in the past. The correction location may be obtained from the monitor information.
[0028]
By providing the control function as described above in the dry etching apparatus and having the function of selecting which function to use as necessary, a semiconductor manufacturing apparatus with less processing variation is obtained.
[0029]
Furthermore, using the control function as described above, the function to store the changed temperature value and output when the changed temperature value exceeds the preset value is added. As a result, device management can be performed efficiently. That is, the larger the temperature value that needs to be corrected, the higher the possibility that the state of the apparatus, for example, the contamination of the processing chamber wall surface, the input power to the plasma, the processing chamber wall surface temperature, and the like fluctuate.
[0030]
Therefore, by determining a certain threshold value and immediately outputting that when it exceeds that value, it will prevent an abnormal situation of the device, and also perform maintenance at an appropriate time. . The output form may be an alarm such as a buzzer, a display on the operation panel, or a display on the personal computer of the device operator.
[0031]
Although the above has been described by taking a dry etching apparatus as an example, similar effects can be obtained with respect to a plasma CVD apparatus, a sputtering apparatus, and a process using them. In these cases, however, the object to be controlled in the processing is not the processing shape but the film deposition rate and film quality.
[0032]
【The invention's effect】
As described above, according to the present invention, fluctuations in processing characteristics can be monitored and processing characteristics can be controlled in response to the fluctuations, so that production yield and production efficiency can be improved. It becomes. Also, device management can be performed efficiently.
[Brief description of the drawings]
FIG. 1 is a schematic diagram illustrating the structure of a semiconductor manufacturing apparatus according to a first embodiment of the present invention.
FIG. 2 is a graph for explaining a controlled object in the first embodiment of the present invention.
FIG. 3 is a process flow for explaining the operation in the first embodiment of the present invention.
FIG. 4 is a schematic diagram illustrating the structure of a semiconductor manufacturing apparatus according to a second embodiment of the present invention.
FIG. 5 is a graph for explaining a controlled object in the second embodiment of the present invention.
FIG. 6 is a process flow for explaining the operation in the second embodiment of the present invention.
FIG. 7 is a process flow for explaining the operation of the third embodiment of the present invention.
[Explanation of symbols]
1 ... wafer, 2 ... high frequency power supply, 3 ... transfer robot,
4 ... Etching gas introduction pipe, 5 ... Ground, 6 ... Electrode, 7 ... Shower hole,
8 ... Etching chamber, 9 ... Insulator, 10 ... Wafer stage, 11 ... DC power supply,
12 ... Switch, 13-15 ... Stage temperature adjusting mechanism, 16 ... Temperature measuring means,
17 ... He gas introduction pipe, 18 ... exhaust port, 19 ... control device,
DESCRIPTION OF SYMBOLS 20 ... Parameter calculating part, 21 ... Temperature control part, 23 ... Luminescence sensor 24 ... Luminescence detector, 25 ... Luminescence analysis part.

Claims (5)

ウエハにプラズマ処理を行なうための処理室と、該処理室内に前記プラズマを発生させるための手段と、前記ウエハを積載し前記ウエハに前記プラズマにより処理を施すためのウエハステージと、装置全体を制御する制御装置とを備えた半導体製造装置を用いた半導体製造方法において、
前記ウエハステージには、ウエハの温度もしくはステージ内部の温度もしくはその両方を計測する手段と、ウエハの温度もしくはステージ内部の温度を任意に保つ温度調節機能を具備し
前記制御装置には過去のロット単位におけるウエハ温度と得たい形状寸法からのずれのデータからなる処理結果の情報と、ウエハもしくはステージ温度と形状変動を相関付ける関係式を記憶する機能を具備し、
前記関係式により過去の同種製品のロット単位おける処理結果のばらつきが最小になるようウエハの温度もしくはステージ温度を求め、その値にしたがって一枚処理毎にウエハ処理時におけるウエハの温度、もしくはステージ温度を制御する
ことを特徴とした半導体製造方法。
A processing chamber for performing plasma processing on a wafer, means for generating the plasma in the processing chamber, a wafer stage for loading the wafer and processing the wafer with the plasma, and controlling the entire apparatus In a semiconductor manufacturing method using a semiconductor manufacturing apparatus equipped with a control device,
Wherein the wafer stage is provided with a hand stage you measure the temperature or both of the internal temperature or the stage of the wafer, the temperature control function to keep the temperature of the internal temperature or the stage of the wafer optionally,
The control device has a function of storing processing result information including wafer temperature and deviation data from desired shape dimensions in the past lot units, and a relational expression correlating wafer or stage temperature with shape variation. ,
The relationship determined temperature or stage temperature of the wafer so that the variation in the definitive processing results to each lot of the past of the same kind products is minimized by formula, the temperature of the wafer during wafer processing for each one proceeds according to the value or stages, A semiconductor manufacturing method characterized by controlling temperature.
ウエハにプラズマ処理を行なうための処理室と、該処理室内に前記プラズマを発生させるための手段と、前記ウエハを積載し前記ウエハに前記プラズマにより処理を施すためのウエハステージと、装置全体を制御する制御装置とを備えた半導体製造装置を用いた半導体製造方法において、
前記ウエハステージには、ウエハの温度もしくはステージ内部の温度もしくはその両方を計測する手段と、ウエハの温度もしくはステージ内部の温度を任意に保つ温度調節機能を具備し
前記処理室には処理の状態をモニタする手段を具備し
前記制御装置には過去のロット単位におけるモニタ結果の情報を記憶する機能と、設計寸法と同じ形状寸法が得られた場合の状態モニタ値、モニタ値の変動と形状変動を相関付ける関係式および形状変動とウエハもしくはステージ温度とを相関付ける関係式からウエハもしくはステージ温度と状態モニタ値を相関付ける関係式を記憶する機能を具備し、
前記関係式により過去の同種製品のロット単位おけるモニタ結果のばらつきが最小になるようウエハの温度もしくはステージ温度を求め、その値にしたがって一枚処理毎にウエハ処理時におけるウエハの温度もしくはステージ温度を制御する
ことを特徴とした半導体製造方法。
A processing chamber for performing plasma processing on a wafer, means for generating the plasma in the processing chamber, a wafer stage for loading the wafer and processing the wafer with the plasma, and controlling the entire apparatus In a semiconductor manufacturing method using a semiconductor manufacturing apparatus equipped with a control device,
Wherein the wafer stage is provided with a hand stage you measure the temperature or both of the internal temperature or the stage of the wafer, the temperature control function to keep the temperature of the internal temperature or the stage of the wafer optionally,
Into the processing chamber comprises means for monitoring the state of the process,
The control device has a function of storing information on monitor results in the past lot unit, a state monitor value when the same shape dimension as the design dimension is obtained, a relational expression for correlating the monitor value variation and the shape variation, and It has a function of storing a relational expression correlating the wafer or stage temperature and the state monitor value from a relational expression correlating the shape variation with the wafer or stage temperature ,
Determined temperature or stage temperature of the wafer so that the variation in the definitive monitoring results to each lot of the past of the same kind products by the relational expression becomes minimum, the temperature or the stage temperature of the wafer during wafer processing for each one proceeds according to the A method of manufacturing a semiconductor, characterized in that the method is controlled.
ウエハにプラズマ処理を行うための処理室と、該処理室内に前記プラズマを発生させるための手段と、前記ウエハを積載し前記ウエハに前記プラズマにより処理を施すためのウエハステージと、装置全体を制御する制御装置とを備えた半導体製造装置を用いた半導体製造方法において、
前記ウエハステージには、ウエハの温度もしくはステージ内部の温度もしくはその両方を計測する手段と、ウエハの温度、もしくはステージ内部の温度を任意に保つ温度調節機能を具備し
前記処理室には、処理の状態をモニタする手段を具備し
前記制御装置には過去の処理が良好に行われた際のモニタ値を記憶する機能と、設計寸法と同じ形状寸法が得られた場合の状態モニタ値と、モニタ結果とウエハもしくはステージ温度とを相関付ける関係式を記憶する機能を具備し、
現在処理中のモニタ値と過去の良好に処理が行われたモニタ値とを比較して、前記関係式により両者のずれが最小になるようウエハの温度もしくはステージ温度求め、次ウエハの処理時にはその値にしたがってウエハの温度もしくはステージ温度を制御する
ことを特徴とする半導体製造方法。
A processing chamber for performing plasma processing on a wafer, means for generating the plasma in the processing chamber, a wafer stage for loading the wafer and processing the wafer with the plasma, and controlling the entire apparatus In a semiconductor manufacturing method using a semiconductor manufacturing apparatus equipped with a control device,
Wherein the wafer stage is provided with a hand stage you measure the temperature or both of the internal temperature or the stage of the wafer, the wafer temperature, or a temperature control function to keep any stage internal temperature,
Into the processing chamber comprises means for monitoring the state of the process,
Wherein the control device, a function of past processing stores the monitoring value at the time of satisfactorily performed, the status monitor value when the same geometry as the designed size is obtained, the monitoring results and the wafer or stage temperature and A function of storing a relational expression for correlating
The monitor value currently being processed is compared with the monitor value that has been successfully processed in the past, and the wafer temperature or stage temperature is obtained by the above relational expression so that the deviation between the two is minimized. A semiconductor manufacturing method characterized by controlling a wafer temperature or a stage temperature according to a value.
請求項1ないし請求項3記載の半導体製造方法を実施する半導体製造装置であって、
制御装置には、過去のロット単位におけるウエハ温度と得たい形状寸法からのずれのデータからなる処理結果の情報と、ウエハもしくはステージ温度と形状変動を相関付ける関 係式を記憶する機能、または、過去のロット単位におけるモニタ結果の情報を記憶する機能と、設計寸法と同じ形状寸法が得られた場合の状態モニタ値と、モニタ値の変動と形状変動を相関付ける関係式および形状変動とウエハもしくはステージ温度とを相関付ける関係式からウエハもしくはステージ温度と状態モニタ値を相関付ける関係式を記憶する機能、もしくは、過去の処理が良好に行われた際のモニタ値を記憶する機能と、設計寸法と同じ形状寸法が得られた場合の状態モニタ値と、モニタ結果とウエハもしくはステージ温度とを相関付ける関係式を記憶する機能を具備するとともに、これらの関係式を選択する手段を具備した
ことを特徴とした半導体製造装置。
A semiconductor manufacturing apparatus for carrying out the semiconductor manufacturing method according to claim 1 ,
The controller functions to store and process result information including data of displacement, the relationship engagement expression correlating wafer or stage temperature and shape change from geometry to be obtained with a wafer temperature in a past batches, or, A function for storing information on monitoring results in units of past lots, a state monitor value when the same shape dimension as the design dimension is obtained, a relational expression that correlates the change in the monitor value and the shape change, and the shape change and the wafer or A function for storing a relational expression for correlating a wafer or stage temperature and a state monitor value from a relational expression for correlating the stage temperature, or a function for storing a monitor value when a past process is successfully performed, and a design dimension Store the relational expression that correlates the monitor value with the wafer or stage temperature when the same shape dimensions are obtained. As well as a function, a semiconductor manufacturing apparatus, wherein <br/> that comprises means for selecting these relations.
請求項記載の半導体製造装置において、
温度制御を行った値を記憶し、かつ変化させた温度の値があらかじめ設定した規定値を超えた場合に、その旨を知らせる手段を備える
ことを特徴とする半導体製造装置。
The semiconductor manufacturing apparatus according to claim 4 .
A semiconductor manufacturing apparatus characterized by comprising means for storing a value for which temperature control has been performed, and notifying when a value of the changed temperature exceeds a preset specified value.
JP2001063607A 2001-03-07 2001-03-07 Semiconductor manufacturing apparatus and semiconductor manufacturing method Expired - Lifetime JP3872304B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001063607A JP3872304B2 (en) 2001-03-07 2001-03-07 Semiconductor manufacturing apparatus and semiconductor manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001063607A JP3872304B2 (en) 2001-03-07 2001-03-07 Semiconductor manufacturing apparatus and semiconductor manufacturing method

Publications (2)

Publication Number Publication Date
JP2002270579A JP2002270579A (en) 2002-09-20
JP3872304B2 true JP3872304B2 (en) 2007-01-24

Family

ID=18922569

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001063607A Expired - Lifetime JP3872304B2 (en) 2001-03-07 2001-03-07 Semiconductor manufacturing apparatus and semiconductor manufacturing method

Country Status (1)

Country Link
JP (1) JP3872304B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3594583B2 (en) 2002-01-10 2004-12-02 Necエレクトロニクス株式会社 Etching apparatus and temperature control method thereof
JP4030858B2 (en) * 2002-10-30 2008-01-09 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP4363861B2 (en) * 2003-02-04 2009-11-11 株式会社日立ハイテクノロジーズ Semiconductor manufacturing equipment
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control

Also Published As

Publication number Publication date
JP2002270579A (en) 2002-09-20

Similar Documents

Publication Publication Date Title
US20060191482A1 (en) Apparatus and method for processing wafer
TWI581301B (en) Plasma processing device, plasma processing method and memory medium
US8715519B2 (en) Plasma reactor with adjustable plasma electrodes and associated methods
TWI782133B (en) Plasma processing apparatus, temperature control method, and temperature control program
US10892144B2 (en) Plasma processing apparatus, monitoring method, and monitoring program
TWI420589B (en) Plasma processing device
US20070224709A1 (en) Plasma processing method and apparatus, control program and storage medium
US10971384B2 (en) Auto-calibrated process independent feedforward control for processing substrates
US10964513B2 (en) Plasma processing apparatus
US11862438B2 (en) Plasma processing apparatus, calculation method, and calculation program
JP2013008987A (en) Plasma processing device and plasma processing method
TW202303756A (en) Plasma processing apparatus, temperature control method, and temperature control program
US20050189320A1 (en) Plasma processing method
CN112289708B (en) Temperature regulation rate control device and method and semiconductor device
TW202015093A (en) Image based plasma sheath profile detection on plasma processing tools
JP2023099617A (en) Plasma processing apparatus, monitoring method and monitoring program
JP3872304B2 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
US10971411B2 (en) Hybrid corrective processing system and method
TW202036711A (en) Rf power compensation to reduce deposition or etch rate changes in response to substrate bulk resistivity variations
JP7466432B2 (en) Plasma processing apparatus and method for measuring consumption amount
JP2004072030A (en) Semiconductor manufacturing apparatus
JPH10242120A (en) Plasma etching method and apparatus therefor
JPH06177116A (en) Gas processing system
JP2004006571A (en) Plasma processing method and apparatus
JP2020035949A (en) Method of detecting cleaning end point of semiconductor plasma processing apparatus and method of cleaning chamber

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20031215

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040210

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040412

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20040421

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20040514

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060914

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061019

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3872304

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091027

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101027

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111027

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121027

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121027

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131027

Year of fee payment: 7

EXPY Cancellation because of completion of term