JP3724057B2 - MOS transistor and manufacturing method thereof - Google Patents

MOS transistor and manufacturing method thereof Download PDF

Info

Publication number
JP3724057B2
JP3724057B2 JP13002896A JP13002896A JP3724057B2 JP 3724057 B2 JP3724057 B2 JP 3724057B2 JP 13002896 A JP13002896 A JP 13002896A JP 13002896 A JP13002896 A JP 13002896A JP 3724057 B2 JP3724057 B2 JP 3724057B2
Authority
JP
Japan
Prior art keywords
film
insulating film
source
etching
etching stopper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP13002896A
Other languages
Japanese (ja)
Other versions
JPH09321280A (en
Inventor
博文 角
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP13002896A priority Critical patent/JP3724057B2/en
Publication of JPH09321280A publication Critical patent/JPH09321280A/en
Application granted granted Critical
Publication of JP3724057B2 publication Critical patent/JP3724057B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、コンタクトホール形成時の重ね合わせずれの許容度を増大させると共に、コンタクト抵抗やシート抵抗の低減を図ることが可能なMOSトランジスタおよびその製造方法に関する。
【0002】
【従来の技術】
近年のVLSI,ULSIといった超高集積化半導体装置においては、デザイン・ルールの縮小に伴って益々高度なプロセス技術が要求されている。
【0003】
たとえば、0.3μm以降のデザイン・ルールの下では、接続孔の設計余裕を下層配線との重ね合わせ誤差のバラつきを考慮して決定しようとすると、接続孔の設計寸法(=ホール径+設計余裕)が大きくなり過ぎる問題が生じている。下層配線の線幅の拡大をもってこの問題に対処しようとするとチップ面積の縮小や高集積化が妨げられ、逆にホール径を縮小しようとするとホール・パターンが解像できなくなる。上述の重ね合わせ誤差のバラつきは、フォトリソグラフィで用いられる縮小投影露光装置のアライメント性能の不足に起因するものである。しかもこのバラつきは、半導体プロセスに含まれる様々なスケーリング・ファクターの中でも特にスケール・ダウンが困難な項目であり、解像度以上に露光技術の限界を決定する要因であるとすら言われている。
【0004】
このような背景から、位置合わせのための設計余裕をフォトマスク上で不要にできる自己整合コンタクト(SAC)プロセスが提案されている。SACプロセスとしては様々なタイプが知られているが、配線の上部や側壁部をSiN膜で覆ったり、あるいは配線と層間絶縁膜との間にSiN膜を1層介在させ、これらのSiN膜をエッチング停止膜として利用するプロセスが最も良く検討されている。これは、余分な露光工程が必要とならず、また層間絶縁膜の平坦化が可能となるからである。
【0005】
一方、配線の低抵抗化も重要な課題である。半導体デバイスの高集積化に伴って縮小されるものはホール径や配線幅だけではなく、ソース/ドレイン領域を構成する拡散層の厚さ(接合深さ)もその例である。しかし、接合が浅くなるとシート抵抗が増大し、たとえばデザイン・ルール0.1μmの下で接合深さが0.06μm程度となった場合には、シート抵抗は1kΩ/□にも達する。このことは、ASIC等のように拡散層を電極として用いるデバイスにおいて、応答速度を大きく低下させる原因となる。
【0006】
この拡散層の低抵抗化を、その表面に金属シリサイド層を形成することで達成する技術が知られている。この金属シリサイド層は一般に、シリコン(Si)系材料層の表出部を含む基板の全面にシリサイドを形成できる金属膜を薄く堆積させた後、熱処理を施し、該金属膜とSi系材料層とが接触した部分において自己整合的なシリサイド化反応(SALICIDE;self-aligned silicidation)を進行させる手法で形成される。シリサイドを形成できる金属として最も良く利用されるものはTi,Mo等の遷移金属であり、MOSトランジスタのソース/ドレイン領域やゲート電極の表面にTiSix膜,MoSix膜が形成される。かかるソース/ドレイン領域に臨んでコンタクトホールを開口し、その内部を金属プラグで埋め込めば、実際にコンタクト抵抗を決定している金属プラグとSiのコンタクト面積は実寸のコンタクト面積より遥かに広いソース/ドレイン領域全体に近いものとなるため、実効的にコンタクト抵抗を下げることが可能となる。
【0007】
【発明が解決しようとする課題】
ところで、上述したSACとSALICIDEの両プロセスは、今後の世代の半導体デバイスの製造において同時に適用されることが望まれるが、これに際して次のような問題が生ずる虞れがある。この問題について、図23および図24を参照しながら説明する。
【0008】
図23は、LDD構造を有するMOSトランジスタの製造プロセスにおいて、素子形成領域にゲート電極84(polySi/WSix)とソース/ドレイン領域87を形成した後、SiNエッチング停止膜89を介して層間絶縁膜90(SiOx/BPSG)をほぼ平坦に形成し、この上でレジスト・パターニングを行った状態を示している。ここまでのプロセスを簡単に説明すると、まずSi基板81に公知の選択酸化分離法(LOCOS)法によりフィールド酸化膜82(SiO)を形成し、このフィールド酸化膜82により規定される素子形成領域の全面を酸化してゲート酸化膜83を形成した後、W−ポリサイド膜(polySi/WSix)とSiOx膜を順次積層し、この積層膜をパターニングしてオフセット酸化膜85(SiOx)とゲート電極84とを形成する。続いて、低濃度イオン注入によるLDD領域の形成、SiOx膜の全面堆積およびエッチバックによるLDDサイドウォール86の形成、高濃度イオン注入によるソース/ドレイン領域87の形成を行う。
【0009】
次に、基体の全面に薄くTi膜を成膜し、シリサイド化アニールを行ってソース/ドレイン領域87の表面にTiSix膜88を形成する。このTiSix膜88は、素子形成領域からLDDサイドウォール86およびフィールド酸化膜82の上へ若干這い上がる形状を呈するのが普通である。この這い上がり部分の長さは、最初に全面に成膜されるTi膜の厚さとアニール時間を増すことにより増大し、低抵抗化を図るにはこれらの条件が有利とされる。しかしその一方で、這い上がり部分の長さの増大はプロセスの不安定性を増す原因となる。また、ゲート電極84の上面もシリサイド化できる様にオフセット酸化膜85が設けられていない場合には、長い這い上がり部分が該ゲート電極84とソース/ドレイン領域87とを短絡させる原因ともなる。したがって通常は、低抵抗化を図りながら這い上がり部分の長さもできるだけ縮小できる様に、プロセスの最適化が行われている。
【0010】
さらに、基体の全面をコンフォーマルなSiNエッチング停止膜89で被覆した後、層間絶縁膜90で基体の表面を略平坦化する。この層間絶縁膜90は、たとえばSiOx膜とホウ素・リン・シリケート・ガラス(BPSG)膜との積層膜である。この層間絶縁膜90の上で、コンタクトホール・エッチングのマスクとなるレジスト・パターン91(PR)を形成する。レジスト・パターン91の開口92は、重ね合わせずれの無い理想的な状態ではソース/ドレイン領域87の中央に臨んで形成されるはずであるが、図示される例ではその位置がLDDサイドウォール86とフィールド酸化膜82に重複している。
【0011】
この状態で、まずSiNエッチング停止膜89に対して高選択比を確保できる条件でドライエッチングを行うことにより層間絶縁膜90の露出部を選択的に除去し、SiNエッチング停止膜89が露出した時点でエッチングを一旦停止する。次に、TiSix膜88に対して高選択比を確保できる条件でSiNエッチング停止膜89の露出部を選択的に除去し、図24に示されるようなコンタクトホール93を形成する。しかし、TiSix膜88に対して高選択比を確保できる条件は一般にSiOx系材料膜に対しては高選択比を保証できない。このため、コンタクトホール93の底面の一部にLDDサイドウォール86やフィールド酸化膜82が表出すると、この表出部からSiOx膜の侵食が進んでしまい、図示されるような穴94が開いてしまう。このような穴94が存在すると、コンタクトホール・エッチング時に除去されたソース/ドレイン領域87の不純物を補償してコンタクト抵抗を下げるためのいわゆるコンタクト・イオン注入を行う際、この穴94を通した下地にも不純物が導入されてしまい、耐圧劣化や接合リークの増大といったデバイス特性の劣化が生ずる。TiSix膜88の這い上がり長さを大としておけばこの問題は一見解決できるようであるが、この長さは前述の理由により増大させることができない。
【0012】
このように、ソース/ドレイン領域のシート抵抗とコンタクト抵抗の低減を図りながらSACを適用するプロセスは、現状では実現困難である。本発明は、これらを両立させることを可能とするMOSトランジスタおよびその製造方法を提供することを目的とする。
【0013】
【課題を解決するための手段】
本発明のMOSトランジスタは上述の目的を達成するために提案されるものであり、フィールド絶縁膜上であってコンタクトホールの重ね合わせずれが発生すると予測される領域に、該フィールド絶縁膜とエッチング選択比のとれる導電膜でエッチングストッパ膜を形成することにより、コンタクトホールの開口位置が仮にずれてもフィールド絶縁膜への穴開きを防止できるようにするものである。また、このエッチングストッパ膜をゲート電極側でも使用する場合には、ゲート電極とストッパ膜との短絡を防止するために、ゲート電極の側壁面にサイドウォールを形成しておくことが必要である。さらに、ゲート電極の上面の絶縁を図るために、オフセット絶縁膜が形成されていればエッチングストッパ膜の形成範囲を広げることができ、一層好ましい。
【0014】
上記エッチングストッパ膜はソース/ドレイン領域の全面を被覆していても、あるいは途中で離間されていても良い。全面被覆型とする場合には、エッチングストッパ膜の表面の全部または一部をシリサイド化することができる。また部分被覆型とする場合には、離間されている部分を低抵抗化するために、この部分に対応するソース/ドレイン領域の表面をシリサイド化することが有効である。
【0015】
次に、上述のMOSトランジスタの製造方法であるが、まずゲート電極とエッチングストッパ膜を別の導電膜で形成する場合は、基本的には従来公知のMOSトランジスタの製造プロセスにこのエッチングストッパ膜を形成する工程およびエッチングストッパ膜を追加すれば良く、これにSALICIDE工程を適宜追加する。
【0016】
【発明の実施の形態】
本発明によると、エッチングストッパ膜を導電膜で構成する場合、コンタクトホールの底面の少なくとも一部がこのエッチングストッパ膜の上に掛かっても、この膜がそのままソース/ドレイン取出し電極として機能することになるので、コンタクト抵抗を低減させることができる。これに加えてソース/ドレイン領域の表面が自己整合的にシリサイド化されていれば、シート抵抗も低減できることになる。このようにして、SACとSALICIDEの組み合わせが実用レベルで可能となる。
【0017】
ところで、通常のシリコン・デバイスではフィールド絶縁膜やサイドウォール絶縁膜は酸化シリコン系材料(SiOx)で形成されるが、これに対してエッチング選択比を確保可能な導電膜としては、W,Mo,Ti,Al,Cu等の金属膜、TiN,TiON,TiO,WN等の金属化合物膜、TiSix,CoSix,NiSix,WSix,MoSix,PtSix,ZrSix,HfSix等の遷移金属シリサイド膜、あるいはこれらの上層側または下層側に多結晶状,アモルファス状,単結晶状のいずれかのSi膜を積層した積層膜を挙げることができる。
【0018】
【実施例】
以下、本発明の具体的な実施例について説明する。
【0019】
実施例1
本実施例は、MOSトランジスタの製造プロセスにおいて、フィールド酸化膜とLDDサイドウォールの各々を保護するための部分型エッチングストッパ膜をWSix膜を用いて形成すると共に、ソース/ドレイン領域中、これら双方の部分型エッチングストッパ膜の中間に表出する部分を自己整合的にシリサイド化して低抵抗化を図った例である。本実施例のプロセスを、図1〜図8を参照しながら説明する。ただし、これらの図面はCMOSトランジスタを構成するpMOSトランジスタとnMOSトランジスタのいずれか一方を示しており、両トランジスタでプロセスが異なる部分については、2種類のプロセスを併記する形で説明を行う。
【0020】
図1は、素子形成領域にゲート電極4とLDD構造を有するソース/ドレイン領域7が形成された状態を示している。ここまでのプロセスを簡単に説明すると、まずSi基板1に公知の選択酸化分離法(LOCOS)法によりフィールド酸化膜2(SiO)を形成し、このフィールド酸化膜2により規定される素子形成領域の全面をパイロジェニック酸化法により熱酸化して、厚さ約10nmのゲート酸化膜3を形成した。次に、基体の全面に厚さ約140nmのW−ポリサイド膜(polySi/WSix)と厚さ約100nmのSiOx膜を順次積層し、この積層膜をパターニングしてオフセット酸化膜5(SiOx)とゲート電極4を形成した。続いて、低濃度イオン注入によるLDD領域の形成、厚さ約200nmのSiOx膜の全面堆積、このSiOx膜のエッチバックによるLDDサイドウォール6の形成を行った。さらに、この基体を酸化炉に搬入し、O流量4SLM,800℃,10分間の条件でチャネリング防止用のSiOx膜(図示せず。)を約10nmの厚さに形成した後、高濃度イオン注入によるソース/ドレイン領域7の形成を順次行った。この高濃度イオン注入は、たとえばpMOS形成領域についてはイオン種BF ,イオン加速エネルギー40keV,ドース量3×1015/cmの条件で行い、nMOS形成領域についてはイオン種As,イオン加速エネルギー50keV,ドース量3×1015/cmの条件で行った。
【0021】
導入された不純物は、N雰囲気中,1000℃,10秒間のアニールを行って活性化させた。
【0022】
次に、ジクロロシラン還元法によるLPCVDを行い、図2に示されるように基体の全面にWSix膜8を約30nmの厚さに成膜した。このWSix膜8は、後にパターニングを経て部分型エッチングストッパ膜となる膜であり、成膜条件はたとえば、
WF流量 2.8SCCM
SiCl流量 300SCCM
Ar流量 50SCCM
圧力 20Pa
基板温度 520℃
とした。
【0023】
続いて、このWSix膜8の上にレジスト・パターン9F,9G(PR)を形成した。ここで、レジスト・パターン9F(添字Fはフィールド側に形成されることを表す。以下同様。)は素子形成領域からフィールド酸化膜2にわたる領域を被覆し、レジスト・パターン9G(添字Gはゲート側に形成されることを表す。以下同様。)は素子形成領域からLDDサイドウォール6を経てオフセット酸化膜5の端部に掛かる領域を被覆する。この被覆領域は、後工程でソース/ドレイン領域へ臨んで形成されるコンタクトホールの重ね合わせずれの発生予測範囲にもとづいて決定されている。
【0024】
次に、有磁場マイクロ波プラズマ・エッチング装置を用いて上記WSix膜8をドライエッチングした。このときのエッチング条件はたとえば、
SF流量 25SCCM
Cl流量 20SCCM
圧力 1Pa
マイクロ波パワー 950W(2.45GHz)
RFバイアス・パワー 50W(800kHz)
基板温度 25℃(室温)
とした。この後、アッシングを行ってレジスト・パターン9G,9Fを除去した。この結果、図3に示されるように、フィールド側とゲート側にそれぞれ部分型エッチングストッパ膜8F,8Gが形成された。
【0025】
次に、緩衝化希フッ酸溶液を用いて基板を洗浄することによりソース/ドレイン領域7の表面の自然酸化膜(図示せず。)を除去した。この後、直ちにマグネトロン・スパッタリングを行い、図4に示されるように基体の全面にTi膜10を約30nmの厚さに成膜した。このTi膜10はシリサイド膜形成用の原料であり、成膜条件はたとえば、
ターゲット Ti
Ar流量 100SCCM
圧力 0.47Pa
RFパワー 1kW(13.56MHz)
基板温度 150℃とした。
【0026】
次に、ソース/ドレイン領域7の表面に自己整合的をシリサイド化するための2段階RTA(ラピッド・サーマル・アニール)を行った。すなわちまず、図4に示される状態の基体をRTA装置に搬入し、一例としてN流量5SLM,650℃,30秒間の条件で1回目RTAを行ってC49構造のTiSix膜を形成した。これにより、Si系材料層の露出面がTi膜10と接触している領域、すなわちソース/ドレイン領域7の表面において自己整合的にシリサイド形成反応が進行した。ここで、基体を一旦アンモニア過水(NHOH/H混合水溶液)に浸漬して未反応のTi膜を選択的に溶解除去した後、たとえばN流量5SLM,800℃,30秒間の条件で2回目RTAを行った。この結果、図5に示されるように、ソース/ドレイン領域7の表面にTiSix膜11が選択的に形成された。
【0027】
次に、図6に示されるように、基体の全面を膜厚約50nmのSiNエッチング停止膜12でほぼコンフォーマルに被覆した。このときの成膜条件はたとえば、
SiCl流量 50SCCM
NH流量 200SCCM
流量 200SCCM
圧力 70Pa
基板温度 700℃
とした。
【0028】
この後、SiNエッチング停止膜12の上に層間絶縁膜13(SiOx/BPSG)を積層した。この層間絶縁膜13は、膜質に優れる厚さ約100nmのSiOx膜と、リフロー特性に優れる厚さ約500nmのBPSG(ホウ素・リン・シリケート・ガラス)膜とをこの順に成膜したものである。これらの膜の成膜条件はたとえば、
(SiOx膜の成膜条件)
CVD装置 LPCVD装置
SiH流量 30SCCM
流量 540SCCM
圧力 10.2Pa
基板温度 400℃
(BPSG膜の成膜条件)
CVD装置 常圧条件
SiH流量 40SCCM
PH流量 10SCCM
流量 13SCCM
圧力 101080Pa
基板温度 520℃
のとおりとした。
【0029】
次に、上記層間絶縁膜13の上でコンタクトホール・エッチングのマスクとなるレジスト・パターン14(PR)を形成した。コンタクトホールは、理想的にはソース/ドレイン領域7の中央に臨んで開口されるが、図示される例ではその開口位置を決めるレジスト・パターン14全体に向かって右方向へずれている。すなわち、レジスト・パターン14の開口15の位置がLDDサイドウォール6とフィールド酸化膜2に重複している。図6には、ここまでのプロセスを示した。
【0030】
この状態で、次にコンタクトホールを開口するためのドライエッチングを行った。このときのエッチングには一例として有磁場マイクロ波プラズマ・エッチング装置を用い、次の条件
CHF流量 30SCCM
CH流量 10SCCM
圧力 0.27Pa
マイクロ波パワー 1200W(2.45GHz)
RFバイアス・パワー 250W(800kHz)
基板温度 20℃
オーバーエッチング率 50%
を採用し、図7に示されるようなコンタクトホール16を形成した。この後、アッシングを行ってレジスト・パターン14を除去した。
【0031】
従来、SiNエッチング停止膜を用いるSACプロセスでコンタクトホール・エッチングを行う場合、一般的にはまずSiNエッチング停止膜12に対して高選択比を確保できる条件でまず層間絶縁膜13をエッチングし、続いてオフセット酸化膜,LDDサイドウォール,フィールド酸化膜等の通常SiOx膜で形成される部材に対して高選択比を確保できる条件でSiNエッチング停止膜12をエッチングするという、2段階エッチングが行われる。
【0032】
しかし、本実施例ではLDDサイドウォール6とフィールド酸化膜2がそれぞれWSixからなる部分型エッチングストッパ膜8F,8Gで被覆されているため、上述のようにSiOx系膜とSiN膜とを一括してエッチングできる条件(ただし、SiN膜の方がエッチング速度は遅い)を採用しても、エッチングはこの部分型エッチングストッパ膜8F,8Gの上で停止する。しかも、この部分型エッチングストッパ膜は8F,8Gはコンタクトホール16の重ね合わせ誤差の発生予測範囲をカバーするように形成されているので、該コンタクトホール16の重ね合わせ誤差が最も大きく生じた場合でも、その底面の一部は必ず部分型エッチングストッパ膜8F,8Gの上に存在する。したがって、コンタクトホール・エッチング時にサイドウォール6やフィールド酸化膜2に穴が開く虞れはない。
【0033】
次に、上記コンタクトホール16を介して下地にコンタクト・イオン注入を行った。このイオン注入は、pMOS形成領域についてはイオン種BF ,イオン加速エネルギー30keV,ドース量3×1015/cmの条件で、またnMOS形成領域についてはイオン種As,イオン加速エネルギー30keV,ドース量5×1015/cmの条件で行った。この後、N雰囲気中,850℃,30秒間の条件で不純物活性化アニールを行った。
【0034】
この後は常法にしたがい、図8に示されるような上層配線の形成を行った。この上層配線は、コンタクトホール16の内部に埋め込まれるプラグ17(Ti/W)と、これに接続される配線パターン18(Ti/Al)からなる。
【0035】
まず、上記プラグ17は、スパッタ成膜されたTi/TiN系密着膜とブランケットW−CVDにより成膜されたW膜とをエッチバックすることにより形成した。これらの各プロセスの条件は、一例として
(プラグ17部のTi膜の成膜条件)
装置 マグネトロン・スパッタリング装置
ターゲット Ti
Ar流量 100SCCM
圧力 0.47Pa
RFパワー 8kW(13.56MHz)
基板温度 150℃
膜厚 10nm
(TiN膜の成膜条件)
装置 マグネトロン・スパッタリング装置
ターゲット Ti
Ar流量 40SCCM
流量 20SCCM
圧力 0.47Pa
RFパワー 5kW(13.56MHz)
基板温度 150℃
膜厚 70nm
(W膜の成膜条件)
装置 LPCVD装置
WF流量 75SCCM
Ar流量 2200SCCM
流量 300SCCM
流量 500SCCM
圧力 10640Pa
基板温度 450℃
膜厚 400nm
(W膜とTi/TiN膜のエッチバック条件)
装置 平行平板型RIE装置
SF流量
50SCCM
圧力 1.33Pa
RFパワー 150W(13.56MHz)
基板温度 25℃(室温)
とした。
【0036】
一方の上記配線パターン18は、TiバリヤメタルとAl−1%Si膜の積層膜をパターニングすることにより形成されている。各プロセスの条件は、たとえば、
(Tiバリヤメタルの成膜条件)
装置 マグネトロン・スパッタリング装置
ターゲット Ti
Ar流量 100SCCM
圧力 0.47Pa
RFパワー 4kW(13.56MHz)
基板温度 150℃
膜厚 30nm
(Al−1%Si膜の成膜条件)
装置 マグネトロン・スパッタリング装置
ターゲット Al−1%Si
Ar流量 50SCCM
圧力 0.47Pa
RFパワー 22.5kW(13.56MHz)
基板温度 150℃
膜厚 500nm
(Al−1%膜とTi膜のドライエッチング条件)
装置 有磁場マイクロ波プラズマ・エッチング装置
BCl 60SCCM
Cl 90SCCM
圧力 0.016Pa
マイクロ波パワー 1000W
RFバイアス・パワー 50W(800kHz)
基板温度 25℃(室温)
とした。
【0037】
以上のようにして形成されたMOSトランジスタの構成上の特色は、図8からも明らかなように、部分型エッチングストッパ膜8F,8Gがソース/ドレイン領域7の取出し電極として利用されている点である。このため、コンタクトホール16の底面の一部がたとえLDDサイドウォール6やフィールド酸化膜2の上方に掛かったとしても、コンタクト抵抗の上昇が最小限に抑えられ、重ね合わせ誤差に対して強い構造が達成されている。
【0038】
参考例
次いで、後述する実施例2の前提となる参考例について説明する。本参考例では、フィールド酸化膜とLDDサイドウォールを一括して保護するためのソース/ドレイン全面被覆型エッチングストッパ膜を、WSix膜とTiSix膜の積層膜を用いて形成した。本参考例のプロセスを、図9〜図14を参照しながら説明する。
【0039】
図9は、前掲の図1に示される基体の全面を被覆して膜厚約30nmのWSix膜19と膜厚約30nmのポリシリコン膜20(polySi)がこの順に積層され、この上にレジスト・パターン21(PR)が形成された状態を示している。上記WSix膜19とポリシリコン膜20の積層順は、図から明らかなように、通常のW−ポリサイド膜とは逆である。下層側のWSix膜19の成膜条件は、たとえば実施例1で上述したとおりである。上層側のポリシリコン膜20は、後工程でシリサイド化されるための原料として成膜されており、その成膜条件はたとえば、
装置 LPCVD装置
SiH流量 100SCCM
He流量 400SCCM
流量 200SCCM
圧力 70Pa
基板温度 610℃
とした。
【0040】
また、上記レジスト・パターン21は、一方のエッジがフィールド酸化膜2、他方のエッジがオフセット酸化膜5上に掛かる様に形成される。この形成範囲はもちろん、後工程で形成されるコンタクトホールの重ね合わせ誤差の発生予測範囲をカバーしている。
【0041】
次に、このレジスト・パターン21をマスクとして上記ポリシリコン膜20とWSix膜19のドライエッチングを行い、図10に示されるようなポリシリコン膜パターン20aとWSix膜パターン19aを形成した。このドライエッチングは、前述の実施例1におけるWSix膜8のエッチング条件と同じ条件で行った。
【0042】
次に、緩衝化希フッ酸溶液による自然酸化膜(図示せず。)の除去を経て、図11に示されるように基体の全面を厚さ約30nmのTi膜22で被覆し、さらにシリサイド化アニールを行って上記ポリシリコン膜パターン20aを図12に示されるようなTiSix膜23に変化させた。Ti膜22の成膜条件やシリサイド化アニール条件は、いずれも実施例1で上述したとおりである。以上のようにして形成されたWSix膜パターン19aとTiSix膜23とが共同して、ソース/ドレイン全面被覆型エッチングストッパ膜24(以下、全面型エッチングストッパ膜24と称する。)として機能することになる。
【0043】
次に、図13に示されるように、基体の全面をほぼコンフォーマルに被覆するSiNエッチング停止膜25と、基体の全面をほぼ平坦化する層間絶縁膜26(SiOx/BPSG)とを順次成膜し、レジスト・パターニングおよびドライエッチングを経てコンタクトホール27を形成した。このドライエッチングは、上記全面型エッチングストッパ膜24の上で停止するので、図示されるようにコンタクトホール27が正位置からずれていても、LDDサイドウォール6やフィールド酸化膜2に穴が開くことはない。
【0044】
この後、図14に示されるように、常法にしたがってコンタクトホール27をプラグ28(Ti/W)で埋め込み、さらに配線パターン29(Ti/Al)を形成してMOSトランジスタを完成させた。このようにして形成されたMOSトランジスタの構成上の特色は、図からも明らかなように、全面型エッチングストッパ膜24がソース/ドレイン領域7と全面的に接触し、ソース/ドレイン取出し電極として利用されている点である。しかも、コンタクトホール16の底面に露出する部分が全面的に2層構造のストッパ膜となるので、ソース/ドレイン領域7へのダメージが少ないというメリットもある。
【0045】
実施例2
本実施例では上述した参考例の変形例として、前述の全面型エッチングストッパ膜24の上層側を構成するTiSix膜の形成範囲をソース/ドレイン領域7の直上部にのみ限定し、本質的にストッパ膜として機能する部分を単一材料膜(WSix膜)で構成することにより、プロセスの安定性向上を図った。本実施例のプロセスを、図15〜図21を参照しながら説明する。なお、各プロセス条件は特に断らない限り、実施例1および参考例で上述した条件と同様とする。
【0046】
図15は、WSix膜19とポリシリコン膜20(polySi)との積層膜上におけるレジスト・パターン30(PR)の形成位置を、ソース/ドレイン領域7上の平坦部に限定した状態を示している。このレジスト・パターン30を介して上層側のポリシリコン膜20のみをドライエッチングし、図16に示されるように平坦部のみからなるポリシリコン膜パターン20bを形成した。この後、アッシングによりレジスト・パターン30を除去した。
【0047】
次に、緩衝化希フッ酸溶液による自然酸化膜の除去を経て、図17に示されるように基体の全面を厚さ約30nmのTi膜31で被覆し、さらにシリサイド化アニールを行って上記ポリシリコン膜パターン20bを図18に示されるようなTiSix膜32に変化させた。続いて、レジスト・パターニングとドライエッチングとを経てWSix膜19をパターニングし、図19に示されるようなWSix膜パターン19aを形成した。このWSix膜パターン19aは、後工程で形成されるコンタクトホールの重ね合わせ誤差の発生予測範囲をカバーすべく、ゲート側からフィールド側へ掛けて延在されている。
【0048】
次に、図20に示されるように、基体の全面をほぼコンフォーマルに被覆するSiNエッチング停止膜33と、基体の全面をほぼ平坦化する層間絶縁膜34(SiOx/BPSG)とを順次成膜し、レジスト・パターニングおよびドライエッチングを経てコンタクトホール35を形成した。このドライエッチングは、TiSix膜32およびWSix膜パターン19aの露出面上で停止するが、TiSix膜32は主としてシート抵抗やコンタクト抵抗の低減に寄与しており、LDDサイドウォール6やフィールド酸化膜2の保護は主としてWSix膜パターン19aのみが担当することになる。TiSix膜32は、シリサイド化反応の進行の度合いによりエッチング耐性が変動する可能性があるため、かかる変動を生じ難いWSix膜パターン19aを実質的なエッチング停止膜として用いることは、エッチング・プロセスの安定性向上につながる。
【0049】
この後、図21に示されるように、常法にしたがってコンタクトホール35をプラグ36(Ti/W)で埋め込み、さらに配線パターン37(Ti/Al)を形成してMOSトランジスタを完成させた。このようにして形成されたMOSトランジスタの構成上の特色は、図からも明らかなように、ソース/ドレイン領域7に低抵抗導電膜が全面的に接触されていると共に、その一部がゲート側とフィールド側へ延在され、コンタクトホールに重ね合わせずれが生じた場合にもこの延在部をソース/ドレイン取出し電極として利用可能となされている点にある。したがって、シート抵抗低減とコンタクト抵抗低減の双方が実現されている。
【0050】
以上、本発明の具体的な実施例を2例挙げたが、本発明はこれらの実施例に何ら限定されるものではなく、堆積,イオン注入,ドライエッチング,アニール等のプロセス条件、膜厚、デバイス構造は適宜変更や選択が可能である。たとえばデバイス構造に関しては、実施例1、2で上述したようなシングルゲート型のMOSトランジスタに限られず、ダブルゲート型のMOSトランジスタを構成することも可能である。
【0051】
図22は、その一例として部分型エッチングストッパ膜を形成した段階におけるダブルゲート型のMOSトランジスタを示す上面図である。フィールド酸化膜70のエッジ71により規定される正方形の素子形成領域には2本のゲート電極73(図中、斜線を施した部分)が形成されており、このゲート電極73にマスキングされない領域がソース/ドレイン領域75とされている。ゲート側では、上記ゲート電極73からそのエッジ74を跨いでソース/ドレイン領域75へ延在されるゲート側ストッパ膜76Gが形成され、フィールド側では上記フィールド酸化膜からそのエッジ71を跨いでソース/ドレイン領域75へ延在されるフィールド側ストッパ膜76Fが形成される。これらゲート側ストッパ膜76Gとフィールド側ストッパ膜76Fは、後工程で形成されるコンタクトホールの重ね合わせずれの発生予測範囲をカバーできる幅に形成されており、その構成材料はWSix膜,W−ポリサイド膜,W−ポリサイド/TiSix膜等である。
【0052】
また、上述の各実施例ではいずれもSiNエッチング停止膜12,33,を用いるプロセスについて述べたが、この膜は省略することも可能である。ただし、SiNエッチング停止膜を省略した場合に層間絶縁膜13,34,が平坦化されていると、ストッパ膜が長時間のオーバーエッチングに曝されることになる。したがって、省略する場合には該形成ストッパのエッチング耐性を考慮すると層間絶縁膜をコンフォーマル形状とする方が良く、層間絶縁膜を平坦化したければSiNエッチング停止膜は設けた方が良い。
【0053】
【発明の効果】
以上の説明からも明らかなように、本発明を適用すれば、MOSトランジスタのソース/ドレイン領域のシート抵抗やコンタクト抵抗の低減を図りながら、コンタクトホールの重ね合わせずれに対するマージンを大きく確保することができる。このため、半導体デバイスのデザイン・ルールが今後一層縮小されたとしても、高速動作を行うMOSトランジスタを高い歩留まりをもって製造することが可能となる。
【図面の簡単な説明】
【図1】 MOSトランジスタの製造プロセス(実施例1)において、ゲート電極,LDDサイドウォール,ソース/ドレイン領域を形成した状態を示す模式的断面図である。
【図2】 図1の基体の全面にWSix膜を成膜し、レジスト・パターニングを行った状態を示す模式的断面図である。
【図3】 図2のWSix膜をドライエッチングし、フィールド側とゲート側に部分型エッチングストッパ膜を形成した状態を示す模式的断面図である。
【図4】 図3の基体の全面にシリサイド化用のTi膜を成膜した状態を示す模式的断面図である。
【図5】 シリサイド化アニールを行い、図4のソース/ドレイン領域の表面の一部に自己整合的にTiSix膜を形成した状態を示す模式的断面図である。
【図6】 図5の基体の全面にSiNエッチング停止膜と層間絶縁膜とを順次成膜し、さらにコンタクトホール形成用のレジスト・パターニングを行った状態を示す模式的断面図である。
【図7】 図6の層間絶縁膜とSiNエッチング停止膜とを順次エッチングしてコンタクトホールを開口した状態を示す模式的断面図である。
【図8】 図7のコンタクトホールを被覆して上層配線を形成した状態を示す模式的断面図である。
【図9】 本発明の前提となるMOSトランジスタの製造プロセス(参考例)において、図1の基体の全面にWSix膜とポリシリコン膜とをこの順に積層し、さらにレジスト・パターニングを行った状態を示す模式的断面図である。
【図10】 図9のポリシリコン膜とWSix膜とをドライエッチングし、ゲート側からフィールド側へわたるパターンを形成した状態を示す模式的断面図である。
【図11】 図10の基体の全面にシリサイド化用のTi膜を成膜した状態を示す模式的断面図である。
【図12】 シリサイド化アニールを行って図11のポリシリコン膜を自己整合的にTiSix膜に変化させ、ソース/ドレイン全面被覆型エッチングストッパ膜を形成した状態を示す模式的断面図である。
【図13】 図12の基体の全面を被覆して順次成膜されたSiNエッチング停止膜と層間絶縁膜に、コンタクトホールを開口した状態を示す模式的断面図である。
【図14】 図13のコンタクトホールを被覆して上層配線を形成した状態を示す模式的断面図である。
【図15】 MOSトランジスタの製造プロセス(実施例2)において、図1の基体の全面にWSix膜とポリシリコン膜とをこの順に積層し、さらにレジスト・パターニングを行った状態を示す模式的断面図である。
【図16】 図15のレジスト・パターンをマスクとしてポリシリコン膜のみをエッチングし、該ポリシリコン膜のパターンをソース/ドレイン領域上の平坦部に残した状態を示す模式的断面図である。
【図17】 図16の基体の全面にシリサイド化用のTi膜を成膜した状態を示す模式的断面図である。
【図18】 自己整合的シリサイド化アニールを行い、図17のポリシリコン膜のパターンをTiSix膜に変化させた状態を示す模式的断面図である。
【図19】 図18のWSix膜をドライエッチングし、ソース/ドレイン全面被覆型エッチングストッパ膜を形成した状態を示す模式的断面図である。
【図20】 図19の基体の全面を被覆して順次成膜されたSiNエッチング停止膜と層間絶縁膜に、コンタクトホールを開口した状態を示す模式的断面図である。
【図21】 図20のコンタクトホールを被覆して上層配線を形成した状態を示す模式的断面図である。
【図22】 部分型エッチングストッパ膜を形成した段階におけるダブルゲート型MOSトランジスタのレイアウト例を示す上面図である。
【図23】 従来のMOSトランジスタの製造プロセスにおいて、自己整合的シリサイド化を行った基体を層間絶縁膜で平坦化し、さらにレジスト・パターニングを行った状態を示す模式的断面図である。
【図24】 図23の層間絶縁膜にコンタクトホールを開口する際に、LDDサイドウォールとフィールド酸化膜に穴が開いた状態を示す模式的断面図である。
【符号の説明】
1 Si基板、2 フィールド酸化膜、3 ゲート酸化膜、4 ゲート電極、5 オフセット酸化膜、6 LDDサイドウォール、7 ソース/ドレイン領域、8G (ゲート側の)部分型エッチングストッパ膜、8F (フィールド側の)部分型エッチングストッパ膜、11,32 TiSix膜、12,33 SiNエッチング停止膜、13,34 層間絶縁膜(SiOx/BPSG)、16,35 コンタクトホール、19a WSix膜パターン
[0001]
BACKGROUND OF THE INVENTION
  The present invention relates to a MOS transistor capable of increasing tolerance of overlay deviation at the time of forming a contact hole and reducing contact resistance and sheet resistance, and a manufacturing method thereof.
[0002]
[Prior art]
  In ultra-highly integrated semiconductor devices such as VLSI and ULSI in recent years, more and more advanced process technology is required as design rules are reduced.
[0003]
  For example, under the design rule of 0.3 μm and later, when trying to determine the design margin of the connection hole in consideration of the variation in overlay error with the lower layer wiring, the design dimension of the connection hole (= hole diameter + design margin) ) Is becoming too large. If it is attempted to deal with this problem by increasing the line width of the lower layer wiring, reduction of the chip area and high integration are hindered. Conversely, if the hole diameter is reduced, the hole pattern cannot be resolved. The above-described variation in overlay error is caused by insufficient alignment performance of a reduction projection exposure apparatus used in photolithography. Moreover, this variation is an item that is particularly difficult to scale down among various scaling factors included in the semiconductor process, and is said to be a factor that determines the limit of the exposure technology beyond the resolution.
[0004]
  Against this background, a self-aligned contact (SAC) process that can eliminate the design margin for alignment on a photomask has been proposed. Various types of SAC processes are known, but the upper part and side walls of the wiring are covered with a SiN film, or a single layer of SiN film is interposed between the wiring and the interlayer insulating film, and these SiN films are formed. Processes that are used as etch stop films are best studied. This is because an extra exposure step is not required and the interlayer insulating film can be planarized.
[0005]
  On the other hand, reducing the resistance of the wiring is also an important issue. What is reduced along with higher integration of semiconductor devices is not only the hole diameter and wiring width, but also the thickness (junction depth) of the diffusion layer constituting the source / drain regions. However, when the junction becomes shallower, the sheet resistance increases. For example, when the junction depth is about 0.06 μm under the design rule of 0.1 μm, the sheet resistance reaches 1 kΩ / □. This causes a significant decrease in response speed in a device using a diffusion layer as an electrode, such as an ASIC.
[0006]
  There is known a technique for reducing the resistance of the diffusion layer by forming a metal silicide layer on the surface thereof. In general, the metal silicide layer is formed by thinly depositing a metal film capable of forming silicide on the entire surface of the substrate including the exposed portion of the silicon (Si) -based material layer, and then performing a heat treatment, so that the metal film, the Si-based material layer, It is formed by a method in which a self-aligned silicidation reaction (SALICIDE) proceeds in a portion where the contact is made. Transition metals such as Ti and Mo are most often used as the metal capable of forming silicide, and a TiSix film and a MoSix film are formed on the source / drain regions of the MOS transistor and the surface of the gate electrode. If a contact hole is opened facing this source / drain region and the inside thereof is filled with a metal plug, the contact area between the metal plug and Si, which actually determines the contact resistance, is much wider than the actual contact area. Since it is close to the entire drain region, it is possible to effectively reduce the contact resistance.
[0007]
[Problems to be solved by the invention]
  By the way, it is desired that both the above-described SAC and SALICIDE processes are applied simultaneously in the production of semiconductor devices of the future generation. However, there is a possibility that the following problems may occur. This problem will be described with reference to FIGS.
[0008]
  FIG. 23 shows a process for manufacturing a MOS transistor having an LDD structure. After forming a gate electrode 84 (polySi / WSix) and a source / drain region 87 in an element formation region, an interlayer insulating film 90 is interposed via a SiN etching stop film 89. The figure shows a state in which (SiOx / BPSG) is formed almost flat and resist patterning is performed thereon. The process so far will be briefly described. First, a field oxide film 82 (SiO 2) is formed on a Si substrate 81 by a known selective oxidation separation method (LOCOS) method.2), And the gate oxide film 83 is formed by oxidizing the entire surface of the element formation region defined by the field oxide film 82. Then, a W-polycide film (polySi / WSix) and a SiOx film are sequentially stacked. The laminated film is patterned to form an offset oxide film 85 (SiOx) and a gate electrode 84. Subsequently, an LDD region is formed by low concentration ion implantation, an LDD sidewall 86 is formed by depositing the entire surface of the SiOx film and etching back, and a source / drain region 87 is formed by high concentration ion implantation.
[0009]
  Next, a thin Ti film is formed on the entire surface of the substrate, and silicidation annealing is performed to form a TiSix film 88 on the surface of the source / drain region 87. The TiSix film 88 normally has a shape that slightly rises from the element formation region onto the LDD sidewall 86 and the field oxide film 82. The length of the creeping portion increases by increasing the thickness of the Ti film first formed on the entire surface and the annealing time, and these conditions are advantageous in order to reduce the resistance. On the other hand, however, an increase in the length of the scooping portion increases process instability. Further, when the offset oxide film 85 is not provided so that the upper surface of the gate electrode 84 can be silicided, a long rising portion causes a short circuit between the gate electrode 84 and the source / drain region 87. Therefore, usually, the process is optimized so that the length of the creeping portion can be reduced as much as possible while reducing the resistance.
[0010]
  Further, after covering the entire surface of the substrate with a conformal SiN etching stop film 89, the surface of the substrate is substantially planarized by the interlayer insulating film 90. The interlayer insulating film 90 is a laminated film of, for example, a SiOx film and a boron / phosphorus / silicate / glass (BPSG) film. On this interlayer insulating film 90, a resist pattern 91 (PR) serving as a contact hole etching mask is formed. The opening 92 of the resist pattern 91 should be formed facing the center of the source / drain region 87 in an ideal state without misalignment. In the illustrated example, the opening 92 and the LDD sidewall 86 are located. It overlaps with the field oxide film 82.
[0011]
  In this state, the exposed portion of the interlayer insulating film 90 is first selectively removed by dry etching under conditions that can ensure a high selectivity with respect to the SiN etching stop film 89, and the SiN etching stop film 89 is exposed. Etching is stopped once. Next, the exposed portion of the SiN etching stop film 89 is selectively removed under conditions that can ensure a high selectivity with respect to the TiSix film 88, thereby forming a contact hole 93 as shown in FIG. However, the conditions that can ensure a high selectivity with respect to the TiSix film 88 cannot generally guarantee a high selectivity with respect to the SiOx-based material film. For this reason, when the LDD sidewall 86 and the field oxide film 82 are exposed on a part of the bottom surface of the contact hole 93, the SiOx film is eroded from the exposed portion, and a hole 94 as shown is opened. End up. When such a hole 94 exists, when performing so-called contact ion implantation for compensating for impurities in the source / drain region 87 removed during the contact hole etching and reducing the contact resistance, a base through the hole 94 is provided. Impurities are also introduced into the semiconductor device, resulting in degradation of device characteristics such as breakdown voltage degradation and increased junction leakage. If the rising length of the TiSix film 88 is increased, this problem seems to be solved at first glance, but this length cannot be increased for the reasons described above.
[0012]
  Thus, the process of applying SAC while reducing the sheet resistance and contact resistance of the source / drain regions is difficult to realize at present. It is an object of the present invention to provide a MOS transistor and a method for manufacturing the same that can satisfy both of these requirements.
[0013]
[Means for Solving the Problems]
  The MOS transistor of the present invention is proposed in order to achieve the above-mentioned object, and the field insulating film and the etching selection are formed in the region on the field insulating film where contact hole misalignment is expected to occur. With a conductive filmetchingBy forming the stopper film, even if the opening position of the contact hole is shifted, it is possible to prevent the field insulating film from being opened. Also thisetchingWhen the stopper film is also used on the gate electrode side, it is necessary to form a sidewall on the side wall surface of the gate electrode in order to prevent a short circuit between the gate electrode and the stopper film. Furthermore, in order to insulate the upper surface of the gate electrode, if an offset insulating film is formedetchingThe formation range of the stopper film can be expanded, which is more preferable.
[0014]
  the aboveetchingThe stopper film may cover the entire surface of the source / drain region or may be separated in the middle. In case of full-coverage type,etchingAll or part of the surface of the stopper film can be silicided. In the case of a partially covered type, it is effective to silicide the surface of the source / drain region corresponding to this portion in order to reduce the resistance of the separated portion.
[0015]
  Next, a method for manufacturing the above-described MOS transistor is described. First, a gate electrode andetchingWhen the stopper film is formed of another conductive film, this is basically applied to a conventionally known MOS transistor manufacturing process.etchingForming a stopper film andetchingA stopper film may be added, and a SALICIDE process is appropriately added thereto.
[0016]
DETAILED DESCRIPTION OF THE INVENTION
  According to the present invention,etchingWhen the stopper film is made of a conductive film, at least part of the bottom surface of the contact holeetchingEven if it is placed on the stopper film, this film functions as a source / drain extraction electrode as it is, so that the contact resistance can be reduced. In addition, if the surface of the source / drain region is silicided in a self-aligned manner, the sheet resistance can be reduced. In this way, a combination of SAC and SALICIDE is possible at a practical level.
[0017]
  By the way, in a normal silicon device, a field insulating film and a side wall insulating film are formed of a silicon oxide-based material (SiOx). On the other hand, as a conductive film capable of securing an etching selectivity, W, Mo, Metal films such as Ti, Al, and Cu, metal compound films such as TiN, TiON, TiO, and WN, transition metal silicide films such as TiSix, CoSix, NiSix, WSix, MoSix, PtSix, ZrSix, and HfSix, or the upper layer side thereof Alternatively, a multilayer film in which a polycrystalline, amorphous, or single crystal Si film is laminated on the lower layer side can be given.
[0018]
【Example】
  Hereinafter, specific examples of the present invention will be described.
[0019]
  Example 1
  This embodiment is a partial type for protecting each of a field oxide film and an LDD sidewall in a MOS transistor manufacturing process.etchingA stopper film is formed using a WSix film, and both of these partial types are used in the source / drain regions.etchingIn this example, the portion exposed in the middle of the stopper film is silicided in a self-aligning manner to reduce the resistance. The process of the present embodiment will be described with reference to FIGS. However, these drawings show either one of a pMOS transistor and an nMOS transistor that constitute a CMOS transistor, and a description will be given in a form in which two types of processes are described together with respect to a portion where the processes differ between the two transistors.
[0020]
  FIG. 1 shows a state where a gate electrode 4 and a source / drain region 7 having an LDD structure are formed in an element formation region. The process so far will be briefly described. First, the field oxide film 2 (SiO 2) is formed on the Si substrate 1 by a known selective oxidation separation method (LOCOS) method.2And the entire surface of the element formation region defined by the field oxide film 2 is thermally oxidized by a pyrogenic oxidation method to form a gate oxide film 3 having a thickness of about 10 nm. Next, a W-polycide film (polySi / WSix) having a thickness of about 140 nm and a SiOx film having a thickness of about 100 nm are sequentially laminated on the entire surface of the substrate, and the laminated film is patterned to form an offset oxide film 5 (SiOx) and a gate. An electrode 4 was formed. Subsequently, an LDD region was formed by low-concentration ion implantation, an entire surface of an SiOx film having a thickness of about 200 nm was deposited, and an LDD sidewall 6 was formed by etching back the SiOx film. Further, this substrate is carried into an oxidation furnace, and O2After forming a channeling-preventing SiOx film (not shown) to a thickness of about 10 nm under conditions of a flow rate of 4 SLM and 800 ° C. for 10 minutes, source / drain regions 7 were sequentially formed by high-concentration ion implantation. . This high-concentration ion implantation is performed, for example, with respect to the pMOS formation region by ion species BF.2 +, Ion acceleration energy 40 keV, dose amount 3 × 1015/ Cm2In the nMOS formation region, the ion species As+, Ion acceleration energy 50 keV, dose amount 3 × 1015/ Cm2It went on condition of.
[0021]
  The introduced impurity is N2Activation was performed by annealing at 1000 ° C. for 10 seconds in an atmosphere.
[0022]
  Next, LPCVD by a dichlorosilane reduction method was performed to form a WSix film 8 with a thickness of about 30 nm on the entire surface of the substrate as shown in FIG. This WSix film 8 is subjected to patterning later to form a partial type.etchingIt is a film that becomes a stopper film.
  WF6Flow rate 2.8SCCM
  SiCl2H2Flow rate 300SCCM
  Ar flow rate 50SCCM
  Pressure 20Pa
  Substrate temperature 520 ° C
It was.
[0023]
  Subsequently, resist patterns 9F and 9G (PR) were formed on the WSix film 8. Here, the resist pattern 9F (subscript F indicates that it is formed on the field side; the same applies hereinafter) covers the region extending from the element formation region to the field oxide film 2, and the resist pattern 9G (subscript G is the gate side). The same applies hereinafter) covers the region from the element formation region through the LDD sidewall 6 to the end of the offset oxide film 5. This covering region is determined based on a predicted range of occurrence of overlay deviation of contact holes formed facing the source / drain regions in a later step.
[0024]
  Next, the WSix film 8 was dry-etched using a magnetic field microwave plasma etching apparatus. Etching conditions at this time are, for example,
  SF6Flow rate 25SCCM
  Cl2Flow rate 20SCCM
  Pressure 1Pa
  Microwave power 950W (2.45GHz)
  RF bias power 50W (800kHz)
  Substrate temperature 25 ° C (room temperature)
It was. Thereafter, ashing was performed to remove the resist patterns 9G and 9F. As a result, as shown in FIG.etchingStopper films 8F and 8G were formed.
[0025]
  Next, the substrate was washed with a buffered dilute hydrofluoric acid solution to remove a natural oxide film (not shown) on the surface of the source / drain region 7. Thereafter, magnetron sputtering was immediately performed, and a Ti film 10 having a thickness of about 30 nm was formed on the entire surface of the substrate as shown in FIG. The Ti film 10 is a raw material for forming a silicide film, and the film formation conditions are, for example,
  Target Ti
  Ar flow rate 100SCCM
  Pressure 0.47Pa
  RF power 1kW (13.56MHz)
  The substrate temperature was 150 ° C.
[0026]
  Next, two-stage RTA (rapid thermal annealing) was performed on the surface of the source / drain region 7 for silicidation in a self-aligned manner. That is, first, the substrate in the state shown in FIG. 4 is carried into the RTA apparatus.2A first RTA was performed under the conditions of a flow rate of 5 SLM, 650 ° C., and 30 seconds to form a TiSix film having a C49 structure. Thereby, the silicide formation reaction proceeded in a self-aligned manner in the region where the exposed surface of the Si-based material layer is in contact with the Ti film 10, that is, the surface of the source / drain region 7. Here, the substrate is once treated with ammonia overwater (NH4OH / H2O2In the mixed aqueous solution), the unreacted Ti film is selectively dissolved and removed.2The second RTA was performed under the conditions of a flow rate of 5 SLM, 800 ° C., and 30 seconds. As a result, a TiSix film 11 was selectively formed on the surface of the source / drain region 7 as shown in FIG.
[0027]
  Next, as shown in FIG. 6, the entire surface of the substrate was almost conformally covered with a SiN etching stop film 12 having a thickness of about 50 nm. The film formation conditions at this time are, for example,
  SiCl2H2Flow rate 50SCCM
  NH3Flow rate 200SCCM
  N2Flow rate 200SCCM
  Pressure 70Pa
  Substrate temperature 700 ° C
It was.
[0028]
  Thereafter, an interlayer insulating film 13 (SiOx / BPSG) was laminated on the SiN etching stop film 12. This interlayer insulating film 13 is formed by sequentially forming a SiOx film having a thickness of about 100 nm and a BPSG (boron / phosphorus / silicate / glass) film having a thickness of about 500 nm having excellent reflow characteristics in this order. The film formation conditions of these films are, for example,
(Deposition conditions for SiOx film)
  CVD equipment LPCVD equipment
  SiH4Flow rate 30SCCM
  O2Flow rate 540SCCM
  Pressure 10.2Pa
  Substrate temperature 400 ° C
(BPSG film deposition conditions)
  CVD equipment Normal pressure conditions
  SiH4Flow rate 40SCCM
  PH3Flow rate 10SCCM
  B2H4Flow rate 13SCCM
  Pressure 101080Pa
  Substrate temperature 520 ° C
It was as follows.
[0029]
  Next, a resist pattern 14 (PR) serving as a contact hole etching mask was formed on the interlayer insulating film 13. The contact hole is ideally opened toward the center of the source / drain region 7, but in the illustrated example, the contact hole is shifted to the right toward the entire resist pattern 14 that determines the opening position. That is, the position of the opening 15 of the resist pattern 14 overlaps the LDD sidewall 6 and the field oxide film 2. FIG. 6 shows the process so far.
[0030]
  In this state, next, dry etching for opening a contact hole was performed. As an example of the etching at this time, a magnetic field microwave plasma etching apparatus is used, and the following conditions are used.
  CHF3Flow rate 30SCCM
  CH2F2Flow rate 10SCCM
  Pressure 0.27Pa
  Microwave power 1200W (2.45GHz)
  RF bias power 250W (800kHz)
  Substrate temperature 20 ° C
  Overetch rate 50%
The contact hole 16 as shown in FIG. 7 was formed. Thereafter, ashing was performed to remove the resist pattern 14.
[0031]
  Conventionally, when performing contact hole etching in a SAC process using a SiN etching stop film, generally, first, the interlayer insulating film 13 is first etched under a condition that can ensure a high selection ratio with respect to the SiN etching stop film 12, and then Then, a two-step etching is performed in which the SiN etching stop film 12 is etched under a condition that can ensure a high selection ratio with respect to a member formed of a normal SiOx film, such as an offset oxide film, an LDD sidewall, and a field oxide film.
[0032]
  However, in this embodiment, the LDD sidewall 6 and the field oxide film 2 are partial types each made of WSix.etchingSince it is covered with the stopper films 8F and 8G, the etching can be performed even if the conditions that allow the SiOx-based film and the SiN film to be etched together as described above (however, the SiN film has a slower etching rate) are employed. Is this subtypeetchingStops on the stopper films 8F and 8G. Moreover, this partial typeetchingThe stopper films 8F and 8G are formed so as to cover the predicted range of occurrence of the overlay error of the contact hole 16. Therefore, even when the overlay error of the contact hole 16 is the largest, a part of the bottom surface of the stopper film is formed. Is always a partial typeetchingIt exists on the stopper films 8F and 8G. Therefore, there is no possibility that a hole is formed in the sidewall 6 or the field oxide film 2 at the time of contact hole etching.
[0033]
  Next, contact ions were implanted into the base via the contact hole 16. This ion implantation is performed for the pMOS formation region with the ion species BF.2 +, Ion acceleration energy 30 keV, dose amount 3 × 1015/ Cm2As for the nMOS formation region, the ionic species As+, Ion acceleration energy 30 keV, dose amount 5 × 1015/ Cm2It went on condition of. After this, N2Impurity activation annealing was performed in an atmosphere at 850 ° C. for 30 seconds.
[0034]
  Thereafter, according to a conventional method, an upper layer wiring as shown in FIG. 8 was formed. This upper layer wiring is composed of a plug 17 (Ti / W) buried in the contact hole 16 and a wiring pattern 18 (Ti / Al) connected thereto.
[0035]
  First, the plug 17 was formed by etching back the Ti / TiN adhesion film formed by sputtering and the W film formed by blanket W-CVD. The conditions for each of these processes are for example
  (Film formation conditions for plug 17 part Ti film)
  Equipment Magnetron sputtering equipment
  Target Ti
  Ar flow rate 100SCCM
  Pressure 0.47Pa
  RF power 8kW (13.56MHz)
  Substrate temperature 150 ° C
  Film thickness 10nm
  (TiN film formation conditions)
  Equipment Magnetron sputtering equipment
  Target Ti
  Ar flow rate 40SCCM
  N2Flow rate 20SCCM
  Pressure 0.47Pa
  RF power 5kW (13.56MHz)
  Substrate temperature 150 ° C
  Film thickness 70nm
  (W film formation conditions)
  Equipment LPCVD equipment
  WF6Flow rate 75SCCM
  Ar flow rate 2200SCCM
  N2Flow rate 300SCCM
  H2Flow rate 500SCCM
  Pressure 10640Pa
  Substrate temperature 450 ° C
  Film thickness 400nm
  (Etch back condition of W film and Ti / TiN film)
  Equipment Parallel plate RIE equipment
  SF6Flow rate
  50 SCCM
  Pressure 1.33Pa
  RF power 150W (13.56MHz)
  Substrate temperature 25 ° C (room temperature)
It was.
[0036]
  One wiring pattern 18 is formed by patterning a laminated film of a Ti barrier metal and an Al-1% Si film. The conditions of each process are, for example,
  (Ti barrier metal deposition conditions)
  Equipment Magnetron sputtering equipment
  Target Ti
  Ar flow rate 100SCCM
  Pressure 0.47Pa
  RF power 4kW (13.56MHz)
  Substrate temperature 150 ° C
  Film thickness 30nm
  (Al-1% Si film formation conditions)
  Equipment Magnetron sputtering equipment
  Target Al-1% Si
  Ar flow rate 50SCCM
  Pressure 0.47Pa
  RF power 22.5kW (13.56MHz)
  Substrate temperature 150 ° C
  Film thickness 500nm
  (Dry etching conditions for Al-1% film and Ti film)
  Equipment Magnetic field microwave plasma etching equipment
  BCl3  60 SCCM
  Cl2  90 SCCM
  Pressure 0.016Pa
  Microwave power 1000W
  RF bias power 50W (800kHz)
  Substrate temperature 25 ° C (room temperature)
It was.
[0037]
  The characteristic feature of the MOS transistor formed as described above is the partial type, as is apparent from FIG.etchingThe stopper films 8F and 8G are used as extraction electrodes for the source / drain regions 7. For this reason, even if a part of the bottom surface of the contact hole 16 is applied above the LDD sidewall 6 and the field oxide film 2, an increase in contact resistance is suppressed to a minimum, and a structure that is resistant to overlay errors is obtained. Has been achieved.
[0038]
  Reference example
  Next, a reference example as a premise of Example 2 described later will be described. In this reference example, the source / drain entire surface covering type for protecting the field oxide film and the LDD sidewall collectively.etchingThe stopper film was formed using a laminated film of a WSix film and a TiSix film. The process of this reference example will be described with reference to FIGS.
[0039]
  In FIG. 9, a WSix film 19 having a film thickness of about 30 nm and a polysilicon film 20 (polySi) having a film thickness of about 30 nm are laminated in this order so as to cover the entire surface of the substrate shown in FIG. A state in which the pattern 21 (PR) is formed is shown. As is apparent from the drawing, the stacking order of the WSix film 19 and the polysilicon film 20 is reverse to that of the normal W-polycide film. The film formation conditions of the lower-layer WSix film 19 are as described above in the first embodiment, for example. The polysilicon film 20 on the upper layer side is formed as a raw material for silicidation in a later process, and the film formation conditions are, for example,
  Equipment LPCVD equipment
  SiH4Flow rate 100SCCM
  He flow rate 400SCCM
  N2Flow rate 200SCCM
  Pressure 70Pa
  Substrate temperature 610 ° C
It was.
[0040]
  The resist pattern 21 is formed such that one edge is over the field oxide film 2 and the other edge is over the offset oxide film 5. This formation range, of course, covers the prediction range for occurrence of overlay errors in contact holes formed in a later process.
[0041]
  Next, using the resist pattern 21 as a mask, the polysilicon film 20 and the WSix film 19 were dry-etched to form a polysilicon film pattern 20a and a WSix film pattern 19a as shown in FIG. This dry etching was performed under the same conditions as the etching conditions of the WSix film 8 in Example 1 described above.
[0042]
  Next, a natural oxide film (not shown) is removed with a buffered dilute hydrofluoric acid solution, and the entire surface of the substrate is covered with a Ti film 22 having a thickness of about 30 nm as shown in FIG. Annealing was performed to change the polysilicon film pattern 20a into a TiSix film 23 as shown in FIG. The conditions for forming the Ti film 22 and the silicidation annealing conditions are all as described in the first embodiment. The WSix film pattern 19a and the TiSix film 23 formed as described above cooperate to form a source / drain entire surface covering type.etchingStopper film 24 (hereinafter referred to as full surface type)etchingThis is referred to as a stopper film 24. ).
[0043]
  Next, as shown in FIG. 13, an SiN etching stop film 25 that covers the entire surface of the substrate almost conformally and an interlayer insulating film 26 (SiOx / BPSG) that substantially flattens the entire surface of the substrate are sequentially formed. Then, a contact hole 27 was formed through resist patterning and dry etching. This dry etching is the above-mentioned whole surface typeetchingSince it stops on the stopper film 24, no hole is formed in the LDD sidewall 6 or the field oxide film 2 even if the contact hole 27 is displaced from the normal position as shown.
[0044]
  After that, as shown in FIG. 14, the contact hole 27 was filled with plugs 28 (Ti / W) according to a conventional method, and a wiring pattern 29 (Ti / Al) was formed to complete the MOS transistor. The characteristic feature of the MOS transistor formed in this way is the entire surface type, as is apparent from the figure.etchingThe stopper film 24 is in full contact with the source / drain region 7 and is used as a source / drain extraction electrode. In addition, since the portion exposed to the bottom surface of the contact hole 16 becomes a stopper film having a two-layer structure on the entire surface, there is an advantage that damage to the source / drain region 7 is small.
[0045]
  Example 2
  In this embodiment, as a modification of the reference example described above,etchingThe formation range of the TiSix film constituting the upper layer side of the stopper film 24 is limited only to the upper part of the source / drain region 7, and the part that essentially functions as the stopper film is formed of a single material film (WSix film). As a result, process stability was improved. The process of the present embodiment will be described with reference to FIGS. Each process condition is the same as that described in Example 1 and the reference example unless otherwise specified.
[0046]
  FIG. 15 shows a state where the formation position of the resist pattern 30 (PR) on the laminated film of the WSix film 19 and the polysilicon film 20 (polySi) is limited to the flat portion on the source / drain region 7. . Only the upper polysilicon film 20 was dry-etched through the resist pattern 30 to form a polysilicon film pattern 20b composed of only a flat portion as shown in FIG. Thereafter, the resist pattern 30 was removed by ashing.
[0047]
  Next, the natural oxide film is removed with a buffered dilute hydrofluoric acid solution, and the entire surface of the substrate is covered with a Ti film 31 having a thickness of about 30 nm as shown in FIG. The silicon film pattern 20b was changed to a TiSix film 32 as shown in FIG. Subsequently, the WSix film 19 was patterned through resist patterning and dry etching to form a WSix film pattern 19a as shown in FIG. The WSix film pattern 19a is extended from the gate side to the field side so as to cover a predicted range of occurrence of a contact hole overlay error formed in a later process.
[0048]
  Next, as shown in FIG. 20, an SiN etching stop film 33 that covers the entire surface of the substrate substantially conformally and an interlayer insulating film 34 (SiOx / BPSG) that substantially flattens the entire surface of the substrate are sequentially formed. Then, a contact hole 35 was formed through resist patterning and dry etching. This dry etching stops on the exposed surfaces of the TiSix film 32 and the WSix film pattern 19a. However, the TiSix film 32 mainly contributes to the reduction of the sheet resistance and contact resistance, and the LDD sidewall 6 and the field oxide film 2 Only the WSix film pattern 19a is responsible for protection. Since the etching resistance of the TiSix film 32 may fluctuate depending on the progress of the silicidation reaction, using the WSix film pattern 19a, which is unlikely to cause such fluctuation, as a substantial etching stop film makes the etching process stable. It leads to improvement in performance.
[0049]
  Thereafter, as shown in FIG. 21, the contact hole 35 was filled with plugs 36 (Ti / W) according to a conventional method, and a wiring pattern 37 (Ti / Al) was formed to complete the MOS transistor. The characteristic feature of the MOS transistor formed in this way is that the low resistance conductive film is in full contact with the source / drain region 7 and a part thereof is on the gate side, as is apparent from the figure. The extended portion can be used as a source / drain extraction electrode even when the contact hole is displaced in the overlapping direction. Therefore, both sheet resistance reduction and contact resistance reduction are realized.
[0050]
  As described above, two specific examples of the present invention have been described. However, the present invention is not limited to these examples. Process conditions such as deposition, ion implantation, dry etching, and annealing, film thickness, The device structure can be changed or selected as appropriate. For example, the device structure is not limited to the single gate type MOS transistor as described in the first and second embodiments, and a double gate type MOS transistor can be formed.
[0051]
  FIG. 22 shows a partial type as an example.etchingIt is a top view showing a double gate type MOS transistor at a stage where a stopper film is formed. Two gate electrodes 73 (shaded portions in the figure) are formed in the square element formation region defined by the edge 71 of the field oxide film 70, and the region not masked by this gate electrode 73 is the source. / Drain region 75. On the gate side, a gate-side stopper film 76G extending from the gate electrode 73 to the source / drain region 75 across the edge 74 is formed, and on the field side, the source / drain is formed across the edge 71 from the field oxide film. A field side stopper film 76F extending to the drain region 75 is formed. The gate-side stopper film 76G and the field-side stopper film 76F are formed to have a width that can cover a predicted range of occurrence of overlay deviation of contact holes formed in a later process, and the constituent materials are WSix film and W-polycide. Film, W-polycide / TiSix film, and the like.
[0052]
  In each of the above-described embodiments, the process using the SiN etching stop films 12 and 33 has been described. However, this film may be omitted. However, when the SiN etching stop film is omitted and the interlayer insulating films 13 and 34 are flattened, the stopper film is exposed to a long overetching. Therefore, when omitted, considering the etching resistance of the formation stopper, it is better to make the interlayer insulating film conformal, and if the interlayer insulating film is to be planarized, it is better to provide a SiN etching stop film.
[0053]
【The invention's effect】
  As is clear from the above description, if the present invention is applied, it is possible to secure a large margin for contact hole overlay deviation while reducing the sheet resistance and contact resistance of the source / drain regions of the MOS transistor. it can. For this reason, even if the design rule of the semiconductor device is further reduced in the future, it becomes possible to manufacture a MOS transistor that operates at high speed with a high yield.
[Brief description of the drawings]
FIG. 1 is a schematic cross-sectional view showing a state where a gate electrode, an LDD sidewall, and source / drain regions are formed in a MOS transistor manufacturing process (Example 1).
FIG. 2 is a schematic cross-sectional view showing a state in which a WSix film is formed on the entire surface of the substrate shown in FIG. 1 and resist patterning is performed.
FIG. 3 shows dry etching of the WSix film of FIG. 2 to form a partial type on the field side and the gate side.etchingIt is a typical sectional view showing the state where a stopper film was formed.
4 is a schematic cross-sectional view showing a state where a Ti film for silicidation is formed on the entire surface of the substrate of FIG.
5 is a schematic cross-sectional view showing a state in which a TiSix film is formed in a self-aligned manner on a part of the surface of the source / drain region of FIG. 4 by performing silicidation annealing.
6 is a schematic cross-sectional view showing a state in which an SiN etching stop film and an interlayer insulating film are sequentially formed on the entire surface of the substrate shown in FIG. 5, and resist patterning for contact hole formation is further performed.
7 is a schematic cross-sectional view showing a state where contact holes are opened by sequentially etching the interlayer insulating film and the SiN etching stop film of FIG. 6;
8 is a schematic cross-sectional view showing a state in which an upper layer wiring is formed covering the contact hole of FIG.
9 shows a state in which a WSix film and a polysilicon film are laminated in this order on the entire surface of the substrate of FIG. 1 and further subjected to resist patterning in a MOS transistor manufacturing process (reference example) as a premise of the present invention. It is a typical sectional view shown.
10 is a schematic cross-sectional view showing a state in which the polysilicon film and WSix film of FIG. 9 are dry-etched to form a pattern extending from the gate side to the field side.
11 is a schematic cross-sectional view showing a state in which a Ti film for silicidation is formed on the entire surface of the base shown in FIG.
FIG. 12 shows silicidation annealing to change the polysilicon film of FIG. 11 into a TiSix film in a self-aligned manner, so that the source / drain entire surface covering type is obtained.etchingIt is a typical sectional view showing the state where a stopper film was formed.
13 is a schematic cross-sectional view showing a state in which contact holes are opened in an SiN etching stopper film and an interlayer insulating film which are sequentially formed so as to cover the entire surface of the base body in FIG. 12;
14 is a schematic cross-sectional view showing a state in which an upper layer wiring is formed covering the contact hole of FIG.
15 is a schematic cross-sectional view showing a state in which a WSix film and a polysilicon film are laminated in this order on the entire surface of the substrate shown in FIG. 1 in the MOS transistor manufacturing process (Example 2), and resist patterning is further performed. It is.
16 is a schematic cross-sectional view showing a state in which only the polysilicon film is etched using the resist pattern of FIG. 15 as a mask, and the pattern of the polysilicon film is left on the flat portion on the source / drain region.
17 is a schematic cross-sectional view showing a state where a Ti film for silicidation is formed on the entire surface of the substrate of FIG.
FIG. 18 is a schematic cross-sectional view showing a state where the polysilicon film pattern of FIG. 17 is changed to a TiSix film by performing self-aligned silicidation annealing.
FIG. 19 shows dry etching of the WSix film of FIG. 18 to cover the entire surface of the source / drain.etchingIt is a typical sectional view showing the state where a stopper film was formed.
20 is a schematic cross-sectional view showing a state in which contact holes are opened in an SiN etching stop film and an interlayer insulating film which are sequentially formed so as to cover the entire surface of the substrate in FIG. 19;
21 is a schematic cross-sectional view showing a state in which an upper layer wiring is formed by covering the contact hole of FIG.
FIG. 22 Partial typeetchingIt is a top view which shows the example of a layout of the double gate type MOS transistor in the step which formed the stopper film | membrane.
FIG. 23 is a schematic cross-sectional view showing a state in which a substrate subjected to self-aligned silicidation is planarized with an interlayer insulating film and further subjected to resist patterning in a conventional MOS transistor manufacturing process.
24 is a schematic cross-sectional view showing a state where holes are opened in an LDD sidewall and a field oxide film when a contact hole is opened in the interlayer insulating film of FIG. 23. FIG.
[Explanation of symbols]
1 Si substrate, 2 field oxide film, 3 gate oxide film, 4 gate electrode, 5 offset oxide film, 6 LDD sidewall, 7 source / drain region, 8G (gate side) partial typeetchingStopper film, 8F (field side) partial typeetchingStopper film, 11, 32 TiSix film, 12, 33 SiN etching stop film, 13, 34 Interlayer insulating film (SiOx / BPSG), 16, 35 contact hole, 19a WSix film pattern

Claims (4)

シリコン基板上に形成されたフィールド絶縁膜に対してエッチング選択比を確保可能な導電膜からなり、かつ後工程で形成されるコンタクトホールの重ね合わせずれの発生予測範囲をカバーするごとく形成されたエッチングストッパ膜を有し、
エッチングストッパ膜は、素子形成領域から該フィールド絶縁膜上にかけて延在されるフィールド側延在部と、前記素子形成領域から側壁面をサイドウォール絶縁膜で被覆されたゲート電極の該サイドウォール絶縁膜上にかけて延在されるゲート側延在部と、ソース/ドレイン領域上で互いに離間されるとともに該ソース/ドレイン領域の取出し電極となされ、
前記ソース/ドレイン領域は、前記エッチングストッパ膜のフィールド側延在部とゲート側延在部とが離間された領域においてその表面にチタンを堆積させた後シリサイド化することにより、前記エッチングストッパ膜に対して自己整合的に形成されたシリサイド膜を有するMOSトランジスタ。
It becomes an etch selectivity from securable conductive film for the field insulating film formed on a silicon substrate, and is formed as covering the generating estimated range of misalignment of the contact hole to be formed in a later step etching Having a stopper film,
The etching stopper film includes a field-side extending portion extending from the element formation region to the field insulating film, and the sidewall insulation of the gate electrode whose side wall surface is covered with the sidewall insulating film from the element formation region. to the gate-side extending portion which extends toward the film, while being spaced from each other on the source / drain region, it made the extraction electrode of the source / drain regions,
The source / drain region, by silicide after the the field-side extending portion and the gate-side extending portion of the etching stopper film is deposited titanium on the surface thereof in spaced regions, the etching stopper film A MOS transistor having a silicide film formed in a self-aligned manner.
シリコン基板上に形成されたSiOx膜よりなるフィールド絶縁膜に対してエッチング選択比を確保可能な導電膜からなり、かつ後工程で形成されるコンタクトホールの重ね合わせずれの発生予測範囲をカバーするごとく形成されたWSix膜からなるエッチングストッパ膜を有し、
エッチングストッパ膜は、ゲート電極の側壁面に位置するサイドウォール絶縁膜上から前記フィールド絶縁膜上にかけて連続的に形成されてなるとともに、該ソース/ドレイン領域の取出し電極なされ、
前記エッチングストッパ膜の直上で、前記ソース/ドレイン領域の直上部にのみのそのフィールド側延在部とゲート側延在部以外の領域の表面に形成されたポリシリコン膜にチタンを堆積させた後シリサイド化することにより選択的に形成されたシリサイド膜を有するMOSトランジスタ。
It is made of a conductive film capable of ensuring an etching selectivity with respect to a field insulating film made of a SiOx film formed on a silicon substrate, and covers a predicted range of occurrence of overlay deviation of contact holes formed in a later process. It has an etching stopper film made of the formed WSix film,
The etching stopper film is formed continuously from the sidewall insulating film located on the side wall surface of the gate electrode to the field insulating film, and is used as an extraction electrode of the source / drain region.
After titanium is deposited on the polysilicon film formed on the surface of the region other than the field-side extension portion and the gate-side extension portion just above the etching stopper film and just above the source / drain regions A MOS transistor having a silicide film selectively formed by silicidation.
予めフィールド絶縁膜,ゲート絶縁膜,側壁面がサイドウォール絶縁膜で被覆されたゲート電極,ソース/ドレイン領域が形成されたシリコン基板の全面に、該フィールド絶縁膜に対してエッチング選択比を確保可能な導電膜を成膜する第1工程と、前記導電膜をパターニングすることにより、後工程で形成されるコンタクトホールの重ね合わせずれの発生予測範囲をカバーし得るエッチングストッパ膜を素子形成領域から前記サイドウォール絶縁膜上及び前記素子形成領域から前記フィールド絶縁膜上にかけて形成する第2工程と、基体の全面を層間絶縁膜で被覆する第3工程と、前記ソース/ドレイン領域を重ね合わせのターゲットとして前記層間絶縁膜にコンタクトホールを開口する第4工程とを有するMOSトランジスタの製造方法において、
前記第2工程では、前記フィールド絶縁膜上から素子形成領域にかけて延在されるエッチングストッパ膜と、前記サイドウォール絶縁膜上から素子形成領域にかけて延在されるエッチングストッパ膜とを前記ソース/ドレイン領域上で互いに離間するごとく形成し、
前記第2工程と第3工程の間で、前記ソース/ドレイン領域中、前記両エッチングストッパ膜が離間された領域の表面にチタンを堆積させた後シリサイド化することにより、前記エッチングストッパ膜に対して自己整合的にシリサイド膜を形成するMOSトランジスタの製造方法。
It is possible to secure an etching selectivity with respect to the field insulating film on the entire surface of the silicon substrate on which the field insulating film, the gate insulating film, the gate electrode whose side wall surface is covered with the side wall insulating film, and the source / drain regions are formed in advance. A first step of forming a conductive film, and an etching stopper film that can cover a predicted range of occurrence of misalignment of contact holes formed in a later step by patterning the conductive film from the element formation region. A second step of forming on the sidewall insulating film and from the element formation region to the field insulating film, a third step of covering the entire surface of the substrate with an interlayer insulating film, and using the source / drain region as an overlay target And a fourth step of opening a contact hole in the interlayer insulating film. Oite,
Wherein in the second step, the field and the etching stopper film is extended over the element formation region of the insulating film, the etching stopper film and the source / drain region extending over the element formation region of the side wall insulating film Formed as spaced apart from each other above,
Between the second step and the third step , titanium is deposited on the surface of the source / drain region where the two etching stopper films are separated, and then silicided, thereby making the etching stopper film And a method of manufacturing a MOS transistor in which a silicide film is formed in a self-aligning manner.
予めフィールド絶縁膜,ゲート絶縁膜,側壁面がサイドウォール絶縁膜で被覆されたゲート電極,ソース/ドレイン領域が形成されたシリコン基板の全面に、該フィールド絶縁膜に対してエッチング選択比を確保可能な導電膜を成膜する第1工程と、前記導電膜をパターニングすることにより、後工程で形成されるコンタクトホールの重ね合わせずれの発生予測範囲をカバーし得るエッチングストッパ膜を前記サイドウォール絶縁膜上から前記フィールド絶縁膜上にかけて連続的に形成する第2工程と、基体の全面を層間絶縁膜で被覆する第3工程と、前記ソース/ドレイン領域を重ね合わせのターゲットとして前記層間絶縁膜にコンタクトホールを開口する第4工程とを有するMOSトランジスタの製造方法において、
前記第2工程と第3工程との間で、前記エッチングストッパ膜中の直上で前記ソース/ドレイン領域上の平坦部の表面に形成されたポリシリコン膜にチタンを堆積させた後シリサイド化することにより前記ソース/ドレイン領域の直上に対応する領域にのみ選択的にシリサイド膜を形成するMOSトランジスタの製造方法。
It is possible to secure an etching selectivity with respect to the field insulating film on the entire surface of the silicon substrate on which the field insulating film, the gate insulating film, the gate electrode whose side wall surface is covered with the side wall insulating film, and the source / drain regions are formed in advance. An etching stopper film capable of covering a predicted range of occurrence of misalignment of contact holes formed in a later step by patterning the conductive film, and forming a sidewall of the sidewall insulating film. A second step of continuously forming from the top to the field insulating film; a third step of covering the entire surface of the substrate with an interlayer insulating film; and contacting the interlayer insulating film using the source / drain regions as overlapping targets. In a manufacturing method of a MOS transistor having a fourth step of opening a hole,
Between the second step and the third step, silicidation is performed after titanium is deposited on the polysilicon film formed on the surface of the flat portion on the source / drain region immediately above the etching stopper film. A method of manufacturing a MOS transistor in which a silicide film is selectively formed only in a region corresponding to a region immediately above the source / drain region.
JP13002896A 1996-05-24 1996-05-24 MOS transistor and manufacturing method thereof Expired - Fee Related JP3724057B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP13002896A JP3724057B2 (en) 1996-05-24 1996-05-24 MOS transistor and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP13002896A JP3724057B2 (en) 1996-05-24 1996-05-24 MOS transistor and manufacturing method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2005020282A Division JP2005167279A (en) 2005-01-27 2005-01-27 Mos transistor and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JPH09321280A JPH09321280A (en) 1997-12-12
JP3724057B2 true JP3724057B2 (en) 2005-12-07

Family

ID=15024375

Family Applications (1)

Application Number Title Priority Date Filing Date
JP13002896A Expired - Fee Related JP3724057B2 (en) 1996-05-24 1996-05-24 MOS transistor and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP3724057B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100414220B1 (en) * 2001-06-22 2004-01-07 삼성전자주식회사 Semiconductor device having shared contact and fabrication method thereof
KR100485384B1 (en) * 2003-02-03 2005-04-27 삼성전자주식회사 Method of manufacturing semiconductor device
JP4501714B2 (en) * 2005-02-14 2010-07-14 セイコーエプソン株式会社 Semiconductor device manufacturing method and semiconductor device
JP2010021296A (en) * 2008-07-10 2010-01-28 Panasonic Corp Manufacturing method of semiconductor device
TWI613824B (en) * 2011-12-23 2018-02-01 半導體能源研究所股份有限公司 Semiconductor device
JP2022032659A (en) 2020-08-13 2022-02-25 東京エレクトロン株式会社 Electrode unit of semiconductor device, and manufacturing method thereof
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same

Also Published As

Publication number Publication date
JPH09321280A (en) 1997-12-12

Similar Documents

Publication Publication Date Title
JP3544750B2 (en) Method for manufacturing semiconductor device having low resistance gate electrode
JP3693081B2 (en) MOS transistor of semiconductor device and manufacturing method thereof
JPH04233225A (en) Manufacture of integrated circuit
JP3022744B2 (en) Semiconductor device and manufacturing method thereof
US7371646B2 (en) Manufacture of insulated gate type field effect transistor
US20020001935A1 (en) Method of forming gate electrode in semiconductor device
JP3724057B2 (en) MOS transistor and manufacturing method thereof
JPH08111527A (en) Preparation of semiconductor device with self-conformity silicide region
JPH11243201A (en) Nitride overhanging structure body for silicifying transistor electrode comprising shallow joint
US6093627A (en) Self-aligned contact process using silicon spacers
JP4411677B2 (en) Manufacturing method of semiconductor device
US20060063362A1 (en) Semiconductor device and method of manufacturing a semiconductor device
JPH08274187A (en) Manufacture of semiconductor device
KR100243280B1 (en) Gate pattern of semiconductor device &fabrication method thereof
KR20050070803A (en) Method for fabricating silicide of semiconductor device
JP2005167279A (en) Mos transistor and manufacturing method thereof
JP3543504B2 (en) Method for manufacturing semiconductor device
KR100567879B1 (en) Method for fabricating semiconductor device having salicide
JP3487080B2 (en) Semiconductor device and manufacturing method thereof
JPS6362379A (en) Manufacture of semiconductor device
JP3377896B2 (en) Method for manufacturing semiconductor device
JP3593804B2 (en) Semiconductor device and manufacturing method thereof
JP3435943B2 (en) Semiconductor device and manufacturing method thereof
JPH11354465A (en) Semiconductor device
KR100315037B1 (en) Method for forming gate electrode in semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050301

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050531

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050801

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050830

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050912

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080930

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090930

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees