JP3548922B2 - Circuit design method using boundary scan dummy cells - Google Patents

Circuit design method using boundary scan dummy cells Download PDF

Info

Publication number
JP3548922B2
JP3548922B2 JP28489095A JP28489095A JP3548922B2 JP 3548922 B2 JP3548922 B2 JP 3548922B2 JP 28489095 A JP28489095 A JP 28489095A JP 28489095 A JP28489095 A JP 28489095A JP 3548922 B2 JP3548922 B2 JP 3548922B2
Authority
JP
Japan
Prior art keywords
boundary scan
circuit
circuit design
dummy cell
input
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP28489095A
Other languages
Japanese (ja)
Other versions
JPH09145785A (en
Inventor
恵一 佐藤
光雄 竹本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP28489095A priority Critical patent/JP3548922B2/en
Publication of JPH09145785A publication Critical patent/JPH09145785A/en
Application granted granted Critical
Publication of JP3548922B2 publication Critical patent/JP3548922B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明はバウンダリ・スキャン・ダミーセルを用いた回路設計方法、特に集積回路設計時におけるバウンダリ・スキャン・デバイス挿入に関するものである。
【0002】
【従来の技術】
バウンダリ・スキャンについては、「HPバウンダリ・スキャン技術資料およびBSDLリファレンス・ガイド」(1991年5月)横河・ヒューレット・パッカードに記載されている。バウンダリ・スキャンとは、外部とのインターフェイスと内部ロジック回路との間にバウンダリスキャンレジスタをシフトレジスタ構造で配した設計のデバイスを対象とするテスト技術であり、IEEE Standard 1149.1−1990 により規格化されている。
【0003】
図2はバウンダリ・スキャンに用いる主なデバイスを配した集積回路の概略図である。21は、内部ロジックであり、集積回路の核となるところである。22及び23は、それぞれ入力ピンと出力ピンであり、外部端子となる。24はバウンダリ・スキャン・レジスタであり、入力ピン22及び出力ピン23と内部ロジック21との間に挿入され、入力ピン22及び出力ピン23の制御及び観測に使用される。TAPコントローラ25は、クロック信号(TCK)やリセット信号(TRST)等を入力し、デバイスの制御等を行う。26はインストラクションレジスタであり、デバイスに機能を実行させるものである。IDCODEレジスタ27は、デバイス及び製造メーカーを識別する。バイパスレジスタ28は、スキャン入力から出力の距離を最短にするものである。ここで最も重要なのは、外部端子であるピンと内部ロジックとの間に挿入されているバウンダリ・スキャン・レジスタである。
【0004】
通常、これらのデバイスは、内部ロジック設計終了後に内部ロジックの論理シミュレーションを行い、設計通りであることが確認されてから挿入される。その後、回路チェックを受け、不具合が生じた回路については、再度回路設計からやり直す。
【0005】
【発明が解決しようとする課題】
上記のような従来の集積回路設計では、集積回路の内部ロジックの論理シミュレーションによる検証を行った後で、バウンダリ・スキャン回路の挿入作業を行うので、バウンダリ・スキャン・レジスタの特性を考慮せずに内部ロジックの設計を行うと、その後の擬似配線シミュレーションや実配線シミュレーションの際にバウンダリ・スキャン・レジスタによる遅延が問題となることがある。また、バウンダリ・スキャン・レジスタの挿入箇所において、駆動ゲート超過によるFAN OUTオーバーが発生し、エラーとなる可能性がある。さらに、バウンダリ・スキャン・デバイスの挿入を行うために使用するCADツールは、専用のツールであるため、一般のCADと違い、設計者が容易に扱うことができず、その上、デバイス挿入に要する実行時間が長い。
【0006】
以上の問題により、内部ロジックの設計を検討し直す度に専用CADによるバウンダリ・スキャン・デバイス挿入を実行させなければならず、そのために多くの時間が費やされることになり、設計時間の短縮を図るには効率が悪いという問題点があった。
【0007】
そこで、回路の設計時間の短縮を図るために、専用CADによるバウンダリ・スキャン・デバイス挿入の実行回数を減らせるような回路設計方法が望まれていた。
【0008】
【課題を解決するための手段】
本発明に係るバウンダリ・スキャン・ダミーセルを用いた回路設計方法は、内部ロジック回路と、インターフェイス手段及びバウンダリ・スキャン・レジスタから成るバウンダリ・スキャン・ダミーセルとで構成される集積回路を設計する回路設計工程と、集積回路について所定の検査を行い、所定の要件を満たしていないとき、回路設計工程において再び集積回路を設計し直させる工程と、所定の要件を満たしていると、バウンダリ・スキャン・ダミーセルを、要件を満たしたときのインターフェイス手段とバウンダリ・スキャン・レジスタを含んだバウンダリ・スキャン・デバイスとに置き換える工程とを有している。内部ロジック回路とバウンダリ・スキャン・ダミーセルから構成される回路を設計する工程を、所定の要件を満たすまで繰り返す。要件が整ってから、バウンダリ・スキャン・ダミーセルを、インターフェイス手段及びバウンダリ・スキャン・デバイスに置き換える工程を行う。したがって、集積回路設計の度にバウンダリ・スキャン・デバイスに置き換える必要がなく、時間の短縮となる。
【0009】
【発明の実施の形態】
図1は本発明の実施の形態に係るバウンダリ・スキャン・ダミーセルを用いた回路設計方法のフローチャートである。図1に基づいて本実施の形態の動作を説明していく。まず、内部ロジックの設計、及び内部ロジックの論理シミュレーションを行う際に用いる機能検証パターンの設計を行う(S101)。
【0010】
内部ロジックが設計されると、通常は図3に示されているような入力バッファ2、出力バッファ5又は入出力バッファ8を挿入するが、一般のCAD上で、これらのバッファの代わりに入力バウンダリ・スキャン・ダミーセル1、出力バウンダリ・スキャン・ダミーセル4又は入出力バウンダリ・スキャン・ダミーセル7を仮挿入する(S102)。なお、上記のバウンダリ・スキャン・ダミーセルを総称する時には、単にバウンダリ・スキャン・ダミーセル1、4、7というものとする。
【0011】
図3はバッファと、本発明の実施の形態に係るバウンダリ・スキャン・ダミーセルの構成図である。(a)のような入力側に挿入される入力バウンダリ・スキャン・ダミーセル1は、入力バッファ2の出力側にバウンダリ・スキャン・レジスタ3が接続されたものである。また、(b)のような出力に挿入される出力バウンダリ・スキャン・ダミーセル4は、出力バッファ5の入力側にバウンダリ・スキャン・レジスタ6が接続されたものである。さらに、(c)のような入出力バウンダリ・スキャン・ダミーセル7は、入出力バッファ8の入力側、出力側及び制御側にそれぞれバウンダリ・スキャン・レジスタ9、10及び11が接続されたものである。したがってバウンダリ・スキャン・ダミーセルには、バウンダリ・スキャン・レジスタが持つ遅延時間、及びFAN OUT数が加味されたものとなり、入力バッファ2、出力バッファ5及び入出力バッファ8を挿入するよりも実際に作成される集積回路と内部論理の入出力部は同等のものとなる。
【0012】
実際には、入力バッファ2、出力バッファ5及び入出力バッファ8を、それぞれ入力バウンダリ・スキャン・ダミーセル1、出力バウンダリ・スキャン・ダミーセル4及び入出力バウンダリ・スキャン・ダミーセル7に自動的に変換するようにあらかじめマクロとして登録しておくことによって、設計者は従来用いられていたこれらのバッファと同じ感覚で用いることができる。また、設計回路の論理機能を入力すると、それに見合う回路図を作成するような論理合成ツールを用いて設計している場合は、ネットリスト上のバッファ名をバウンダリ・スキャン・ダミーセル名にし、バウンダリ・スキャン・ダミーセル1、4、7を合成すれば、バウンダリ・スキャン・ダミーセル入り回路が生成される。
【0013】
バウンダリ・スキャン・ダミーセル1、4、7の仮挿入が終了すると、回路設計基準チェックを行い、回路の不具合がないかどうかチェックする(S103)。回路設計基準チェックとは、回路図を読み込み、回路設計が正しくできているかどうかをチェックするプログラムである。回路設計基準チェックにおいて、不具合が見つかると、再度回路設計から検討し直す。バウンダリ・スキャン・ダミーセル1、4、7の挿入部分でFAN OUTエラーが発生している場合に対しては、FAN OUTオーバーを防ぐためのドライバセルをバウンダリ・スキャン・ダミーセルの出力側に挿入し、駆動可能なゲート数を増やすことで対処する。なお、論理合成ツールを用いて設計している場合には、バウンダリ・スキャン・ダミーセル1、4、7の仮挿入時に論理の最適化を行っているため、バウンダリ・スキャン・ダミーセル1、4、7には問題が発生しない。
【0014】
回路設計基準チェックにおいて、問題が生じなければ、作成した機能検証パターンを用いて、内部ロジックに対して、所望している論理が正しく作成されているかどうか論理シミュレーションを実施して検証する(S104)。論理シミュレーションにおいて、バウンダリ・スキャン・ダミーセル1、4、7の影響でエラーが発生した場合には、回路設計及び機能検証パターン設計の修正を行い、バウンダリ・スキャン・ダミーセルが影響を及ぼさないような設計を行い、再度回路設計基準チェック、及び論理シミュレーションを実施する。
【0015】
論理シミュレーションにおいて、問題が発生しなければ、バウンダリ・スキャン・ダミーセル1、4、7の役割は完了する。次に、バウンダリ・スキャン・レジスタを含む、他のデバイスを専用CADで本挿入するために、バウンダリ・スキャン・ダミーセルを削除し(S105)、通常挿入している入力バッファ2、出力バッファ5及び入出力バッファ8に置き換える。
【0016】
バウンダリ・スキャン・ダミーセル1、4、7を削除した回路に対して、専用CADツールを用いて、上述の図2に示したようなバウンダリ・スキャンに用いるデバイスを本挿入する(S106)。本挿入の終了した回路に再度回路設計基準チェックを行い(S107)、問題が生じれば回路設計及び機能検証パターン設計からやり直す。本挿入した後の回路において、問題が生じなければバウンダリ・スキャン適用工程を終了し、配線シミュレーション等の工程に移る(S108)。
【0017】
上記のように本実施の形態においては、バウンダリ・スキャン・レジスタ2、5又は8による遅延時間、及びFAN OUTを加味したバウンダリ・スキャン・ダミーセル1、4、7をあらかじめ一般のCADで挿入しておいて、内部ロジックの論理シミュレーションを行い、不具合を解決してから専用CADによるデバイスの本挿入を行うために、実行時間を多く要する専用CADを使用する回数が減り、回路設計時間の短縮ができる。
【0018】
なお、上述の実施例においては、CAD上の使用を例示したが、本発明ではそれに限定されるものではなく、他の回路設計においても用いることができる。
【0019】
【発明の効果】
以上のように本発明によれば、バウンダリ・スキャン・ダミーセルを用いて、集積回路に対して所定の検査を行い、所定の要件を満たした集積回路のバウンダリ・スキャン・ダミーセルを、インターフェイス手段、及びバウンダリ・スキャン・デバイスに置き換えるようにしたので、集積回路を設計し直す度に、置き換える必要がなくなり、集積回路設計時間の短縮を図ることができる。
【図面の簡単な説明】
【図1】本発明の実施の形態に係るバウンダリ・スキャン・ダミーセルを用いた回路設計方法のフローチャートである。
【図2】主なバウンダリ・スキャンのデバイスを配した回路の概略図である。
【図3】バッファと本発明の実施の形態に係るバウンダリ・スキャン・ダミーセルの構成図である。
【符号の説明】
1 入力バウンダリ・スキャン・ダミーセル
2 入力バッファ
3、6、9、10、11、24 バウンダリ・スキャン・レジスタ
4 出力バウンダリ・スキャン・ダミーセル
5 出力バッファ
7 入出力バウンダリ・スキャン・ダミーセル
8 入出力バッファ
21 内部ロジック
22 入力ピン
23 出力ピン
25 TAPコントローラ
26 インストラクションレジスタ
27 IDCODEレジスタ
28 バイパスレジスタ
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a circuit design method using a boundary scan dummy cell, and more particularly to a boundary scan device insertion when designing an integrated circuit.
[0002]
[Prior art]
The boundary scan is described in "HP Boundary Scan Technical Document and BSDL Reference Guide" (May 1991) in Yokogawa Hewlett-Packard. Boundary scan is a test technology for a device designed so that a boundary scan register is arranged in a shift register structure between an external interface and an internal logic circuit, and is standardized by IEEE Standard 1149.1-1990. Have been.
[0003]
FIG. 2 is a schematic diagram of an integrated circuit in which main devices used for boundary scanning are arranged. Reference numeral 21 denotes an internal logic, which is a core of the integrated circuit. Reference numerals 22 and 23 denote input pins and output pins, respectively, which are external terminals. Reference numeral 24 denotes a boundary scan register which is inserted between the input pin 22 and the output pin 23 and the internal logic 21 and is used for controlling and observing the input pin 22 and the output pin 23. The TAP controller 25 receives a clock signal (TCK), a reset signal (TRST), and the like, and performs device control and the like. Reference numeral 26 denotes an instruction register, which causes a device to execute a function. The IDCODE register 27 identifies a device and a manufacturer. The bypass register 28 minimizes the distance from the scan input to the output. What is most important here is a boundary scan register inserted between an external terminal pin and internal logic.
[0004]
Normally, these devices are inserted after a logic simulation of the internal logic is performed after the completion of the internal logic design and it is confirmed that the design is as designed. Thereafter, a circuit check is performed, and for a circuit in which a failure has occurred, the circuit design is started again.
[0005]
[Problems to be solved by the invention]
In the conventional integrated circuit design as described above, after verifying the internal logic of the integrated circuit by logic simulation, the work of inserting the boundary scan circuit is performed, so that the characteristics of the boundary scan register are not considered. When the internal logic is designed, a delay due to the boundary scan register may cause a problem in the subsequent pseudo wiring simulation or actual wiring simulation. In addition, at the insertion point of the boundary scan register, FAN OUT over due to excess drive gates may occur, resulting in an error. Further, since the CAD tool used to insert the boundary scan device is a dedicated tool, unlike a general CAD, it cannot be easily handled by a designer, and furthermore, it is necessary to insert the device. Long execution time.
[0006]
Due to the problems described above, every time the design of the internal logic is reconsidered, the boundary scan device must be inserted by the dedicated CAD, which consumes a lot of time and shortens the design time. Had a problem of inefficiency.
[0007]
Therefore, in order to reduce the circuit design time, there has been a demand for a circuit design method that can reduce the number of times of performing the boundary scan device insertion by the dedicated CAD.
[0008]
[Means for Solving the Problems]
Circuit design method using the boundary scan dummy cell according to the present invention, the internal logic circuit and a circuit design step for designing a composed integrated circuit and boundary scan dummy cell comprised of an interface means and the boundary scan register Performing a predetermined test on the integrated circuit and, if the predetermined requirements are not satisfied, re-designing the integrated circuit again in the circuit design process.If the predetermined requirements are satisfied, the boundary scan dummy cell is Replacing the interface means with a boundary scan device including a boundary scan register when the requirement is satisfied. The process of designing a circuit composed of an internal logic circuit and a boundary scan dummy cell is repeated until a predetermined requirement is satisfied. After the requirements are satisfied, a step of replacing the boundary scan dummy cell with the interface means and the boundary scan device is performed. Therefore, it is not necessary to replace the boundary scan device every time an integrated circuit is designed, and the time is reduced.
[0009]
BEST MODE FOR CARRYING OUT THE INVENTION
FIG. 1 is a flowchart of a circuit design method using a boundary scan dummy cell according to an embodiment of the present invention. The operation of the present embodiment will be described based on FIG. First, a design of an internal logic and a function verification pattern used for performing a logic simulation of the internal logic are performed (S101).
[0010]
When the internal logic is designed, an input buffer 2, an output buffer 5 or an input / output buffer 8 as shown in FIG. 3 is usually inserted, but on a general CAD, these buffers are replaced with an input boundary. The scan dummy cell 1, the output boundary scan dummy cell 4 or the input / output boundary scan dummy cell 7 are temporarily inserted (S102). When the above-described boundary scan dummy cells are collectively referred to, they are simply referred to as boundary scan dummy cells 1, 4, and 7.
[0011]
FIG. 3 is a configuration diagram of the buffer and the boundary scan dummy cell according to the embodiment of the present invention. The input boundary scan dummy cell 1 inserted on the input side as shown in FIG. 1A is one in which the boundary scan register 3 is connected to the output side of the input buffer 2. The output boundary scan dummy cell 4 inserted into the output as shown in FIG. 4B is one in which the boundary scan register 6 is connected to the input side of the output buffer 5. Further, the input / output boundary scan dummy cell 7 shown in (c) is one in which boundary scan registers 9, 10 and 11 are connected to the input side, output side and control side of the input / output buffer 8, respectively. . Therefore, the boundary scan dummy cell takes into account the delay time of the boundary scan register and the number of FAN OUTs, and is actually created rather than inserting the input buffer 2, output buffer 5, and input / output buffer 8. The integrated circuit and the input / output unit of the internal logic are equivalent.
[0012]
Actually, the input buffer 2, the output buffer 5, and the input / output buffer 8 are automatically converted into the input boundary scan dummy cell 1, the output boundary scan dummy cell 4, and the input / output boundary scan dummy cell 7, respectively. By registering them as macros in advance, designers can use these buffers with the same feeling as those conventionally used. If the logic function of the design circuit is input and the design is made using a logic synthesis tool that creates a circuit diagram corresponding to the logic function, the buffer name on the netlist is changed to the boundary scan dummy cell name, and the boundary When the scan dummy cells 1, 4, and 7 are combined, a circuit including the boundary scan dummy cells is generated.
[0013]
When the temporary insertion of the boundary scan dummy cells 1, 4, and 7 is completed, a circuit design criterion check is performed to check whether there is any circuit failure (S103). The circuit design standard check is a program for reading a circuit diagram and checking whether or not the circuit design is correctly performed. If a defect is found in the circuit design standard check, the circuit design is reviewed again. When a FAN OUT error occurs in the insertion portion of the boundary scan dummy cells 1, 4, and 7, a driver cell for preventing FAN OUT over is inserted into the output side of the boundary scan dummy cell, This is addressed by increasing the number of gates that can be driven. When designing using a logic synthesis tool, the logic is optimized at the time of temporarily inserting the boundary scan dummy cells 1, 4, and 7, so that the boundary scan dummy cells 1, 4, and 7 are optimized. Has no problems.
[0014]
If there is no problem in the circuit design standard check, a logic simulation is performed to verify whether the desired logic has been correctly created for the internal logic using the created function verification pattern (S104). . In the logic simulation, if an error occurs due to the influence of the boundary scan dummy cells 1, 4, and 7, the circuit design and the function verification pattern design are modified to make the design such that the boundary scan dummy cell does not affect the design. Is performed, and the circuit design standard check and the logic simulation are performed again.
[0015]
If no problem occurs in the logic simulation, the roles of the boundary scan dummy cells 1, 4, and 7 are completed. Next, in order to permanently insert another device including the boundary scan register by dedicated CAD, the boundary scan dummy cell is deleted (S105), and the input buffer 2, output buffer 5, and input buffer 5 which are normally inserted are deleted. Replace with output buffer 8.
[0016]
Using the dedicated CAD tool, the device used for the boundary scan as shown in FIG. 2 is permanently inserted into the circuit from which the boundary scan dummy cells 1, 4, and 7 have been deleted (S106). The circuit design reference check is performed again on the circuit after the main insertion (S107). If a problem occurs, the circuit design and the function verification pattern design are repeated. If no problem occurs in the circuit after the main insertion, the boundary scan application process is completed, and the process proceeds to a process such as a wiring simulation (S108).
[0017]
As described above, in the present embodiment, the boundary scan dummy cells 1, 4, and 7 in which the delay time by the boundary scan registers 2, 5, or 8 and the FAN OUT are added are inserted in advance by general CAD. In addition, since a logic simulation of the internal logic is performed to solve the problem and then the actual insertion of the device by the dedicated CAD is performed, the number of times of using the dedicated CAD which requires a long execution time is reduced, and the circuit design time can be reduced. .
[0018]
In the above-described embodiment, the use on CAD is illustrated, but the present invention is not limited to this, and can be used in other circuit designs.
[0019]
【The invention's effect】
As described above, according to the present invention, a predetermined inspection is performed on an integrated circuit by using a boundary scan dummy cell, and the boundary scan dummy cell of the integrated circuit that satisfies predetermined requirements is interfaced with: Since the boundary scan device is used, it is not necessary to replace the integrated circuit every time the integrated circuit is redesigned, so that the integrated circuit design time can be shortened.
[Brief description of the drawings]
FIG. 1 is a flowchart of a circuit design method using a boundary scan dummy cell according to an embodiment of the present invention.
FIG. 2 is a schematic diagram of a circuit in which devices for main boundary scan are arranged.
FIG. 3 is a configuration diagram of a buffer and a boundary scan dummy cell according to the embodiment of the present invention.
[Explanation of symbols]
1 Input Boundary Scan Dummy Cell 2 Input Buffer 3, 6, 9, 10, 11, 24 Boundary Scan Register 4 Output Boundary Scan Dummy Cell 5 Output Buffer 7 I / O Boundary Scan Dummy Cell 8 I / O Buffer 21 Logic 22 Input pin 23 Output pin 25 TAP controller 26 Instruction register 27 IDCODE register 28 Bypass register

Claims (2)

内部ロジック回路と、インターフェイス手段及びバウンダリ・スキャン・レジスタから成るバウンダリ・スキャン・ダミーセルとで構成される集積回路を設計する回路設計工程と、
前記集積回路について所定の検査を行い、所定の要件を満たしていないとき、前記回路設計工程において再び集積回路を設計し直させる工程と、
前記所定の要件を満たしていると、前記バウンダリ・スキャン・ダミーセルを、要件を満たしたときのインターフェイス手段とバウンダリ・スキャン・レジスタを含んだバウンダリ・スキャン・デバイスとに置き換える工程と
を有することを特徴とするバウンダリ・スキャン・ダミーセルを用いた回路設計方法。
An internal logic circuit, and a circuit design step for designing a composed integrated circuit and boundary scan dummy cell comprised of an interface means and the boundary scan register,
Performing a predetermined test on the integrated circuit, when the predetermined requirements are not satisfied, a step of redesigning the integrated circuit in the circuit design step;
Replacing the boundary scan dummy cell with an interface means and a boundary scan device including a boundary scan register when the requirement is satisfied, if the predetermined requirement is satisfied. Circuit design method using boundary scan dummy cells.
前記インターフェイス手段に対応した前記バウンダリ・スキャン・ダミーセルをあらかじめ登録しておき、前記回路設計工程において、前記インターフェイス手段を前記バウンダリ・スキャン・ダミーセルに自動的に置き換えて設計することを特徴とする請求項1記載のバウンダリ・スキャン・ダミーセルを用いた回路設計方法。2. The circuit according to claim 1, wherein said boundary scan dummy cells corresponding to said interface means are registered in advance, and said interface means is automatically replaced with said boundary scan dummy cells in said circuit designing step. 2. A circuit design method using the boundary scan dummy cell according to 1.
JP28489095A 1995-11-01 1995-11-01 Circuit design method using boundary scan dummy cells Expired - Fee Related JP3548922B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP28489095A JP3548922B2 (en) 1995-11-01 1995-11-01 Circuit design method using boundary scan dummy cells

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28489095A JP3548922B2 (en) 1995-11-01 1995-11-01 Circuit design method using boundary scan dummy cells

Publications (2)

Publication Number Publication Date
JPH09145785A JPH09145785A (en) 1997-06-06
JP3548922B2 true JP3548922B2 (en) 2004-08-04

Family

ID=17684371

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28489095A Expired - Fee Related JP3548922B2 (en) 1995-11-01 1995-11-01 Circuit design method using boundary scan dummy cells

Country Status (1)

Country Link
JP (1) JP3548922B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4684942B2 (en) 2006-05-10 2011-05-18 ルネサスエレクトロニクス株式会社 Semiconductor device and observation flip-flop arrangement method
WO2009090516A1 (en) * 2008-01-11 2009-07-23 Nxp B.V. Monitor cell and monitor cell placement method

Also Published As

Publication number Publication date
JPH09145785A (en) 1997-06-06

Similar Documents

Publication Publication Date Title
EP1643257B1 (en) Scan test design method, scan test circuit, scan test circuit insertion cad program, large-scale integrated circuit, and mobile digital device
JP4058252B2 (en) IC design verification method
US6886121B2 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
EP1460569B1 (en) Semiconductor integrated circuit device with test signal repeater circuit and related design automation apparatus, method and program
US6327556B1 (en) AT-speed computer model testing methods
US7181705B2 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
US5517637A (en) Method for testing a test architecture within a circuit
US7882410B2 (en) Launch-on-shift support for on-chip-clocking
JP2004342100A (en) Tool flow process for physical design of integrated circuit
US8010918B2 (en) Method for creating HDL description files of digital systems, and systems obtained
JP3304912B2 (en) ASIC design method and ASIC design device
US6978406B2 (en) System and method for testing memory arrays
JP3548922B2 (en) Circuit design method using boundary scan dummy cells
US20030212970A1 (en) Systems and methods providing scan-based delay test generation
US7007263B2 (en) Design flow method for integrated circuits
US20070266361A1 (en) Logic verification method, logic verification apparatus and recording medium
US7127691B2 (en) Method and apparatus for manufacturing test generation
JP4097461B2 (en) Testability design system, testability design method, program, and recording medium
US6807658B2 (en) Systems and methods for performing clock gating checks
US7430730B2 (en) Disabling unused IO resources in platform-based integrated circuits
JP3544912B2 (en) Hard macro test circuit, test method thereof, and test pattern generation method
JP2774396B2 (en) Data generator
Ramnath et al. Test-model based hierarchical DFT synthesis
JP2842334B2 (en) Layout method
JP3410698B2 (en) Inspection circuit insertion method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040223

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040330

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040407

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080430

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090430

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090430

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100430

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100430

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110430

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120430

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees