JP3410968B2 - Pattern forming method and photosensitive composition - Google Patents

Pattern forming method and photosensitive composition

Info

Publication number
JP3410968B2
JP3410968B2 JP17463898A JP17463898A JP3410968B2 JP 3410968 B2 JP3410968 B2 JP 3410968B2 JP 17463898 A JP17463898 A JP 17463898A JP 17463898 A JP17463898 A JP 17463898A JP 3410968 B2 JP3410968 B2 JP 3410968B2
Authority
JP
Japan
Prior art keywords
film
pattern
processed
layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP17463898A
Other languages
Japanese (ja)
Other versions
JP2000010289A (en
Inventor
修二 早瀬
義彦 中野
俊郎 平岡
佐和子 吉川
康彦 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP17463898A priority Critical patent/JP3410968B2/en
Publication of JP2000010289A publication Critical patent/JP2000010289A/en
Application granted granted Critical
Publication of JP3410968B2 publication Critical patent/JP3410968B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法に係り、特にウエハ等被加工層表面のパターン形成
方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for forming a pattern on the surface of a layer to be processed such as a wafer.

【0002】[0002]

【従来の技術】半導体装置や液晶表示装置の製造工程に
は、ウェハやウェハ上に形成された被加工膜、すなわち
被加工層にパターンを形成するための多くの工程が含ま
れている。通常、被加工層のパターニングは、次によう
にして行なわれる。すなわち、まず、被加工層上に感光
性樹脂膜(レジスト膜)を形成し、この樹脂膜にパター
ン露光を行なった後、ウェット現像等の現像工程を経
て、レジストパターンを形成する。さらに、このレジス
トパターンをエッチングマスクとして被加工層をエッチ
ングすることでパターニングされる。しかしながら、ウ
ェット現像によりレジスト膜を現像する際には、得られ
るレジストパターンが膨潤を起こし、解像性が低下する
という問題が生じていた。
2. Description of the Related Art Manufacturing processes for semiconductor devices and liquid crystal display devices include many steps for forming a pattern on a wafer or a film to be processed, that is, a layer to be processed. Usually, patterning of the layer to be processed is performed as follows. That is, first, a photosensitive resin film (resist film) is formed on the layer to be processed, the resin film is subjected to pattern exposure, and then a resist pattern is formed through a developing process such as wet development. Further, patterning is performed by etching the layer to be processed using this resist pattern as an etching mask. However, when the resist film is developed by wet development, the obtained resist pattern causes swelling, which causes a problem of reduced resolution.

【0003】また、レジスト膜の所定の領域にシリル化
剤を導入することによって、耐ドライエッチング性を付
与することも行なわれている。この場合は、まず、活性
水素を有する有機膜をレジスト膜として被加工層上に形
成し、レジスト膜をパターン露光し、加熱しながらシリ
ル化剤を含んだ雰囲気に曝す。これによって露光部また
は未露光部のレジスト膜表面にシリコンを導入し、シリ
ル化部をエッチングマスクとして用いて、非シリル化部
を酸素プラズマでエッチング除去する方法である。しか
しながら、シリル化剤は有機膜中に浸透し難いという問
題点があった。
Further, it is also practiced to impart dry etching resistance by introducing a silylating agent into a predetermined region of the resist film. In this case, first, an organic film having active hydrogen is formed as a resist film on the layer to be processed, the resist film is subjected to pattern exposure, and exposed to an atmosphere containing a silylating agent while heating. This is a method in which silicon is introduced into the exposed or unexposed portion of the resist film surface and the unsilylated portion is removed by etching with oxygen plasma using the silylated portion as an etching mask. However, there is a problem that the silylating agent is difficult to penetrate into the organic film.

【0004】さらにポリシラン膜を被加工層上に形成
し、パターン露光を行なって露光部にシロキサン結合を
生成した後、ポリシラン膜の未露光部をHBr、Cl2
ガスでエッチング除去する方法が報告されている。この
方法では、ポリシラン膜の露光部と未露光部とのエッチ
ング選択比が大きくとれないという問題点があった。
Further, after forming a polysilane film on the layer to be processed and performing pattern exposure to generate siloxane bonds in the exposed portion, the unexposed portion of the polysilane film is exposed to HBr, Cl 2
A method of etching away with gas has been reported. This method has a problem that the etching selection ratio between the exposed and unexposed portions of the polysilane film cannot be high.

【0005】[0005]

【発明が解決しようとする課題】上述したように、精度
よく被加工層をパターニングする方法が望まれているも
のの、そのために必要なマスク(パターン)を形成する
方法や材料は未だ得られていないのが現状である。
As described above, although a method of accurately patterning a layer to be processed is desired, a method and material for forming a mask (pattern) necessary for that have not yet been obtained. is the current situation.

【0006】[0006]

【0007】そこで本発明は、被加工層のパターニング
に好適に用いられる寸法精度のよいレジストパターンを
形成することが可能な感光性組成物を提供することを目
的とする。
Therefore , an object of the present invention is to provide a photosensitive composition capable of forming a resist pattern with good dimensional accuracy, which is preferably used for patterning a layer to be processed.

【0008】[0008]

【課題を解決するための手段】上記課題を解決するため
に、本発明は、下記一般式(2)で表わされる繰り返し
単位を有するケイ素化合物、および光の照射により酸を
発生する化合物を含有する感光性組成物を提供する。
In order to solve the above problems, the present invention provides a repeating unit represented by the following general formula (2).
A silicon compound having a unit and an acid by irradiation with light
Provided is a photosensitive composition containing a generating compound .

【化4】 (上記一般式(2)中、Pは下記一般式で表わされる酸
と反応して分解することによりカルボキシル基を生成し
てアルカリ水溶液に可溶化する基であり、Zは、水素原
子、炭素数1〜20の置換または非置換の炭化水素基、
芳香族炭化水素基を示す。)
[Chemical 4] (In the general formula (2), P is an acid represented by the following general formula.
It reacts with and decomposes to form carboxyl groups
Is a group that is solubilized in an alkaline aqueous solution, and Z is a hydrogen source.
Child, substituted or unsubstituted hydrocarbon group having 1 to 20 carbon atoms,
Indicates an aromatic hydrocarbon group. )

【化5】 [Chemical 5]

【0009】[0009]

【0010】[0010]

【0011】[0011]

【0012】[0012]

【0013】[0013]

【0014】以下、本発明を詳細に説明する。The present invention will be described in detail below.

【0015】本発明の第1のパターン形成方法は、被加
工層上に、ケイ素−ケイ素結合を主鎖に有するケイ素化
合物を含有した有機ケイ素膜を形成する工程と、前記有
機ケイ素膜にパターン露光を行なって、有機ケイ素膜の
露光部にシロキサン結合を形成する工程と、露光後の有
機ケイ素膜に還元性物質を接触させて、還元された物質
からなる膜を前記有機ケイ素膜の未露光部に選択的に形
成する工程と、前記還元された物質からなる膜をマスク
として前記有機ケイ素膜をエッチングして有機ケイ素膜
パターンを形成する工程と、前記有機ケイ素膜パターン
をマスクとして被加工層をエッチングする工程とを具備
する。
The first pattern forming method of the present invention comprises a step of forming an organic silicon film containing a silicon compound having a silicon-silicon bond in the main chain on a layer to be processed, and pattern exposure of the organic silicon film. And a step of forming a siloxane bond in the exposed portion of the organic silicon film, and a reducing substance is contacted with the exposed organic silicon film to form a film of the reduced substance on the unexposed portion of the organic silicon film. Selectively forming a layer, a step of etching the organic silicon film with the film made of the reduced substance as a mask to form an organic silicon film pattern, and a layer to be processed with the organic silicon film pattern as a mask. And a step of etching.

【0016】図1に第1のパターン形成方法の一例を表
わす工程断面図を示す。
FIG. 1 is a process sectional view showing an example of the first pattern forming method.

【0017】まず、図1(a)に示すように、基板1上
に形成された被加工層上2に、有機ケイ素膜3を形成す
る。
First, as shown in FIG. 1A, an organic silicon film 3 is formed on a layer 2 to be processed formed on a substrate 1.

【0018】本発明において被加工層2は、特に限定さ
れることなく、例えば、キノンジアジドとノボラック樹
脂とを含有するポジ型レジスト、ポリスチレン、ポリメ
チルメタクリレート、ポリビニルフェノール、ポリエス
テル、ポリビニルアルコール、ポリエステル、ポリプロ
ピレン、ポリブタジエン、ポリ酢酸ビニル、ポリイミ
ド、フェノール樹脂、カーボン膜、電極材料、絶縁材
料、および配線材料ウェハなどが挙げられる。
In the present invention, the layer to be processed 2 is not particularly limited, and may be, for example, a positive resist containing quinonediazide and a novolac resin, polystyrene, polymethylmethacrylate, polyvinylphenol, polyester, polyvinylalcohol, polyester, polypropylene. , Polybutadiene, polyvinyl acetate, polyimide, phenol resin, carbon film, electrode material, insulating material, and wiring material wafer.

【0019】こうした被加工層2上に形成される有機ケ
イ素膜3は、ケイ素−ケイ素結合を主鎖に有するケイ素
化合物を含有し、かかる化合物としては、例えば、以下
に示すものが挙げられる。
The organosilicon film 3 formed on the layer to be processed 2 contains a silicon compound having a silicon-silicon bond in its main chain, and examples of such a compound include those shown below.

【0020】[0020]

【化1】 [Chemical 1]

【0021】[0021]

【化2】 [Chemical 2]

【0022】[0022]

【化3】 [Chemical 3]

【0023】[0023]

【化4】 [Chemical 4]

【0024】[0024]

【化5】 [Chemical 5]

【0025】[0025]

【化6】 [Chemical 6]

【0026】[0026]

【化7】 [Chemical 7]

【0027】[0027]

【化8】 [Chemical 8]

【0028】[0028]

【化9】 [Chemical 9]

【0029】[0029]

【化10】 [Chemical 10]

【0030】[0030]

【化11】 [Chemical 11]

【0031】[0031]

【化12】 [Chemical 12]

【0032】[0032]

【化13】 [Chemical 13]

【0033】[0033]

【化14】 [Chemical 14]

【0034】[0034]

【化15】 [Chemical 15]

【0035】これらの化合物は、三次元に結合、あるい
は互いに共重合していてもよい。さらに、炭素ポリマと
の共重合体やグラフト重合体であってもよい。これらの
ケイ素化合物の分子量は、特に限定されないが500〜
100,000であることが好ましく、1,000〜5
0,000であることがより好ましい。500未満の場
合には膜形成が困難となり、一方、100,000を越
えると、ポリマーの溶解性が著しく低下するおそれがあ
る。
These compounds may be three-dimensionally bonded or may be copolymerized with each other. Further, it may be a copolymer with a carbon polymer or a graft polymer. The molecular weight of these silicon compounds is not particularly limited, but is 500 to
It is preferably 100,000, and 1,000 to 5
More preferably, it is 10,000. If it is less than 500, film formation becomes difficult, while if it exceeds 100,000, the solubility of the polymer may be significantly reduced.

【0036】上述したようなケイ素化合物は、1種類に
限定することなく、数種類のケイ素化合物を混合して用
いることもできる。また、必要に応じて、貯蔵安定性を
高めるための熱重合防止剤、基板との密着性を向上させ
るための密着性向上剤等を添加してもよい。
The silicon compound as described above is not limited to one kind, and several kinds of silicon compounds can be mixed and used. Further, if necessary, a thermal polymerization inhibitor for increasing storage stability, an adhesion improver for improving adhesion to the substrate, etc. may be added.

【0037】上述したようなケイ素化合物のなかでも、
主鎖のケイ素原子に水素原子が結合したものを用いる場
合には、架橋剤を配合することが好ましい。架橋剤とし
ては、多重結合を有する有機物を用いることができる。
多重結合を有する有機物とは、二重結合または三重結合
を有する化合物、より具体的には、ビニル基、アクリル
基、アリール基、イミド基、アセチレニル基などを有す
る化合物である。このような多重結合を有する有機物
は、モノマー、オリゴマー、およびポリマーのいずれの
状態で用いてもよい。
Among the above silicon compounds,
When a hydrogen atom bonded to a silicon atom of the main chain is used, it is preferable to add a crosslinking agent. As the cross-linking agent, an organic substance having multiple bonds can be used.
The organic substance having a multiple bond is a compound having a double bond or a triple bond, more specifically, a compound having a vinyl group, an acryl group, an aryl group, an imide group, an acetylenyl group, or the like. The organic substance having such a multiple bond may be used in any state of a monomer, an oligomer and a polymer.

【0038】このような多重結合を有する有機物は、熱
または光によりケイ素化合物のSi−H結合との間で付
加反応を起こし、ケイ素化合物を架橋させる。なお、多
重結合を有する有機物は、自己重合していてもよい。多
重結合を有する有機物の具体例を以下に示す。
The organic compound having such a multiple bond causes an addition reaction with the Si—H bond of the silicon compound by heat or light to crosslink the silicon compound. The organic substance having multiple bonds may be self-polymerized. Specific examples of organic substances having multiple bonds are shown below.

【0039】[0039]

【化16】 [Chemical 16]

【0040】[0040]

【化17】 [Chemical 17]

【0041】[0041]

【化18】 [Chemical 18]

【0042】[0042]

【化19】 [Chemical 19]

【0043】[0043]

【化20】 [Chemical 20]

【0044】[0044]

【化21】 [Chemical 21]

【0045】[0045]

【化22】 [Chemical formula 22]

【0046】[0046]

【化23】 [Chemical formula 23]

【0047】[0047]

【化24】 [Chemical formula 24]

【0048】[0048]

【化25】 [Chemical 25]

【0049】上述のように、ケイ素化合物に対して多重
結合を有する有機物を混合した場合、触媒としてラジカ
ル発生剤または酸発生剤を添加してもよい。これらのラ
ジカル発生剤または酸発生剤は、多重結合を有する有機
物とSi−Hとの付加反応または自己重合を助ける役割
をする。
As described above, when the organic compound having multiple bonds is mixed with the silicon compound, a radical generator or an acid generator may be added as a catalyst. These radical generators or acid generators play a role of assisting addition reaction or self-polymerization of an organic substance having a multiple bond with Si-H.

【0050】ラジカル発生剤としては、アゾ化合物(例
えば、アゾビスイソブチロニトリル)、過酸化物、アル
キルアリールケトン、シリルペルオキシド、有機ハロゲ
ン化物などが挙げられる。ラジカル発生剤は、光照射ま
たは加熱により分子中のO−O結合またはC−C結合が
分解してラジカルを発生する。ラジカル発生剤として
は、例えば、以下に示すものが挙げられる。
Examples of the radical generator include azo compounds (for example, azobisisobutyronitrile), peroxides, alkyl aryl ketones, silyl peroxides and organic halides. The radical generator decomposes an O—O bond or a C—C bond in the molecule by light irradiation or heating to generate a radical. Examples of the radical generator include those shown below.

【0051】[0051]

【化26】 [Chemical formula 26]

【0052】こうした酸化物を使用する場合は、有機ケ
イ素膜の溶液材料に前記酸化物を含有させる。酸化物の
含有量は、ケイ素化合物100重量部に対して0.01
〜50重量部であることが好ましく、1〜20重量部で
あることがより好ましい。
When such an oxide is used, the oxide is contained in the solution material of the organic silicon film. The oxide content is 0.01 with respect to 100 parts by weight of the silicon compound.
It is preferably -50 parts by weight, more preferably 1-20 parts by weight.

【0053】本発明の方法において、被加工層2上に有
機ケイ素膜3を形成するに当たっては、例えば、スピン
コート法、蒸着およびCVDなどのプロセスが適用でき
る。スピンコートする場合には、上述したような成分を
所定の有機溶媒に溶解したポリマ溶液が用いられる。溶
剤としては特に限定されないが、例えば、アセトン、メ
チルエチルケトン、メチルイソブチルケトン、シクロヘ
キサノン、メチルセロソルブ、メチルセロソルブアセテ
ート、酢酸エチル、酢酸ブチル、酢酸イソアミル、トル
エン、キシレン、アニソール、オクタン、パラフィン、
アイソパーなどのケトン系、エステル系、エーテル系の
極性溶液、非極性溶液が挙げられる。溶剤の濃度は特に
限定されないが、0.1〜50重量%が好ましい。
In forming the organic silicon film 3 on the layer 2 to be processed in the method of the present invention, processes such as spin coating, vapor deposition and CVD can be applied. In the case of spin coating, a polymer solution prepared by dissolving the above components in a predetermined organic solvent is used. The solvent is not particularly limited, for example, acetone, methyl ethyl ketone, methyl isobutyl ketone, cyclohexanone, methyl cellosolve, methyl cellosolve acetate, ethyl acetate, butyl acetate, isoamyl acetate, toluene, xylene, anisole, octane, paraffin,
Examples include ketone-based, ester-based, ether-based polar solutions such as isoper and non-polar solutions. The concentration of the solvent is not particularly limited, but is preferably 0.1 to 50% by weight.

【0054】有機ケイ素膜3の膜厚は、0.001μm
〜10μmとすることが好ましい。0.001μm未満
の場合には、マスク耐性を確保することが困難となり、
一方10μmを越えると、エッチングパターンを形成す
ることが困難になるおそれがある。
The thickness of the organic silicon film 3 is 0.001 μm.
The thickness is preferably 10 μm. If it is less than 0.001 μm, it becomes difficult to secure mask resistance,
On the other hand, if it exceeds 10 μm, it may be difficult to form an etching pattern.

【0055】こうして形成された有機ケイ素膜3に対
し、図1(a)に示すようにパターン露光を行なうこと
により、有機ケイ素膜の露光部を光酸化する。露光光4
としては、例えば、水銀灯、XeF(351nm)、X
eCl(308nm)、KrF(248nm)、KrC
l(222nm)、ArF(193nm)、F2 (15
1nm)、電子線、イオンビーム、およびX線を使用す
ることができる。
The organic silicon film 3 thus formed is subjected to pattern exposure as shown in FIG. 1A to photo-oxidize the exposed portion of the organic silicon film. Exposure light 4
For example, a mercury lamp, XeF (351 nm), X
eCl (308 nm), KrF (248 nm), KrC
l (222 nm), ArF (193 nm), F 2 (15
1 nm), electron beam, ion beam, and X-ray can be used.

【0056】有機ケイ素膜3に対してこれらのエネルギ
ー線を照射することによって、まず、露光部の有機ケイ
素膜中におけるSi−Si結合が開裂して、ケイ素膜中
の酸素分子、酸化成分、大気中の酸素分子と結合するこ
とにより酸化される。こうして、ケイ素膜の露光部3a
に選択的にSi−O−Si結合が生じる。場合によって
は、酸化被膜を安定化させるために、露光後80〜20
0℃程度で加熱を行なってもよい。ポリシランには還元
力を有しているが、酸化されシロキサンとなるとその還
元力が消滅する。
By irradiating the organosilicon film 3 with these energy rays, first, the Si--Si bond in the organosilicon film in the exposed portion is cleaved, so that oxygen molecules, oxidizing components, and air in the silicon film are exposed. It is oxidized by binding with oxygen molecules inside. Thus, the exposed portion 3a of the silicon film
Si-O-Si bond is selectively generated in the. In some cases, in order to stabilize the oxide film, it may be 80 to 20 after exposure.
You may heat at about 0 degreeC. Polysilane has a reducing power, but when it is oxidized into siloxane, the reducing power disappears.

【0057】露光部3aの還元力を消失させて、未露光
部3bのみに還元力を残存させたポリシランに、例えば
パラジウム塩、錫塩のコロイド溶液、アルコール溶液を
接触させると、未露光部3bのみにパラジウム、錫が析
出する。
When polysilane having the reducing power of the exposed portion 3a disappeared and the reducing power remaining only in the unexposed portion 3b is brought into contact with a colloidal solution of a palladium salt or a tin salt or an alcohol solution, the unexposed portion 3b Only palladium and tin are deposited.

【0058】また、ニッケルイオン、銅イオン、コバル
トイオン、銀イオン、金イオン等の還元性物質を含むリ
ン酸塩、ホルマリン水溶液を接触させることにより、未
露光部のポリシラン膜3b上にはニッケル、銅、コバル
ト、銀、金等の金属、すなわち還元された物質が析出し
て、図1(b)に示すように還元された物質からなる膜
(金属膜)5が形成される。なお、硝酸銀のようなポリ
シランの還元作用で還元されやすい金属は、触媒を用い
なくても金属を析出させることが可能である。
Further, by contacting a phosphate containing a reducing substance such as nickel ion, copper ion, cobalt ion, silver ion, gold ion or the like and an aqueous solution of formalin, nickel on the unexposed portion of the polysilane film 3b, A metal such as copper, cobalt, silver, or gold, that is, a reduced substance is deposited to form a film (metal film) 5 made of the reduced substance as shown in FIG. 1B. A metal such as silver nitrate that is easily reduced by the reducing action of polysilane can be deposited without using a catalyst.

【0059】本工程では、有機ケイ素膜の露光部におけ
る少なくとも一部のケイ素−ケイ素結合をシロキサン結
合に変換するための酸素源が系中に必要である。酸素源
としては、酸素ガス、酸化物、さらに上述したラジカル
発生剤として列挙したペルオキシド類(化合物[4−
1]〜[4−12])を用いることができる。Si−S
i結合の酸化は、露光中に生じてもよく、あるいは露光
後に真空中または実質的に無酸素下で酸素源と接触させ
ることによって酸化させてもよい。上述したような酸化
物の含有量は、ケイ素化合物100重量部に対して0.
01〜50重量部、好ましくは1〜20重量部である。
これらの酸化物は数種類混合して用いることもできる。
In this step, an oxygen source for converting at least a part of silicon-silicon bonds in the exposed portion of the organic silicon film into siloxane bonds is required in the system. As the oxygen source, oxygen gas, oxides, and the peroxides (compound [4-
1] to [4-12]) can be used. Si-S
Oxidation of the i-bond may occur during exposure, or it may be oxidized after exposure by contact with an oxygen source in vacuum or substantially oxygen free. The content of the oxide as described above is 0.
The amount is 01 to 50 parts by weight, preferably 1 to 20 parts by weight.
These oxides can be used as a mixture of several kinds.

【0060】生成した金属膜5をマスクとして用いて、
図1(c)に示すようにポリシラン膜の露光部3aをエ
ッチングにより除去する。エッチングには、例えば、反
応性プラズマエッチング方式、IPCエッチング方式、
あるいはECRプラズマエッチング方式、マグネトロン
反応性プラズマエッチング方式、電子ビームプラズマエ
ッチング方式などの任意の方法を採用することができ
る。
Using the generated metal film 5 as a mask,
As shown in FIG. 1C, the exposed portion 3a of the polysilane film is removed by etching. For the etching, for example, a reactive plasma etching method, an IPC etching method,
Alternatively, any method such as an ECR plasma etching method, a magnetron reactive plasma etching method, and an electron beam plasma etching method can be adopted.

【0061】こうして、有機ケイ素膜パターン3bと金
属膜5とをマスクとして、図1(d)に示すように被加
工層2をエッチングにより加工する。この際のソースガ
スは、被加工層2の材質に応じて適宜選択することがで
き、例えば、ハロゲン系ガス、酸素系ガスが選択され
る。酸化膜または窒化膜からなる被加工層をエッチング
する場合には、フッ素系ガスをソースガスとして用いる
ドライエッチング法が好ましい。このようなソースガス
としては、具体的にはSF6 、NF3 、CF4 、CHF
3 、C2 6 、C3 8 、およびC2 2 などが挙げら
れる。これらのソースガスには、水素、CO、またはA
rなどのガスを添加して用いることもできる。
In this way, the processed layer 2 is processed by etching as shown in FIG. 1D using the organic silicon film pattern 3b and the metal film 5 as a mask. The source gas at this time can be appropriately selected according to the material of the layer to be processed 2, and for example, halogen-based gas or oxygen-based gas is selected. When etching a layer to be processed made of an oxide film or a nitride film, a dry etching method using a fluorine-based gas as a source gas is preferable. Specific examples of such a source gas include SF 6 , NF 3 , CF 4 , and CHF.
3 , C 2 F 6 , C 3 F 8 , C 2 F 2 and the like. These source gases include hydrogen, CO, or A
A gas such as r may be added for use.

【0062】なお、被加工層2が有機ポリマーからなる
場合には、酸素プラズマを用いた酸素反応性イオンエッ
チングが有効である。
When the layer 2 to be processed is made of an organic polymer, oxygen reactive ion etching using oxygen plasma is effective.

【0063】最後に、ハロゲン系ガスによるプラズマエ
ッチング、ウェットエッチング等により有機ケイ素膜パ
ターン3bを剥離して、図1(e)に示すようにパター
ニングされた被加工層2aが得られる。
Finally, the organosilicon film pattern 3b is peeled off by plasma etching with a halogen-based gas, wet etching, etc., and the patterned layer 2a to be processed is obtained as shown in FIG. 1 (e).

【0064】本発明によれば、有機ケイ素層に対してエ
ッチングマスク性の高い化合物を未露光部のみに選択的
に形成することができるため、有効に有機ケイ素層を異
方性的にエッチングすることができる。本方法は、従来
法である有機レジストを露光し現像する場合に比較し
て、アスペクト比の高い矩形のパターンを得ることがで
きる。
According to the present invention, a compound having a high etching mask property with respect to the organic silicon layer can be selectively formed only in the unexposed portion, so that the organic silicon layer is effectively anisotropically etched. be able to. This method can obtain a rectangular pattern having a high aspect ratio as compared with the conventional method of exposing and developing an organic resist.

【0065】本発明の第2のパターン形成方法は、被加
工層上に、ケイ素−ケイ素結合を主鎖に有するケイ素化
合物を含有した有機ケイ素膜を形成する工程と、前記有
機ケイ素膜に対して、実質的に不活性ガス下でパターン
露光を行なって、有機ケイ素膜の露光部にSi−C結合
を有する部分を形成する工程と、前記Si−C結合を有
する部分をマスクとして前記有機ケイ素膜をエッチング
して有機ケイ素膜パターンを形成する工程と、前記有機
ケイ素膜パターンをマスクとして被加工層をエッチング
する工程とを具備する。
The second pattern forming method of the present invention comprises a step of forming an organosilicon film containing a silicon compound having a silicon-silicon bond in the main chain on the layer to be processed, and the step of forming the organosilicon film. A step of performing pattern exposure under a substantially inert gas to form a portion having a Si—C bond in an exposed portion of the organic silicon film, and the portion having the Si—C bond as a mask To form an organic silicon film pattern, and a step of etching the layer to be processed using the organic silicon film pattern as a mask.

【0066】図面を参照して、本発明の第2のパターン
形成方法を詳細に説明する。
The second pattern forming method of the present invention will be described in detail with reference to the drawings.

【0067】図2は、本発明の第2のパターン形成方法
の一例を表わす工程断面図である。
FIG. 2 is a process sectional view showing an example of the second pattern forming method of the present invention.

【0068】まず、図2(a)に示すように、基板1上
に形成された被加工層上2に、有機ケイ素膜3を形成す
る。
First, as shown in FIG. 2A, an organic silicon film 3 is formed on a layer 2 to be processed formed on a substrate 1.

【0069】被加工層2としては、前述と同様のものが
挙げられる。有機ケイ素膜3は前述と同様の材料を用い
て、前述と同様の手法で被加工層2の上に形成すること
ができる。
As the layer 2 to be processed, the same ones as mentioned above can be mentioned. The organic silicon film 3 can be formed on the layer to be processed 2 by using the same material as described above and the same method as described above.

【0070】形成された有機ケイ素膜3に対しては、図
2(a)に示すようにパターン露光が行なわれるが、本
発明の第2のパターン形成方法においては、このパター
ン露光は実質的に不活性ガス雰囲気下、すなわち無酸素
下で行なわれる。露光光4としては、例えば、水銀灯、
XeF(351nm)、XeCl(308nm)、Kr
F(248nm)、KrCl(222nm)、ArF
(193nm)、F2 (151nm)、電子線、イオン
ビーム、およびX線等が使用できる。露光中、または露
光後には80〜200℃程度の加熱工程を加えてもよ
い。
The formed organic silicon film 3 is subjected to pattern exposure as shown in FIG. 2A. In the second pattern forming method of the present invention, this pattern exposure is substantially performed. It is carried out in an inert gas atmosphere, that is, in the absence of oxygen. As the exposure light 4, for example, a mercury lamp,
XeF (351 nm), XeCl (308 nm), Kr
F (248 nm), KrCl (222 nm), ArF
(193 nm), F 2 (151 nm), electron beam, ion beam, X-ray and the like can be used. A heating step at about 80 to 200 ° C. may be added during or after the exposure.

【0071】有機ケイ素膜3に対して、実質的に不活性
ガス雰囲気下でこれらのエネルギー線を照射することに
よって、まず露光部の有機ケイ素膜中におけるSi−S
i結合が開裂して、露光部の有機ケイ素膜にS−C−S
i結合が選択的に生じる。こうして露光後の有機ケイ素
膜においては、Si−Si結合が残存する未露光部と、
Si−C−Si結合が生じた露光部とでエッチングに対
する選択性が生じる。なお、Si−C−Si中には、エ
ッチング選択性を妨害しない程度に酸素が混入していて
もよい。
By irradiating the organosilicon film 3 with these energy rays in a substantially inert gas atmosphere, first, the Si--S in the exposed portion of the organosilicon film is exposed.
When the i-bond is cleaved, S--C--S
i-bonding occurs selectively. Thus, in the organosilicon film after exposure, an unexposed portion where Si--Si bonds remain,
Selectivity for etching occurs in the exposed portion where the Si-C-Si bond is generated. Note that oxygen may be mixed in Si—C—Si to the extent that etching selectivity is not hindered.

【0072】有機ケイ素膜の露光部に生成したSi−C
−Si結合部分をマスクとして用いて、有機ケイ素膜を
エッチングすることにより、図2(b)に示すように有
機ケイ素膜パターン3cが形成される。有機ケイ素膜の
エッチングに当たっては、反応性プラズマエッチング、
IPCエッチング等、第1のパターン形成方法の場合と
同様の方法を採用することができる。
Si-C formed on the exposed portion of the organic silicon film
By etching the organic silicon film using the —Si bond portion as a mask, an organic silicon film pattern 3c is formed as shown in FIG. 2B. In etching the organic silicon film, reactive plasma etching,
A method similar to the case of the first pattern forming method such as IPC etching can be adopted.

【0073】続いて、有機ケイ素膜パターン3cをマス
クとして用いて、第1のパターン形成方法の場合と同様
の手法で、図2(c)に示すように被加工層2をエッチ
ングした後、有機ケイ素膜パターン3cを前述と同様の
手法で剥離して、図2(d)に示すようにパターニング
された被加工層2aが得られる。
Then, using the organic silicon film pattern 3c as a mask, the layer 2 to be processed is etched as shown in FIG. 2C in the same manner as in the case of the first pattern forming method. The silicon film pattern 3c is peeled off by the same method as described above to obtain the patterned layer 2a to be processed as shown in FIG. 2 (d).

【0074】本発明によれば、等方性のウェット現像で
はなく、有機ケイ素層に対してエッチングマスク性の高
い化合物を露光部のみに選択的に形成することができる
ため、有効に有機ケイ素層を異方性的にドライエッチン
グすることができる。本方法は、従来法である有機レジ
ストを露光し現像する場合に比較して、アスペクト比の
高い矩形のパターンを得ることができる。
According to the present invention, a compound having a high etching mask property with respect to the organic silicon layer can be selectively formed only in the exposed portion instead of isotropic wet development, so that the organic silicon layer can be effectively used. Can be anisotropically dry-etched. This method can obtain a rectangular pattern having a high aspect ratio as compared with the conventional method of exposing and developing an organic resist.

【0075】本発明の第3のパターン形成方法は、被加
工層上に、ケイ素−ケイ素結合を主鎖に有するケイ素化
合物を含有した有機ケイ素膜を形成する工程と、前記有
機ケイ素膜上に、Si−O−Si結合を有しアルカリ現
像可能なレジスト膜を形成する工程と、前記レジスト膜
にパターン露光およびアルカリ水溶液による現像を行な
って、有機ケイ素膜の上にSi−O結合を有するパター
ンを形成する工程と、前記Si−O結合を有する部分を
マスクとして、前記有機ケイ素膜をエッチングして有機
ケイ素膜パターンを形成する工程と、前記有機ケイ素膜
パターンをマスクとして被加工層をエッチングする工程
とを具備する。
The third pattern forming method of the present invention comprises a step of forming an organic silicon film containing a silicon compound having a silicon-silicon bond in the main chain on the layer to be processed, and the step of forming an organic silicon film on the organic silicon film. A step of forming a resist film having a Si-O-Si bond and capable of being alkali-developed, and pattern exposure and development with an alkaline aqueous solution are performed on the resist film to form a pattern having a Si-O bond on the organic silicon film. Forming step, a step of etching the organic silicon film by using the portion having the Si-O bond as a mask to form an organic silicon film pattern, and a step of etching a processed layer by using the organic silicon film pattern as a mask And.

【0076】図面を参照して、本発明の第3のパターン
形成方法を詳細に説明する。
The third pattern forming method of the present invention will be described in detail with reference to the drawings.

【0077】図3および図4は、本発明の第3のパター
ン形成方法の一例を表わす工程断面図である。
3 and 4 are process sectional views showing an example of the third pattern forming method of the present invention.

【0078】まず、図3(a)に示すように、基板1上
に形成された被加工層上2に、有機ケイ素膜3を形成す
る。
First, as shown in FIG. 3A, the organosilicon film 3 is formed on the layer 2 to be processed formed on the substrate 1.

【0079】被加工層2としては、前述と同様のものが
挙げられる。有機ケイ素膜3は前述と同様の材料を用い
て、前述と同様の手法で被加工層2の上に形成すること
ができる。
As the layer 2 to be processed, the same ones as mentioned above can be mentioned. The organic silicon film 3 can be formed on the layer to be processed 2 by using the same material as described above and the same method as described above.

【0080】形成された有機ケイ素膜3の上には、図3
(b)に示すようにSi−O−Si結合を有するアルカ
リ現像可能なレジスト膜6を形成する。Si−O−Si
結合を有するアルカリ現像可能なレジストとは、Si−
O−Si結合を主鎖または側鎖に有する感光性組成物で
あり、化学増幅型レジストおよび非化学増幅型レジスト
のいずれであってもよい。
On the organic silicon film 3 thus formed, FIG.
As shown in (b), an alkali developable resist film 6 having a Si—O—Si bond is formed. Si-O-Si
The alkali-developable resist having a bond is Si-
It is a photosensitive composition having an O—Si bond in its main chain or side chain, and may be either a chemically amplified resist or a non-chemically amplified resist.

【0081】化学増幅型レジストは、例えば、下記一般
式(1)で表わされる繰り返し単位を有するポリマー
と、化学放射線の照射により酸を発生する光酸発生剤と
により構成することができる。
The chemically amplified resist can be composed of, for example, a polymer having a repeating unit represented by the following general formula (1) and a photo-acid generator capable of generating an acid upon irradiation with actinic radiation.

【0082】[0082]

【化27】 [Chemical 27]

【0083】上記一般式(1)中、Xは酸で分解し、カ
ルボン酸、フェノール基、シラノール基を発生してアル
カリ水溶液に可溶化する基である。具体的には、以下に
示す基が挙げられる。
In the above general formula (1), X is a group which is decomposed by an acid to generate a carboxylic acid, a phenol group and a silanol group and is solubilized in an alkaline aqueous solution. Specific examples include the groups shown below.

【0084】[0084]

【化28】 [Chemical 28]

【0085】またYは、水素原子、炭素数1〜20の置
換または非置換の炭化水素基、芳香族炭化水素基を示
す。具体的には、以下に示す基が挙げられる。
Y represents a hydrogen atom, a substituted or unsubstituted hydrocarbon group having 1 to 20 carbon atoms, or an aromatic hydrocarbon group. Specific examples include the groups shown below.

【0086】[0086]

【化29】 [Chemical 29]

【0087】一般式(1)で表わされる繰り返し単位を
有するポリマーとしては、例えば以下に示すものが挙げ
られる。
Examples of the polymer having the repeating unit represented by the general formula (1) include those shown below.

【0088】[0088]

【化30】 [Chemical 30]

【0089】さらに、これらの繰り返し単位のうちの任
意のものが共重合してなる共重合体であってもよい。
Further, it may be a copolymer obtained by copolymerizing any of these repeating units.

【0090】本発明の第3のパターン形成方法において
用いられる上述したポリマーの分子量は特に限定されな
いが、通常500〜100,000程度である。また、
その配合量は、レジストに対して通常50〜99.9%
程度である。
The molecular weight of the above-mentioned polymer used in the third pattern forming method of the present invention is not particularly limited, but is usually about 500 to 100,000. Also,
The content is usually 50 to 99.9% with respect to the resist.
It is a degree.

【0091】また、化学増幅型レジストの他の成分であ
る光酸発生剤としては、例えば、以下に示すものが挙げ
られる。
Examples of the photo-acid generator which is another component of the chemically amplified resist include those shown below.

【0092】[0092]

【化31】 [Chemical 31]

【0093】[0093]

【化32】 [Chemical 32]

【0094】[0094]

【化33】 [Chemical 33]

【0095】[0095]

【化34】 [Chemical 34]

【0096】[0096]

【化35】 [Chemical 35]

【0097】[0097]

【化36】 [Chemical 36]

【0098】[0098]

【化37】 [Chemical 37]

【0099】[0099]

【化38】 [Chemical 38]

【0100】[0100]

【化39】 [Chemical Formula 39]

【0101】[0101]

【化40】 [Chemical 40]

【0102】上述したような光酸発生剤は、ポリマーに
対して通常0.1〜10%の割合で配合される。
The photo-acid generator as described above is usually blended in a proportion of 0.1 to 10% with respect to the polymer.

【0103】前記一般式(1)で表わされるポリマーお
よび光酸発生剤に加えて、化学増幅型レジストには、さ
らにアルカリ水溶液に可溶なポリマーが混合されていて
もよい。具体的には、フェノール樹脂、フェノールが置
換したシロキサン樹脂、カルボン酸が置換したシロキサ
ン樹脂、ポリアクリル酸誘導体、ポリメタクリル酸誘導
体、およびシラノール含有シロキサン樹脂などを挙げる
ことができる。こうしたアルカリ可溶性ポリマーを配合
する場合、その配合量は、アルカリ水溶液への溶解性や
エッチング選択比等に応じて適宜決定することができる
が、通常、ケイ素ポリマーに対して1〜50重量部程度
である。
In addition to the polymer represented by the general formula (1) and the photo-acid generator, the chemically amplified resist may further contain a polymer soluble in an alkaline aqueous solution. Specific examples thereof include a phenol resin, a siloxane resin substituted with phenol, a siloxane resin substituted with carboxylic acid, a polyacrylic acid derivative, a polymethacrylic acid derivative, and a silanol-containing siloxane resin. When such an alkali-soluble polymer is blended, the blending amount can be appropriately determined according to the solubility in an alkaline aqueous solution, the etching selection ratio, etc., but is usually about 1 to 50 parts by weight with respect to the silicon polymer. is there.

【0104】一方、非化学増幅型レジストは、感光剤と
アルカリ可溶性樹脂とにより構成することができる。感
光剤としては、ナフトキノンジアジドおよびアジド化合
物等が挙げられ、アルカリ可溶性樹脂としては、以下の
化学式で表わされる化合物を用いることができる。
On the other hand, the non-chemically amplified resist can be composed of a photosensitizer and an alkali-soluble resin. Examples of the photosensitizer include naphthoquinonediazide and azide compounds, and examples of the alkali-soluble resin include compounds represented by the following chemical formula.

【0105】[0105]

【化41】 [Chemical 41]

【0106】非化学増幅型レジストを使用する場合、感
光剤は、アルカリ可溶性樹脂に対して通常1〜30%程
度の割合で配合される。
When a non-chemically amplified resist is used, the photosensitizer is usually added in a proportion of about 1 to 30% with respect to the alkali-soluble resin.

【0107】上述したような化学増幅型レジストまたは
非化学増幅型レジストは、例えばスピンコート法などに
より有機ケイ素膜上に塗布し、50〜130℃程度で加
熱して、膜厚0.1〜2μm程度のレジスト膜を形成す
る。
The chemically amplified resist or the non-chemically amplified resist as described above is applied on an organic silicon film by, for example, a spin coating method and heated at about 50 to 130 ° C. to have a film thickness of 0.1 to 2 μm. A resist film is formed to some extent.

【0108】得られたレジスト膜6および有機ケイ素膜
3に対し、図3(b)に示すようにパターン露光を行な
う。露光光4としては、例えば、水銀灯、XeF(35
1nm)、XeCl(308nm)、KrF(248n
m)、KrCl(222nm)、ArF(193n
m)、F2 (151nm)、電子線、イオンビーム、お
よびX線等を使用することができる。
The resist film 6 and the organic silicon film 3 thus obtained are subjected to pattern exposure as shown in FIG. 3 (b). As the exposure light 4, for example, a mercury lamp, XeF (35
1 nm), XeCl (308 nm), KrF (248n)
m), KrCl (222 nm), ArF (193n)
m), F 2 (151 nm), electron beam, ion beam, X-ray and the like can be used.

【0109】レジスト膜6の露光部には、Si−O結合
が形成される。
In the exposed portion of the resist film 6, Si—O bonds are formed.

【0110】露光後のレジスト膜6に対しては、必要に
応じて50〜150℃で0.1〜5分程度のベークを行
ない、アルカリ水溶液で現像することによって、図3
(c)に示すようなシロキサンベースのパターン6aが
形成される。ここで用い得るアルカリ水溶液としては、
例えば、テトラメチルアンモニウムヒドロキシド溶液、
コリン、水酸化ナトリウム、および水酸化カリウム等が
挙げられる。
The exposed resist film 6 is baked at 50 to 150 ° C. for about 0.1 to 5 minutes if necessary, and is developed with an alkaline aqueous solution, so that the pattern shown in FIG.
A siloxane-based pattern 6a as shown in (c) is formed. As the alkaline aqueous solution that can be used here,
For example, tetramethylammonium hydroxide solution,
Examples include choline, sodium hydroxide, potassium hydroxide and the like.

【0111】なお、ここではネガ型のレジストを用いた
例を説明しているが、ポジ型のレジストを用いた場合
は、現像後にはレジスト膜6の未露光部が残ってシロキ
サンベースのパターンが形成される。
Although an example in which a negative resist is used is described here, when a positive resist is used, an unexposed portion of the resist film 6 remains after development and a siloxane-based pattern is formed. It is formed.

【0112】こうして形成されたシロキサンベースのパ
ターン6a、さらに場合によっては有機ケイ素膜3中に
形成されたSi−O結合部分をマスクとして用いて、有
機ケイ素膜をエッチングすることにより、図4(a)に
示すように有機ケイ素膜パターン3aが形成される。有
機ケイ素膜のエッチングに当たっては、反応性プラズマ
エッチング、IPCエッチング等、第1のパターン形成
方法の場合と同様の方法を採用することができる。
By using the siloxane-based pattern 6a thus formed and, optionally, the Si—O bond portion formed in the organic silicon film 3 as a mask, the organic silicon film is etched to form a pattern shown in FIG. ), The organosilicon film pattern 3a is formed. For the etching of the organic silicon film, the same method as in the case of the first pattern forming method such as reactive plasma etching and IPC etching can be adopted.

【0113】続いて、有機ケイ素膜パターン3aをマス
クとして用いて、第1のパターン形成方法の場合と同様
の手法で、図4(b)に示すように被加工層2をエッチ
ングした後、有機ケイ素膜パターン3aを前述と同様の
手法で剥離して、図4(c)に示すようにパターニング
された被加工層2aが得られる。
Then, using the organic silicon film pattern 3a as a mask, the layer 2 to be processed is etched as shown in FIG. 4B in the same manner as in the case of the first pattern forming method. The silicon film pattern 3a is peeled off by the same method as described above to obtain the patterned layer 2a to be processed as shown in FIG. 4 (c).

【0114】本発明によれば、有機ケイ素層に対してエ
ッチングマスク性の高いSi−O結合を有する化合物を
未露光部または露光部のみに薄膜で形成することができ
るため、有効に有機ケイ素層を異方性的にエッチングす
ることができ、アスペクト比の高い矩形パターンを得る
ことができる。エッチング選択比がとれない従来の有機
レジストを露光し現像する場合に比較して、アスペクト
比の高い矩形パターンを得ることができる。
According to the present invention, a compound having a Si—O bond having a high etching mask property with respect to an organic silicon layer can be formed as a thin film only in an unexposed area or an exposed area, so that the organic silicon layer can be effectively used. Can be anisotropically etched, and a rectangular pattern with a high aspect ratio can be obtained. A rectangular pattern having a high aspect ratio can be obtained as compared with the case of exposing and developing a conventional organic resist that does not have a high etching selectivity.

【0115】本発明の第4のパターン形成方法は、被加
工層上に、ケイ素−ケイ素結合を主鎖に有するケイ素化
合物を含有した有機ケイ素膜を形成する工程と、前記有
機ケイ素膜にパターン露光を行なって、有機ケイ素膜の
露光部にシロキサン結合を形成する工程と、前記有機ケ
イ素膜に有機ケイ素化合物を接触させて、露光部の有機
ケイ素膜の上または中にSi−O結合を選択的に形成す
る工程と、前記Si−O結合を有する部分をマスクとし
て前記有機ケイ素膜をエッチングして有機ケイ素膜パタ
ーンを形成する工程と、前記有機ケイ素膜パターンをマ
スクとして被加工層をエッチングする工程とを具備す
る。
The fourth pattern forming method of the present invention comprises a step of forming an organic silicon film containing a silicon compound having a silicon-silicon bond in the main chain on the layer to be processed, and pattern exposure of the organic silicon film. And forming a siloxane bond on the exposed portion of the organosilicon film, and bringing the organosilicon compound into contact with the organosilicon film to selectively form a Si—O bond on or in the exposed portion of the organosilicon film. A step of forming an organic silicon film pattern by etching the organic silicon film using the portion having the Si—O bond as a mask, and a step of etching the layer to be processed using the organic silicon film pattern as a mask. And.

【0116】図面を参照して、本発明の第4のパターン
形成方法を詳細に説明する。
The fourth pattern forming method of the present invention will be described in detail with reference to the drawings.

【0117】図5は、本発明の第4のパターン形成方法
の一例を表わす工程断面図である。
FIG. 5 is a process sectional view showing an example of the fourth pattern forming method of the present invention.

【0118】まず、図5(a)に示すように、基板1上
に形成された被加工層上2に、有機ケイ素膜3を形成す
る。
First, as shown in FIG. 5A, the organosilicon film 3 is formed on the layer 2 to be processed formed on the substrate 1.

【0119】被加工層2としては、前述と同様のものが
挙げられる。有機ケイ素膜3は前述と同様の材料を用い
て、前述と同様の手法で被加工層2の上に形成すること
ができる。
As the layer 2 to be processed, the same ones as mentioned above can be mentioned. The organic silicon film 3 can be formed on the layer to be processed 2 by using the same material as described above and the same method as described above.

【0120】得られた有機ケイ素膜3に対し、図5
(a)に示すようにパターン露光を行なう。露光光4と
しては、例えば、水銀灯、XeF(351nm)、Xe
Cl(308nm)、KrF(248nm)、KrCl
(222nm)、ArF(193nm)、F2 (151
nm)、電子線、イオンビーム、およびX線等を使用す
ることができる。
The obtained organosilicon film 3 is shown in FIG.
Pattern exposure is performed as shown in FIG. As the exposure light 4, for example, a mercury lamp, XeF (351 nm), Xe
Cl (308 nm), KrF (248 nm), KrCl
(222 nm), ArF (193 nm), F 2 (151
nm), electron beams, ion beams, X-rays, and the like can be used.

【0121】有機ケイ素膜3に対してこれらのエネルギ
ー線を照射することによって、まず、露光部の有機ケイ
素膜中におけるSi−Si結合が開裂して、ケイ素膜中
の酸素分子、酸化成分、大気中の酸素分子と結合するこ
とにより酸化される。こうして、ケイ素膜の露光部に選
択的にSi−O−Si結合およびSi−OH結合が生じ
る。場合によっては、酸化被膜を安定化させるために、
露光後50〜200℃程度の加熱を行なってもよい。
By irradiating the organosilicon film 3 with these energy rays, first, the Si--Si bond in the organosilicon film in the exposed portion is cleaved, so that oxygen molecules, oxidizing components, and air in the silicon film are exposed. It is oxidized by binding with oxygen molecules inside. Thus, Si—O—Si bonds and Si—OH bonds are selectively generated in the exposed portion of the silicon film. In some cases, to stabilize the oxide film,
After exposure, heating at about 50 to 200 ° C. may be performed.

【0122】このように本工程では、有機ケイ素膜の露
光部における少なくとも一部のケイ素−ケイ素結合をシ
ロキサン結合またはSi−OH結合に変換するための酸
素源が系中に必要である。酸素源としては、酸素ガス、
酸化物、さらに上述したラジカル発生剤として列挙した
ペルオキシド類(化合物[4−1]〜[4−12])を
用いることができる。 Si−Si結合の酸化は、露光
中に生じてもよく、あるいは露光後に真空中または実質
的に無酸素下で酸素源と接触させることによって酸化さ
せてもよい。上述したような酸化物の含有量は、ケイ素
化合物100重量部に対して0.01〜50重量部、好
ましくは1〜20重量部である。これらの酸化物は数種
類混合して用いることもできる。
As described above, in this step, an oxygen source for converting at least a part of silicon-silicon bonds in the exposed portion of the organic silicon film into siloxane bonds or Si-OH bonds is required in the system. As an oxygen source, oxygen gas,
The oxides and the peroxides (compounds [4-1] to [4-12]) listed as the radical generators described above can be used. Oxidation of the Si-Si bond may occur during exposure or it may be post-exposure oxidized by contacting with an oxygen source in vacuum or substantially oxygen free. The content of the oxide as described above is 0.01 to 50 parts by weight, preferably 1 to 20 parts by weight with respect to 100 parts by weight of the silicon compound. These oxides can be used as a mixture of several kinds.

【0123】この後、露光部に気相または液相反応によ
り有機ケイ素化合物を選択的に吸着または反応させて、
図5(b)に示すようなSi−O含有膜7を形成する。
なお、吸着させる有機ケイ素化合物としては、例えば、
低分子量のシロキサン含有化合物、シラザン含有化合
物、例えば、(CH3 3 SiNHSi(CH3 3
(CH3 3 SiNH2 、((CH3 3 Si)3 N、
(CH3 3 SiOSi(CH3 3 、(CH3 2
iHNHSiH(CH3 2 等が挙げられる。こうして
有機ケイ素膜3においては、未露光部と露光部とのSi
−O−Si結合とSi−Si結合との比を増大させるこ
とが可能となり、エッチング選択比を大きくとることが
できる。
Thereafter, an organosilicon compound is selectively adsorbed or reacted on the exposed portion by a gas phase or liquid phase reaction,
The Si—O containing film 7 as shown in FIG. 5B is formed.
The organosilicon compound to be adsorbed is, for example,
Low molecular weight siloxane-containing compounds, silazane-containing compounds such as (CH 3 ) 3 SiNHSi (CH 3 ) 3 ,
(CH 3 ) 3 SiNH 2 , ((CH 3 ) 3 Si) 3 N,
(CH 3 ) 3 SiOSi (CH 3 ) 3 , (CH 3 ) 2 S
iHNHSiH (CH 3) 2 and the like. Thus, in the organic silicon film 3, Si in the unexposed portion and the exposed portion
It is possible to increase the ratio of —O—Si bond and Si—Si bond, and it is possible to increase the etching selection ratio.

【0124】こうして形成されたSi−O含有膜7をマ
スクとして用いて、有機ケイ素膜をエッチングすること
により、図5(c)に示すように有機ケイ素膜パターン
3dが形成される。有機ケイ素膜のエッチングに当たっ
ては、反応性プラズマエッチング、IPCエッチング
等、第1のパターン形成方法の場合と同様の方法を採用
することができる。
Using the Si—O containing film 7 thus formed as a mask, the organic silicon film is etched to form an organic silicon film pattern 3d as shown in FIG. 5C. For the etching of the organic silicon film, the same method as in the case of the first pattern forming method such as reactive plasma etching and IPC etching can be adopted.

【0125】続いて、有機ケイ素膜パターン3dをマス
クとして用いて、第1のパターン形成方法の場合と同様
の手法で、図5(d)に示すように被加工層2をエッチ
ングした後、有機ケイ素膜パターン3dを前述と同様の
手法で剥離して、図5(e)に示すようにパターニング
された被加工層2aが得られる。
Then, using the organic silicon film pattern 3d as a mask, the layer 2 to be processed is etched as shown in FIG. 5D in the same manner as in the case of the first pattern forming method. The silicon film pattern 3d is peeled off in the same manner as described above to obtain the patterned layer 2a to be processed as shown in FIG. 5 (e).

【0126】本発明によれば、有機ケイ素層に対してエ
ッチングマスク性の高いシロキサン化合物を露光部のみ
に選択的に形成することができるため、有効に有機ケイ
素層を異方性的にエッチングすることができる。本方法
は、従来法であるポリシランを部分酸化しパターンを得
る方法に比較して、エッチング選択比を大きくとること
ができ、アスペクト比の高い矩形のパターンを得ること
ができる。
According to the present invention, a siloxane compound having a high etching mask property with respect to an organic silicon layer can be selectively formed only in an exposed portion, so that the organic silicon layer is effectively anisotropically etched. be able to. Compared with the conventional method of partially oxidizing polysilane to obtain a pattern, this method can have a large etching selection ratio and can obtain a rectangular pattern with a high aspect ratio.

【0127】本発明の第5のパターン形成方法は、被加
工層上に、ケイ素−ケイ素結合を主鎖に有するケイ素化
合物を含有した有機ケイ素膜を形成する工程と、前記有
機ケイ素膜に還元性物質を接触させて、還元された物質
からなる膜を前記有機ケイ素膜の全面に形成する工程
と、前記還元された物質からなる膜の上にレジスト膜を
形成する工程と、前記レジスト膜に対し露光、現像を行
なってレジストパターンを得る工程と、得られたレジス
トパターンをマスクとして、前記還元性物質からなる膜
をパターニングする工程と、パターン化された還元性物
質からなる膜およびレジストパターンをマスクとして前
記有機ケイ素膜をパターニングする工程と、パターン化
された還元性物質からなる膜および有機ケイ素膜、なら
びにレジストパターンをマスクとして、被加工層をエッ
チングする工程とを具備する。
The fifth pattern forming method of the present invention comprises the steps of forming an organosilicon film containing a silicon compound having a silicon-silicon bond in the main chain on the layer to be processed, and reducing the organosilicon film. A step of contacting a substance to form a film made of a reduced substance on the entire surface of the organosilicon film; a step of forming a resist film on the film made of the reduced substance; A step of performing exposure and development to obtain a resist pattern, a step of patterning the film made of the reducing substance by using the obtained resist pattern as a mask, and a mask of the patterned film and resist pattern made of the reducing substance. Patterning the organic silicon film as a film, a film made of a patterned reducing substance and an organic silicon film, and a resist pattern As a mask, and a step of etching the layer to be processed.

【0128】図面を参照して、本発明の第5のパターン
形成方法を詳細に説明する。
The fifth pattern forming method of the present invention will be described in detail with reference to the drawings.

【0129】図6および図7は、本発明の第5のパター
ン形成方法の一例を表わす工程断面図である。
6 and 7 are process sectional views showing an example of the fifth pattern forming method of the present invention.

【0130】まず、図6(a)に示すように、基板1上
に形成された被加工層上2に、有機ケイ素膜3を形成す
る。
First, as shown in FIG. 6A, the organosilicon film 3 is formed on the layer 2 to be processed formed on the substrate 1.

【0131】被加工層2としては、前述と同様のものが
挙げられる。有機ケイ素膜3は前述と同様の材料を用い
て、前述と同様の手法で被加工層2の上に形成すること
ができる。
As the layer 2 to be processed, the same ones as described above can be mentioned. The organic silicon film 3 can be formed on the layer to be processed 2 by using the same material as described above and the same method as described above.

【0132】形成された有機ケイ素膜3に対して還元性
物質を接触させて、図6(b)に示すように還元された
物質からなる膜5を有機ケイ素膜3の全面に形成する。
ここで、還元性物質としては、前述の第1のパターン形
成方法で説明したものが挙げられ、前述と同様の手法に
より還元された物質からなる膜(金属膜)5を形成する
ことができる。
A reducing substance is brought into contact with the formed organosilicon film 3 to form a film 5 of the reduced substance on the entire surface of the organosilicon film 3 as shown in FIG. 6B.
Here, examples of the reducing substance include those described in the first pattern forming method described above, and the film (metal film) 5 made of the reduced substance can be formed by the same method as described above.

【0133】この金属膜5は、反射防止膜および導電性
を有する薄膜として作用し、その膜厚は、後の工程で行
なわれるエッチングに影響を与えない程度の薄膜である
ことが望まれる。具体的には、10〜5000オングス
トローム程度とすることが好ましい。10オングストロ
ーム未満の場合には、反射防止効果が十分でなく、一
方、5000オングストロームを越えると、エッチング
が困難になるおそれがある。
The metal film 5 acts as an antireflection film and a conductive thin film, and its film thickness is desired to be a thin film that does not affect etching performed in a later step. Specifically, it is preferably about 10 to 5000 angstroms. If it is less than 10 Å, the antireflection effect is not sufficient, while if it exceeds 5000 Å, etching may be difficult.

【0134】形成された金属膜5の上には、図6(c)
に示すように、レジスト膜6を形成する。レジスト膜6
は、前述の第3のパターン形成方法で説明したような化
学増幅型レジストまたは非化学増幅型レジストを用い
て、前述と同様の手法で形成することができる。
On the metal film 5 thus formed, as shown in FIG.
As shown in, a resist film 6 is formed. Resist film 6
Can be formed by the same method as described above using the chemically amplified resist or the non-chemically amplified resist as described in the third pattern forming method.

【0135】また、レジスト膜6の膜厚は、0.1〜5
μm程度であることが好ましい。0.1μm未満の場合
には、エッチング耐性が十分でなく、一方、5μmを越
えると、光露光で微細パターンが形成できなくなるおそ
れがある。
The thickness of the resist film 6 is 0.1-5.
It is preferably about μm. If it is less than 0.1 μm, the etching resistance is not sufficient, while if it exceeds 5 μm, a fine pattern may not be formed by light exposure.

【0136】得られたレジスト膜6に対し、図6(c)
に示すようにパターン露光を行なう。露光光4として
は、例えば、水銀灯、XeF(351nm)、XeCl
(308nm)、KrF(248nm)、KrCl(2
22nm)、ArF(193nm)、F2 (151n
m)、電子線、イオンビーム、およびX線等を使用する
ことができる。
The resist film 6 thus obtained is shown in FIG.
Pattern exposure is performed as shown in FIG. As the exposure light 4, for example, a mercury lamp, XeF (351 nm), XeCl
(308 nm), KrF (248 nm), KrCl (2
22 nm), ArF (193 nm), F 2 (151n)
m), electron beams, ion beams, X-rays and the like can be used.

【0137】露光後のレジスト膜6に対しては、必要に
応じて50〜150℃で0.1〜5分程度のベークを行
ない、所定の現像液で現像することによって、図6
(d)に示すようなレジストパターン6aが形成され
る。ここで用い得る現像液としては、例えば、テトラメ
チルアンモニウムヒドロキシド溶液、コリン、水酸化ナ
トリウム、および水酸化カリウム等のアルカリ水溶液が
挙げられる。
The resist film 6 after exposure is baked at 50 to 150 ° C. for about 0.1 to 5 minutes and developed with a predetermined developing solution, as shown in FIG.
A resist pattern 6a as shown in (d) is formed. Examples of the developer that can be used here include tetramethylammonium hydroxide solution, alkaline aqueous solutions such as choline, sodium hydroxide, and potassium hydroxide.

【0138】こうして形成されたレジストパターン6a
をマスクとして用いて、金属膜5をエッチングすること
により、図7(a)に示すように金属膜パターン5aが
形成される。金属膜5のエッチングに当たっては、例え
ば、ハロゲン類のプラズマエッチング、ウェットエッチ
ングを採用することができる。
Resist pattern 6a thus formed
By using the as a mask to etch the metal film 5, a metal film pattern 5a is formed as shown in FIG. For etching the metal film 5, for example, plasma etching of halogens or wet etching can be adopted.

【0139】形成された金属膜パターン5aおよびレジ
ストパターン6aをマスクとして用いて、有機ケイ素膜
をエッチングすることにより、図7(b)に示すように
有機ケイ素膜パターン3bが形成される。有機ケイ素膜
のエッチングに当たっては、反応性プラズマエッチン
グ、IPCエッチング等、第1のパターン形成方法の場
合と同様の方法を採用することができる。なお、有機ケ
イ素膜3をエッチングするソースガスとしては、塩素
系、フッ素系、HBrなどのハロゲン系ガスが有効であ
る。
By using the formed metal film pattern 5a and resist pattern 6a as a mask to etch the organic silicon film, an organic silicon film pattern 3b is formed as shown in FIG. 7B. For the etching of the organic silicon film, the same method as in the case of the first pattern forming method such as reactive plasma etching and IPC etching can be adopted. A halogen-based gas such as chlorine-based, fluorine-based, or HBr is effective as a source gas for etching the organic silicon film 3.

【0140】続いて、有機ケイ素膜パターン3bをマス
クとして用いて、第1のパターン形成方法の場合と同様
の手法で、図7(c)に示すように被加工層2をエッチ
ングした後、有機ケイ素膜パターン3bを前述と同様の
手法で剥離して、図7(d)に示すようにパターニング
された被加工層2aが得られる。
Then, using the organic silicon film pattern 3b as a mask, the layer 2 to be processed is etched as shown in FIG. 7C in the same manner as in the case of the first pattern forming method. The silicon film pattern 3b is peeled off in the same manner as described above to obtain the patterned layer 2a to be processed as shown in FIG. 7 (d).

【0141】本発明によれば、反射防止効果の高い物質
からなる薄膜で有効な反射防止効果が得られる。このた
め、従来法であるレジストを露光し現像する場合に比較
して、アスペクト比の高い矩形のパターンを得ることが
できる。
According to the present invention, an effective antireflection effect can be obtained with a thin film made of a substance having a high antireflection effect. Therefore, a rectangular pattern having a high aspect ratio can be obtained as compared with the conventional method of exposing and developing a resist.

【0142】次に、本発明の感光性組成物について詳細
に説明する。
Next, the photosensitive composition of the present invention will be described in detail.

【0143】本発明の組成物は、下記一般式(2)で表
わされる繰り返し単位を有するケイ素化合物、および光
の照射により酸を発生する化合物を含有する。
The composition of the present invention is represented by the following general formula (2).
A silicon compound having a repeating unit , and a compound capable of generating an acid upon irradiation with light.

【0144】[0144]

【0145】[0145]

【化42】 [Chemical 42]

【0146】上記一般式(2)中、Pは酸で分解し、ア
ルカリ水溶液に可溶化する基であり、以下に具体例を示
す。
In the above general formula (2), P is a group which is decomposed by an acid and solubilized in an alkaline aqueous solution, and specific examples are shown below.

【0147】[0147]

【化43】 [Chemical 43]

【0148】また、Zは水素原子、炭素数1〜20の置
換または非置換の炭化水素基、芳香族炭化水素基を示
す。具体例を以下に示す。
Z represents a hydrogen atom, a substituted or unsubstituted hydrocarbon group having 1 to 20 carbon atoms, or an aromatic hydrocarbon group. A specific example is shown below.

【0149】[0149]

【化44】 [Chemical 44]

【0150】上記一般式(2)で表わされる繰り返し単
位を有するケイ素化合物の例を以下に示す。
Examples of silicon compounds having the repeating unit represented by the above general formula (2) are shown below.

【0151】[0151]

【化45】 [Chemical formula 45]

【0152】なお、これらの繰り返し単位の任意のもの
が共重合してなる共重合体であってもよい。さらにま
た、これらの繰り返し単位と、既に説明したケイ素−ケ
イ素結合を有するケイ素化合物との共重合体も、本発明
の感光性組成物の成分として配合することができる。
A copolymer obtained by copolymerizing any of these repeating units may be used. Furthermore, a copolymer of these repeating units and a silicon compound having a silicon-silicon bond as described above can also be blended as a component of the photosensitive composition of the present invention.

【0153】こうした酸と反応して分解することにより
カルボキシル基を生成する基を側鎖に有し、Si−Si
結合を主鎖とするケイ素化合物の分子量は、500〜1
00,000程度であることが好ましく、1000〜1
0,000程度であることがより好ましい。500未満
の場合には膜形成が困難となり、一方100,000を
越えると、アルカリ溶解性が低下するおそれがある。
By reacting with such an acid and decomposing
Having a side chain having a group that produces a carboxyl group , Si-Si
The silicon compound having a bond as a main chain has a molecular weight of 500 to 1
It is preferably about 0,000, and 1000 to 1
More preferably, it is about 10,000. If it is less than 500, it becomes difficult to form a film, while if it exceeds 100,000, the alkali solubility may decrease.

【0154】光の照射により酸を発生する光酸発生剤と
しては、上述したものを用いることができる。
As the photo-acid generator which generates an acid upon irradiation with light, those mentioned above can be used.

【0155】本発明の感光性組成物において光酸発生剤
の配合量は、ポリマーに対して0.01重量部以上20
重量部以下とすることが好ましく、0.1重量部以上1
0重量部以下とすることがより好ましい。0.1重量部
未満の場合には現像が困難となり、一方20重量部を越
えると膜形成能が低下するおそれがある。
In the photosensitive composition of the present invention, the amount of the photo-acid generator compounded is 0.01 parts by weight or more with respect to the polymer.
The amount is preferably not more than 0.1 part by weight, more than 0.1 part by weight
It is more preferable that the amount is 0 parts by weight or less. If the amount is less than 0.1 parts by weight, the development becomes difficult, while if it exceeds 20 parts by weight, the film forming ability may be deteriorated.

【0156】上述した成分に加えて、本発明の感光性組
成物には、アルカリ水溶液に可溶なポリマーが配合され
ていてもよい。アルカリ可溶性ポリマーとしては、例え
ば、フェノール樹脂、フェノール樹脂が置換したシロキ
サン樹脂、カルボン酸が置換したシロキサン樹脂、ポリ
アクリル酸誘導体、ポリメタクリル酸誘導体、およびシ
ラノール含有シロキサン樹脂などを挙げることができ
る。アルカリ可溶性樹脂を配合する場合、その配合量
は、アルカリ可溶性やエッチング耐性等に応じて適宜決
定することができるが、ケイ素ポリマーに対して1〜5
0重量部程度であることが好ましい。
In addition to the above-mentioned components, the photosensitive composition of the present invention may contain a polymer soluble in an aqueous alkaline solution. Examples of the alkali-soluble polymer include a phenol resin, a siloxane resin substituted with a phenol resin, a siloxane resin substituted with a carboxylic acid, a polyacrylic acid derivative, a polymethacrylic acid derivative, and a silanol-containing siloxane resin. When the alkali-soluble resin is blended, the blending amount can be appropriately determined depending on the alkali-solubility, etching resistance, etc., but is 1 to 5 relative to the silicon polymer.
It is preferably about 0 parts by weight.

【0157】本発明の感光性組成物は、酸と反応して分
解することによりカルボキシル基を生成する基を側鎖に
有し、ケイ素−ケイ素結合を主鎖とするケイ素化合物、
光酸発生剤、および必要に応じてアルカリ可溶性樹脂を
有機溶媒に溶解して調製することができる。ここで用い
得る溶媒としては、例えば、アセトン、メチルエチルケ
トン、メチルイソブチルケトン、シクロヘキサノン、メ
チルセロソルブ、メチルセロソルブアセテート、酢酸エ
チル、酢酸ブチル、酢酸イソアミル、トルエン、キシレ
ン、アニソール、オクタン、パラフィン、アイソパーな
どのケトン系、エステル系、エーテル系の極性溶液、非
極性溶液が挙げられる。溶剤の濃度は特に限定されない
が、0.1〜50重量%が好ましい。
The photosensitive composition of the present invention reacts with an acid to form
A side chain having a group that forms a carboxyl group by solving , a silicon compound having a silicon-silicon bond as a main chain,
It can be prepared by dissolving a photo-acid generator and, if necessary, an alkali-soluble resin in an organic solvent. Examples of the solvent that can be used here include ketones such as acetone, methyl ethyl ketone, methyl isobutyl ketone, cyclohexanone, methyl cellosolve, methyl cellosolve acetate, ethyl acetate, butyl acetate, isoamyl acetate, toluene, xylene, anisole, octane, paraffin, and isoper. Examples include system-based, ester-based, ether-based polar solutions and non-polar solutions. The concentration of the solvent is not particularly limited, but is preferably 0.1 to 50% by weight.

【0158】こうして調製された本発明の感光性組成物
は、半導体基板上に形成された被加工層の微細加工に好
ましく用いることができる。以下、図面を参照して、本
発明の感光性組成物を用いたパターン形成方法を詳細に
説明する。
The photosensitive composition of the present invention thus prepared can be preferably used for fine processing of a layer to be processed formed on a semiconductor substrate. Hereinafter, the pattern forming method using the photosensitive composition of the present invention will be described in detail with reference to the drawings.

【0159】図8は、本発明の感光性組成物を用いたパ
ターン形成方法の一例を表わす工程断面図である。
FIG. 8 is a process sectional view showing an example of a pattern forming method using the photosensitive composition of the present invention.

【0160】まず、図8(a)に示すように、基板1上
に形成された被加工層上2に、本発明の感光性組成物を
塗布し、50〜150℃程度で加熱処理して有機ケイ素
膜11を形成する。被加工層2としては、前述と同様の
ものが挙げられる。また、有機ケイ素膜11の膜厚は、
0.001μm〜10μmの範囲内で適宜決定すること
ができる。
First, as shown in FIG. 8A, the photosensitive composition of the present invention is applied onto the layer 2 to be processed formed on the substrate 1 and heat-treated at about 50 to 150 ° C. The organic silicon film 11 is formed. As the layer to be processed 2, the same ones as mentioned above can be mentioned. Further, the thickness of the organic silicon film 11 is
It can be appropriately determined within the range of 0.001 μm to 10 μm.

【0161】得られた有機ケイ素膜11に対し、図8
(a)に示すようにパターン露光を行なう。露光光4と
しては、例えば、水銀灯、XeF(351nm)、Xe
Cl(308nm)、KrF(248nm)、KrCl
(222nm)、ArF(193nm)、F2 (151
nm)、電子線、イオンビーム、およびX線等を使用す
ることができる。
The obtained organosilicon film 11 is shown in FIG.
Pattern exposure is performed as shown in FIG. As the exposure light 4, for example, a mercury lamp, XeF (351 nm), Xe
Cl (308 nm), KrF (248 nm), KrCl
(222 nm), ArF (193 nm), F 2 (151
nm), electron beams, ion beams, X-rays, and the like can be used.

【0162】こうした露光を行なうことにより有機ケイ
素11の露光部11aには、Si−O結合が形成され
る。
By performing such exposure, Si—O bond is formed in the exposed portion 11a of the organic silicon 11.

【0163】露光後の有機ケイ素11に対しては、50
〜150℃で0.1〜5分程度のベークを行ない、アル
カリ水溶液で現像することによって、図8(c)に示す
ようなシロキサンベースのパターン11aが形成され
る。ここで用い得るアルカリ水溶液としては、例えば、
テトラメチルアンモニウムヒドロキシド溶液、コリン、
水酸化ナトリウム、および水酸化カリウム等が挙げられ
る。
For the organosilicon 11 after exposure , 50
By baking at ˜150 ° C. for about 0.1 to 5 minutes and developing with an alkaline aqueous solution, a siloxane-based pattern 11a as shown in FIG. 8C is formed. As the alkaline aqueous solution that can be used here, for example,
Tetramethylammonium hydroxide solution, choline,
Examples thereof include sodium hydroxide and potassium hydroxide.

【0164】こうして形成されたシロキサンベースのパ
ターン11aをマスクとして用いて、第1のパターン形
成方法の場合と同様の手法で、図8(d)に示すように
被加工層2をエッチングした後、シロキサンベースのパ
ターン11aを前述と同様の手法で剥離して、図8
(e)に示すようにパターニングされた被加工層2aが
得られる。
Using the siloxane-based pattern 11a thus formed as a mask, the layer 2 to be processed is etched as shown in FIG. 8D in the same manner as in the case of the first pattern forming method. The siloxane-based pattern 11a is peeled off in the same manner as described above, and the pattern shown in FIG.
A patterned layer 2a to be processed is obtained as shown in (e).

【0165】本発明によれば、被加工層上に高いエッチ
ング選択性でレジストパターンを形成することができる
ので、被加工層を寸法精度よく高解像度で加工すること
が可能である。
According to the present invention, since the resist pattern can be formed on the layer to be processed with high etching selectivity, the layer to be processed can be processed with high dimensional accuracy and high resolution.

【0166】[0166]

【発明の実施の形態】以下、本発明の実施例および比較
例を示して、本発明をさらに詳細に説明するが、本発明
はこれらの例に限定されるものではない。
BEST MODE FOR CARRYING OUT THE INVENTION The present invention is described in more detail below by showing Examples and Comparative Examples of the present invention, but the present invention is not limited to these Examples.

【0167】(実施例1)シリコンウエハ上に、被加工
層としての厚さ0.5μmのSOG酸化膜を形成した。
Example 1 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0168】一方、ポリメチルフェニルシラン(重量平
均分子量12,000)をキシレンに溶解して3重量%
のキシレン溶液を調製し、このキシレン溶液を上述のS
OG酸化膜上に塗布し、加熱して厚さ0.05μmの有
機ケイ素膜を形成した。
On the other hand, polymethylphenylsilane (weight average molecular weight 12,000) was dissolved in xylene to obtain 3% by weight.
Xylene solution is prepared.
It was applied on the OG oxide film and heated to form an organosilicon film having a thickness of 0.05 μm.

【0169】次いで、この有機ケイ素膜に対し、所定の
マスクを介してKrFエキシマレーザを100mJ/c
2 の露光量で照射した後、150℃で10分間の露光
後ベークを行なった。
Then, a KrF excimer laser of 100 mJ / c is applied to the organic silicon film through a predetermined mask.
After irradiation with an exposure amount of m 2 , a post-exposure bake was performed at 150 ° C. for 10 minutes.

【0170】ベーク後の有機ケイ素膜に、パドル法で硝
酸パラジウムの10%エタノール溶液を接触させて10
分間保持した後、エタノールで洗浄した。続いて、硫酸
ニッケルを10重量%含有する無電界メッキ液を同様に
パドル法で接触させ、有機ケイ素膜の未露光部のみにニ
ッケルメッキを施した。
The organosilicon film after baking was brought into contact with a 10% ethanol solution of palladium nitrate by the paddle method to give 10
After holding for a minute, it was washed with ethanol. Subsequently, an electroless plating solution containing 10% by weight of nickel sulfate was similarly contacted by the paddle method, and only the unexposed portion of the organic silicon film was nickel-plated.

【0171】形成されたニッケルメッキをマスクとして
マグネトロン型のRIE装置を用い、ソースガスCHF
3 (45SCCM)、CO(155SCCM)、O
2 (10SCCM)、励起電力800W、真空度40m
Torrの条件でエッチングを行なって0.15μmの
酸化膜パターンを形成した。得られた酸化膜パターンの
断面は矩形であり、その側壁も垂直で形状は良好であっ
た。
Using the formed nickel plating as a mask, a magnetron type RIE device is used, and a source gas CHF is used.
3 (45 SCCM), CO (155 SCCM), O
2 (10 SCCM), excitation power 800W, vacuum degree 40m
Etching was performed under the conditions of Torr to form a 0.15 μm oxide film pattern. The obtained oxide film pattern had a rectangular cross section, and its side wall was also vertical and had a good shape.

【0172】(比較例1)シリコンウエハ上に、被加工
層としての厚さ0.5μmのSOG酸化膜を形成した。
Comparative Example 1 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0173】一方、ポリメチルフェニルシラン(重量平
均分子量12,000)をキシレンに溶解して3重量%
のキシレン溶液を調製し、このキシレン溶液を上述のS
OG酸化膜上に塗布し、加熱して厚さ0.05μmの有
機ケイ素膜を形成した。
On the other hand, polymethylphenylsilane (weight average molecular weight 12,000) was dissolved in xylene to obtain 3% by weight.
Xylene solution is prepared.
It was applied on the OG oxide film and heated to form an organosilicon film having a thickness of 0.05 μm.

【0174】次いで、この有機ケイ素膜に対し、所定の
マスクを介してKrFエキシマレーザを100mJ/c
m2 の露光量で照射した後、150℃で10分間の露光
後ベークを行なった。
Then, a KrF excimer laser of 100 mJ / c is applied to the organic silicon film through a predetermined mask.
After irradiation with an exposure amount of m2, a post-exposure bake was performed at 150 ° C for 10 minutes.

【0175】これをマグネトロン型のRIE装置を用
い、ソースガスCHF3 (45SCCM)、CO(15
5SCCM)、O2 (10SCCM)、励起電力800
W、真空度40mTorrの条件でエッチングを試みた
が、パターンを形成することはできなかった。
Using a magnetron type RIE device, the source gas CHF 3 (45 SCCM), CO (15
5 SCCM), O 2 (10 SCCM), excitation power 800
An etching was attempted under the conditions of W and a vacuum degree of 40 mTorr, but a pattern could not be formed.

【0176】(実施例2〜10)下記表1に示すように
して、それぞれパターンを形成した。
Examples 2 to 10 Patterns were formed as shown in Table 1 below.

【0177】[0177]

【表1】 [Table 1]

【0178】なお、表1中のポリシランを表わす略号
は、それぞれ以下のとおりである。
The abbreviations representing polysilanes in Table 1 are as follows.

【0179】PS−1:ポリフェニルメチルシラン PS−2:ポリフェニルヒドロシラン PS−3:ポリメチルヒドロシラン PS−4:ポリフェニルメチルシランとポリフェニルヒ
ドロシランとの0.5:0.5共重合体 PS−5:ポリフェニルシラン PS−6:ポリフェニルメチルシランとポリフェニルシ
ランとの0.5:0.5共重合体 表1に示されるように、いずれのケイ素化合物を用いた
場合も、本発明の方法により加工された被加工層は、断
面は矩形でありその側壁も垂直であった。さらに、金属
膜や被加工層の材質にはよらず、ケイ素化合物、金属膜
および被加工層を任意に組み合わせて、本発明の方法に
より被加工層を良好な形状で加工することができること
がわかった。
PS-1: polyphenylmethylsilane PS-2: polyphenylhydrosilane PS-3: polymethylhydrosilane PS-4: 0.5: 0.5 copolymer PS of polyphenylmethylsilane and polyphenylhydrosilane -5: Polyphenylsilane PS-6: 0.5: 0.5 Copolymer of Polyphenylmethylsilane and Polyphenylsilane As shown in Table 1, the present invention can be used regardless of which silicon compound is used. The processed layer processed by the method of 1. had a rectangular cross section and its sidewall was vertical. Furthermore, it has been found that the silicon compound, the metal film and the layer to be processed can be arbitrarily combined to process the layer to be processed into a good shape by the method of the present invention regardless of the material of the metal film or the layer to be processed. It was

【0180】(実施例11)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
Example 11 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0181】一方、ポリメチルフェニルシラン(重量平
均分子量12,000)をキシレンに溶解して10重量
%のキシレン溶液を調製し、このキシレン溶液を上述の
SOG酸化膜上に塗布し、加熱して厚さ0.5μmの有
機ケイ素膜を形成した。
On the other hand, polymethylphenylsilane (weight average molecular weight 12,000) was dissolved in xylene to prepare a 10 wt% xylene solution, which was coated on the SOG oxide film and heated. An organic silicon film having a thickness of 0.5 μm was formed.

【0182】次いで、この有機ケイ素膜に対し、所定の
マスクを介して窒素雰囲気下でKrFエキシマレーザー
を100mJ/cm2 の露光量で露光した後、酸素に接
触させることなく、150℃で10分間露光後ベークを
行なった。
Then, this organic silicon film was exposed to a KrF excimer laser at an exposure dose of 100 mJ / cm 2 in a nitrogen atmosphere through a predetermined mask, and then exposed to oxygen at 150 ° C. for 10 minutes. Baking was performed after exposure.

【0183】これをマグネトロン型のRIE装置を用
い、ソースガスHBr(45SCCM)、励起電力25
W、真空度10mTorrの条件でエッチングを行なっ
て、0.15μmの酸化膜パターンを形成した。得られ
た酸化膜パターンの断面は矩形であり、その側壁も垂直
で形状は良好であった。
Using a magnetron type RIE device, a source gas HBr (45 SCCM) and an excitation power of 25 were used.
Etching was performed under the conditions of W and the degree of vacuum of 10 mTorr to form an oxide film pattern of 0.15 μm. The obtained oxide film pattern had a rectangular cross section, and its side wall was also vertical and had a good shape.

【0184】(比較例2)シリコンウェハ上に、被加工
層としての厚さ0.5μmのSOG酸化膜を形成した。
Comparative Example 2 A SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0185】一方、ポリメチルフェニルシラン(重量平
均分子量12,000)をキシレンに溶解して10重量
%のキシレン溶液を調製し、このキシレン溶液を上述の
SOG酸化膜上に塗布し、加熱して厚さ0.5μmの有
機ケイ素膜を形成した。
On the other hand, polymethylphenylsilane (weight average molecular weight 12,000) was dissolved in xylene to prepare a 10 wt% xylene solution, which was coated on the SOG oxide film and heated. An organic silicon film having a thickness of 0.5 μm was formed.

【0186】次いで、この有機ケイ素膜に対し、所定の
マスクを介して酸素雰囲気下でKrFエキシマレーザー
を100mJ/cm2 の露光量で露光した後、150℃
で10分間露光後ベークを行なった。
Then, the organosilicon film was exposed to a KrF excimer laser at an exposure dose of 100 mJ / cm 2 in an oxygen atmosphere through a predetermined mask, and then exposed at 150 ° C.
After exposure for 10 minutes, baking was performed.

【0187】これをマグネトロン型のRIE装置を用
い、ソースガスHBr(45SCCM)、励起電力45
W、真空度10mTorrの条件でエッチングを試みた
が、0.15μmの酸化膜パターンを形成することはで
きなかった。
Using a magnetron type RIE device, a source gas HBr (45 SCCM) and an excitation power of 45 were used.
The etching was attempted under the conditions of W and the degree of vacuum of 10 mTorr, but the oxide film pattern of 0.15 μm could not be formed.

【0188】(実施例12〜20)下記表2に示すよう
にして、それぞれパターンを形成した。
Examples 12 to 20 Patterns were formed as shown in Table 2 below.

【0189】[0189]

【表2】 [Table 2]

【0190】なお、表2中のポリシランを表わす略号
は、それぞれ以下のとおりである。
The abbreviations for polysilane in Table 2 are as follows.

【0191】PS−1:ポリフェニルメチルシラン PS−2:ポリフェニルヒドロシラン PS−3:ポリメチルヒドロシラン PS−4:ポリフェニルメチルシランとポリフェニルヒ
ドロシランとの0.5:0.5共重合体 PS−5:ポリフェニルシラン PS−6:ポリフェニルメチルシランとポリフェニルシ
ランとの0.5:0.5共重合体 表2に示されるように、いずれのケイ素化合物を用いた
場合も、本発明の方法により加工された被加工層は、断
面は矩形でありその側壁も垂直であった。さらに、金属
膜や被加工層の材質等にはよらず、ケイ素化合物、金属
膜および被加工層を任意に組み合わせて、本発明の方法
により被加工層を良好な形状で加工することができるこ
とがわかった。
PS-1: polyphenylmethylsilane PS-2: polyphenylhydrosilane PS-3: polymethylhydrosilane PS-4: 0.5: 0.5 copolymer PS of polyphenylmethylsilane and polyphenylhydrosilane -5: Polyphenylsilane PS-6: 0.5: 0.5 Copolymer of Polyphenylmethylsilane and Polyphenylsilane As shown in Table 2, the present invention can be applied to any silicon compound. The processed layer processed by the method of 1. had a rectangular cross section and its sidewall was vertical. Furthermore, regardless of the material of the metal film or the layer to be processed, etc., it is possible to process the layer to be processed into a good shape by the method of the present invention by arbitrarily combining the silicon compound, the metal film and the layer to be processed. all right.

【0192】(実施例21)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
Example 21 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0193】一方、ポリメチルフェニルシラン(重量平
均分子量12,000)をキシレンに溶解して3重量%
のキシレン溶液を調製し、このキシレン溶液を上述のS
OG酸化膜上に塗布し、加熱して厚さ0.5μmの有機
ケイ素膜を形成した。
On the other hand, polymethylphenylsilane (weight average molecular weight 12,000) was dissolved in xylene to obtain 3% by weight.
Xylene solution is prepared.
It was applied on the OG oxide film and heated to form an organic silicon film having a thickness of 0.5 μm.

【0194】下記化学式で表わされるポリマー(PS−
11)と光酸発生剤(PAG−1)とを99:1の割合
で混合し、シュウ酸エチルに溶解して10%のシュウ酸
エチル溶液を調製して、レジスト溶液(RSO1)を得
た。この(RSO1)を前述の有機ケイ素膜上に塗布
し、100℃で1分間プリベークしてレジスト膜を形成
した。
A polymer represented by the following chemical formula (PS-
11) and the photo-acid generator (PAG-1) were mixed at a ratio of 99: 1 and dissolved in ethyl oxalate to prepare a 10% ethyl oxalate solution to obtain a resist solution (RSO1). . This (RSO1) was applied on the above-mentioned organosilicon film and prebaked at 100 ° C. for 1 minute to form a resist film.

【0195】[0195]

【化46】 [Chemical formula 46]

【0196】得られたレジスト膜に対し、所定のマスク
を介してKrFエキシマレーザーを30mJ/cm2
露光量で露光し、130℃で10分間露光後ベークを行
なった。
The obtained resist film was exposed to a KrF excimer laser through a predetermined mask at an exposure amount of 30 mJ / cm 2 , and post-exposure baked at 130 ° C. for 10 minutes.

【0197】その後、2.38%のテトラメチルアンモ
ニウムヒドロキシド水溶液で1分間現像したところ、良
好なパターンが得られた。
After that, development was carried out for 1 minute with a 2.38% tetramethylammonium hydroxide aqueous solution, and a good pattern was obtained.

【0198】これをマグネトロン型のRIE装置を用
い、ソースガスCl2 (40SCCM)、励起電力10
0W、真空度40mTorrの条件でエッチングを行な
ったところ、ポリシランパターンを得ることができた。
Using a magnetron type RIE device, source gas Cl 2 (40 SCCM) and excitation power 10
When etching was performed under the conditions of 0 W and a degree of vacuum of 40 mTorr, a polysilane pattern could be obtained.

【0199】このポリシランパターンをマスクとして、
ソースガスCHF3 (45SCCM)、CO(155S
CCM)、O2 (10SCCM)、励起電力800W、
真空度40mTorrの条件でエッチングを行なった。
その結果、0.15μmの酸化膜パターンが形成され、
得られた酸化膜パターンの断面は矩形であり、その側壁
も垂直で形状は良好であった。
Using this polysilane pattern as a mask,
Source gas CHF 3 (45SCCM), CO (155S
CCM), O 2 (10 SCCM), excitation power 800 W,
Etching was performed under the conditions of a vacuum degree of 40 mTorr.
As a result, a 0.15 μm oxide film pattern is formed,
The obtained oxide film pattern had a rectangular cross section, and its side wall was also vertical and had a good shape.

【0200】(実施例22〜30)下記表3および表4
に示すようにして、それぞれパターンを形成した。
(Examples 22 to 30) Tables 3 and 4 below.
The patterns were formed as shown in FIG.

【0201】[0201]

【表3】 [Table 3]

【0202】[0202]

【表4】 [Table 4]

【0203】なお、表3および表4中のポリシランを表
わす略号は、それぞれ以下のとおりである。
The abbreviations for polysilanes in Tables 3 and 4 are as follows.

【0204】PS−1:ポリフェニルメチルシラン PS−2:ポリフェニルヒドロシラン PS−3:ポリメチルヒドロシラン PS−4:ポリフェニルメチルシランとポリフェニルヒ
ドロシランとの0.5:0.5共重合体 PS−5:ポリフェニルシラン PS−6:ポリフェニルメチルシランとポリフェニルシ
ランとの0.5:0.5共重合体 また、ポリシロキサンレジスト(RSO2)および(R
SO3)は、それぞれ以下のようにして調製したレジス
トである。
PS-1: polyphenylmethylsilane PS-2: polyphenylhydrosilane PS-3: polymethylhydrosilane PS-4: 0.5: 0.5 copolymer PS of polyphenylmethylsilane and polyphenylhydrosilane -5: Polyphenylsilane PS-6: 0.5: 0.5 copolymer of polyphenylmethylsilane and polyphenylsilane Also, polysiloxane resists (RSO2) and (R
SO3) is a resist prepared as follows.

【0205】(RSO2):下記化学式で表わされるポ
リマー(PS−12)と光酸発生剤(PAG−2)とを
99:1で混合して、溶媒(solv.1)に溶解して
10%の溶液を調製した。
(RSO2): A polymer (PS-12) represented by the following chemical formula and a photoacid generator (PAG-2) were mixed at a ratio of 99: 1 and dissolved in a solvent (solv. 1) to give 10%. Was prepared.

【0206】[0206]

【化47】 [Chemical 47]

【0207】(RSO3):下記化学式で表わされるポ
リマー(PS−13)と光酸発生剤(PAG−3)とを
99:1で混合して、溶媒(solv.2)に溶解して
10%の溶液を調製した。
(RSO3): A polymer (PS-13) represented by the following chemical formula and a photo-acid generator (PAG-3) were mixed at a ratio of 99: 1 and dissolved in a solvent (solv. Was prepared.

【0208】[0208]

【化48】 [Chemical 48]

【0209】表3および4に示されるように、いずれの
ケイ素化合物を用いた場合も、本発明の方法により加工
された被加工層は、断面は矩形でありその側壁も垂直で
あった。さらに、金属膜や被加工層の材質等にはよら
ず、ケイ素化合物、金属膜および被加工層を任意に組み
合わせて、本発明の方法により被加工層を良好な形状で
加工することができることがわかった。
As shown in Tables 3 and 4, the processed layer processed by the method of the present invention had a rectangular cross section and the side walls thereof were vertical regardless of which silicon compound was used. Furthermore, regardless of the material of the metal film or the layer to be processed, etc., it is possible to process the layer to be processed into a good shape by the method of the present invention by arbitrarily combining the silicon compound, the metal film and the layer to be processed. all right.

【0210】(実施例31)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
Example 31 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0211】一方、ポリメチルフェニルシラン(重量平
均分子量12,000)をキシレンに溶解して20重量
%のキシレン溶液を調製し、このキシレン溶液を上述の
SOG酸化膜上に塗布し、加熱して厚さ0.5μmの有
機ケイ素膜を形成した。
On the other hand, polymethylphenylsilane (weight average molecular weight 12,000) was dissolved in xylene to prepare a 20 wt% xylene solution, which was coated on the SOG oxide film and heated. An organic silicon film having a thickness of 0.5 μm was formed.

【0212】この有機ケイ素膜に対して、所定のマスク
を介してKrFエキシマレーザーを100mJ/cm2
の露光量で露光し、その直後に60℃で5分間テトラメ
チルジシラザンの蒸気で処理し、さらに直ちに150℃
で10分間のベークを行なった。
A KrF excimer laser of 100 mJ / cm 2 was applied to this organic silicon film through a predetermined mask.
Immediately after that, it is treated with a vapor of tetramethyldisilazane at 60 ° C. for 5 minutes, and then immediately at 150 ° C.
And baked for 10 minutes.

【0213】これをマグネトロン型のRIE装置を用
い、ソースガスCl2 (40SCCM)、励起電力10
0W、真空度40mTorrの条件でエッチングを行な
ったところ、ポリシランパターンを得ることができた。
このポリシランパターンをマスクとして、ソースガスC
HF3 (45SCCM)、CO(155SCCM)、O
2 (10SCCM)、励起電力800W、真空度40m
Torrの条件でエッチングを行なった。その結果、
0.15μmの酸化膜パターンが形成され、得られた酸
化膜パターンの断面は矩形であり、その側壁も垂直で形
状は良好であった。
Using a magnetron type RIE apparatus, this was source gas Cl 2 (40 SCCM) and excitation power 10
When etching was performed under the conditions of 0 W and a degree of vacuum of 40 mTorr, a polysilane pattern could be obtained.
Using this polysilane pattern as a mask, the source gas C
HF 3 (45 SCCM), CO (155 SCCM), O
2 (10 SCCM), excitation power 800W, vacuum degree 40m
Etching was performed under the conditions of Torr. as a result,
An oxide film pattern having a thickness of 0.15 μm was formed, and the cross section of the obtained oxide film pattern was rectangular, and the side wall thereof was also vertical and had a good shape.

【0214】(実施例32)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
(Example 32) An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0215】一方、ポリフェニルシラン(重量平均分子
量7,000)をキシレンに溶解して30重量%のキシ
レン溶液を調製し、このキシレン溶液を上述のSOG酸
化膜上に塗布し、加熱して厚さ0.5μmの有機ケイ素
膜を形成した。
On the other hand, polyphenylsilane (weight average molecular weight of 7,000) was dissolved in xylene to prepare a 30 wt% xylene solution, and this xylene solution was applied on the above SOG oxide film and heated to a thickness. A 0.5 μm thick organosilicon film was formed.

【0216】この有機ケイ素膜に対して、所定のマスク
を介してKrFエキシマレーザーを100mJ/cm2
の露光量で露光し、その直後に60℃で5分間、ヘキサ
メチルジシラザンの蒸気で処理し、さらに直ちに150
℃で10分間ベークを行なった。
A KrF excimer laser of 100 mJ / cm 2 is applied to this organic silicon film through a predetermined mask.
Immediately after that, it is treated with hexamethyldisilazane vapor for 5 minutes at 60 ° C.
Baking was performed at 0 ° C. for 10 minutes.

【0217】これをマグネトロン型のRIE装置を用
い、ソースガスCl2 (40SCCM)、励起電力10
0W、真空度40mTorrの条件でエッチングを行な
ったところ、ポリシランパターンを得ることができた。
このポリシランパターンをマスクとして、ソースガスC
HF3 (45SCCM)、CO(155SCCM)、O
2 (10SCCM)、励起電力800W、真空度40m
Torrの条件でエッチングを行なった。その結果、そ
の結果、0.15μmの酸化膜パターンが形成され、得
られた酸化膜パターンの断面は矩形であり、その側壁も
垂直で形状は良好であった。
Using a magnetron type RIE device, source gas Cl 2 (40 SCCM) and excitation power 10
When etching was performed under the conditions of 0 W and a degree of vacuum of 40 mTorr, a polysilane pattern could be obtained.
Using this polysilane pattern as a mask, the source gas C
HF 3 (45 SCCM), CO (155 SCCM), O
2 (10 SCCM), excitation power 800W, vacuum degree 40m
Etching was performed under the conditions of Torr. As a result, as a result, an oxide film pattern having a thickness of 0.15 μm was formed, the cross section of the obtained oxide film pattern was rectangular, and the side wall thereof was also vertical and had a good shape.

【0218】(実施例33)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
Example 33 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0219】一方、ポリメチルフェニルシランとメチル
シランとの3:1共重合体(重量平均分子量10,00
0)100重量部、ペンタエリスリトールトリメタクリ
レート10重量部、およびベンゾフェノンテトラカルボ
キシレートテトラt−ブチルペルオキシド5重量部を混
合してキシレンに溶解し、20重量%のキシレン溶液を
調製した。このキシレン溶液を上述のSOG酸化膜上に
塗布し、加熱して厚さ0.5μmの有機ケイ素膜を形成
し、160℃で5分間ベークしてポリシランを架橋させ
た。
On the other hand, a 3: 1 copolymer of polymethylphenylsilane and methylsilane (weight average molecular weight of 10,000) was used.
0) 100 parts by weight, 10 parts by weight of pentaerythritol trimethacrylate, and 5 parts by weight of benzophenone tetracarboxylate tetra-t-butyl peroxide were mixed and dissolved in xylene to prepare a 20% by weight xylene solution. This xylene solution was applied onto the SOG oxide film described above, heated to form an organosilicon film having a thickness of 0.5 μm, and baked at 160 ° C. for 5 minutes to crosslink the polysilane.

【0220】得られたポリシラン膜に対して、所定のマ
スクを介してKrFエキシマレーザーを100mJ/c
2 の露光量で露光した後、ヘキサメチルジシラザン溶
液に3分間浸漬し、すぐに150℃で10分間ベークを
行なった。
A KrF excimer laser of 100 mJ / c was applied to the obtained polysilane film through a predetermined mask.
After exposure with an exposure amount of m 2, the film was immersed in a hexamethyldisilazane solution for 3 minutes and immediately baked at 150 ° C. for 10 minutes.

【0221】これをマグネトロン型のRIE装置を用
い、ソースガスCl2 (40SCCM)、励起電力10
0W、真空度40mTorrの条件でエッチングを行な
ったところ、ポリシランパターンを得ることができた。
このポリシランパターンをマスクとして、ソースガスC
HF3 (45SCCM)、CO(155SCCM)、O
2 (10SCCM)、励起電力800W、真空度40m
Torrの条件でエッチングを行なった。その結果、
0.15μmの酸化膜パターンが形成され、得られた酸
化膜パターンの断面は矩形であり、その側壁も垂直で形
状は良好であった。
Using a magnetron type RIE device, source gas Cl 2 (40 SCCM) and excitation power 10
When etching was performed under the conditions of 0 W and a degree of vacuum of 40 mTorr, a polysilane pattern could be obtained.
Using this polysilane pattern as a mask, the source gas C
HF 3 (45 SCCM), CO (155 SCCM), O
2 (10 SCCM), excitation power 800W, vacuum degree 40m
Etching was performed under the conditions of Torr. as a result,
An oxide film pattern having a thickness of 0.15 μm was formed, and the cross section of the obtained oxide film pattern was rectangular, and the side wall thereof was also vertical and had a good shape.

【0222】(比較例3)シリコンウエハ上に、被加工
層としての厚さ0.5μmのSOG酸化膜を形成した。
Comparative Example 3 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0223】一方、ポリメチルフェニルシランとメチル
シランとの3:1共重合体(重量平均分子量10,00
0)100重量部、ペンタエリスリトールトリメタクリ
レート10重量部、およびベンゾフェノンテトラカルボ
キシレートテトラt−ブチルペルオキシド5重量部を混
合してキシレンに溶解し、20重量%のキシレン溶液を
調製した。このキシレン溶液を上述のSOG酸化膜上に
塗布し、加熱して厚さ0.5μmの有機ケイ素膜を形成
し、160℃で5分間ベークしてポリシランを架橋させ
た。
On the other hand, a 3: 1 copolymer of polymethylphenylsilane and methylsilane (weight average molecular weight 10,000
0) 100 parts by weight, 10 parts by weight of pentaerythritol trimethacrylate, and 5 parts by weight of benzophenone tetracarboxylate tetra-t-butyl peroxide were mixed and dissolved in xylene to prepare a 20% by weight xylene solution. This xylene solution was applied onto the SOG oxide film described above, heated to form an organosilicon film having a thickness of 0.5 μm, and baked at 160 ° C. for 5 minutes to crosslink the polysilane.

【0224】得られたポリシラン膜に対して、所定のマ
スクを介してKrFエキシマレーザーを100mJ/c
2 の露光量で露光した。
A KrF excimer laser of 100 mJ / c was applied to the obtained polysilane film through a predetermined mask.
It was exposed with an exposure amount of m 2 .

【0225】これをマグネトロン型のRIE装置を用
い、ソースガスCl2 (40SCCM)、励起電力10
0W、真空度40mTorrの条件でエッチングを試み
たが、0.15μmのポリシランパターンを得ることが
できなかった。
Using a magnetron type RIE device, source gas Cl 2 (40 SCCM) and excitation power 10
An etching was tried under the conditions of 0 W and a vacuum degree of 40 mTorr, but a polysilane pattern of 0.15 μm could not be obtained.

【0226】(実施例34)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
Example 34 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0227】一方、下記化学式で表わされるポリマー
(PS−14)と前述の光酸発生剤(PAG−1)とを
重量部で99:1で混合し、シクロヘキサノンに溶解し
て8%のポリマー溶液(RPS1)を調製した。この
(RPS1)を前述のSOG酸化膜上に塗布し、100
℃で1分間プリベークして厚さ0.5μmの有機ケイ素
膜を形成した。
On the other hand, a polymer represented by the following chemical formula (PS-14) and the above-mentioned photo-acid generator (PAG-1) were mixed in a weight ratio of 99: 1 and dissolved in cyclohexanone to prepare an 8% polymer solution. (RPS1) was prepared. This (RPS1) is coated on the SOG oxide film described above,
Prebaking was performed at 0 ° C. for 1 minute to form an organic silicon film having a thickness of 0.5 μm.

【0228】[0228]

【化49】 [Chemical 49]

【0229】得られた有機ケイ素膜に対し、所定のマス
クを介してKrFエキシマレーザーを30mJ/cm2
の露光量で露光後、130℃で10分間露光後ベークを
行なった。
A KrF excimer laser is applied to the obtained organosilicon film through a predetermined mask at 30 mJ / cm 2.
After exposure with the exposure amount of 10 ° C., post-exposure baking was performed at 130 ° C. for 10 minutes.

【0230】その後、2.38%のテトラメチルアンモ
ニウムヒドロキシド水溶液で1分間現像したところ、良
好なポリシランパターンを形成することができた。
After that, development was carried out for 1 minute with a 2.38% tetramethylammonium hydroxide aqueous solution, and a good polysilane pattern could be formed.

【0231】このポリシランパターンをマスクとして用
いて、ソースガスCHF3 (45SCCM)、CO(1
55SCCM)、O2 (10SCCM)、励起電力80
0W、真空度40mTorrの条件でエッチングを行な
った。その結果、0.15μmの酸化膜パターンが形成
され、得られた酸化膜パターンの断面は矩形であり、そ
の側壁も垂直で形状は良好であった。
Using this polysilane pattern as a mask, source gas CHF 3 (45 SCCM), CO (1
55 SCCM), O 2 (10 SCCM), excitation power 80
Etching was performed under the conditions of 0 W and a degree of vacuum of 40 mTorr. As a result, an oxide film pattern having a thickness of 0.15 μm was formed, the cross section of the obtained oxide film pattern was rectangular, and the side walls thereof were also vertical and had a good shape.

【0232】(実施例35)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
Example 35 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0233】一方、下記化学式で表わされるポリマー
(PS−15)と前述の光酸発生剤(PAG−2)とを
重量部で99:1で混合し、シクロヘキサノンに溶解し
て10%のポリマー溶液(RPS2)を調製した。この
(RPS2)を前述のSOG酸化膜上に塗布し、100
℃で1分間プリベークして厚さ0.5μmの有機ケイ素
膜を形成した。
On the other hand, the polymer represented by the following chemical formula (PS-15) and the above-mentioned photo-acid generator (PAG-2) were mixed in a weight ratio of 99: 1 and dissolved in cyclohexanone to prepare a 10% polymer solution. (RPS2) was prepared. This (RPS2) is coated on the SOG oxide film described above,
Prebaking was performed at 0 ° C. for 1 minute to form an organic silicon film having a thickness of 0.5 μm.

【0234】[0234]

【化50】 [Chemical 50]

【0235】得られた有機ケイ素膜に対し、所定のマス
クを介してKrFエキシマレーザーを30mJ/cm2
の露光量で露光後、130℃で10分間露光後ベークを
行なった。
A KrF excimer laser is applied to the obtained organosilicon film through a predetermined mask at 30 mJ / cm 2.
After exposure with the exposure amount of 10 ° C., post-exposure baking was performed at 130 ° C. for 10 minutes.

【0236】その後、2.38%のテトラメチルアンモ
ニウムヒドロキシド水溶液で1分間現像したところ、良
好なポリシランパターンを形成することができた。
After that, development was carried out for 1 minute with a 2.38% tetramethylammonium hydroxide aqueous solution, and a good polysilane pattern could be formed.

【0237】このポリシランパターンをマスクとして用
いて、ソースガスCHF3 (45SCCM)、CO(1
55SCCM)、O2 (10SCCM)、励起電力80
0W、真空度40mTorrの条件でエッチングを行な
った。その結果、0.15μmの酸化膜パターンが形成
され、得られた酸化膜パターンの断面は矩形であり、そ
の側壁も垂直で形状は良好であった。
Using this polysilane pattern as a mask, source gas CHF 3 (45 SCCM), CO (1
55 SCCM), O 2 (10 SCCM), excitation power 80
Etching was performed under the conditions of 0 W and a degree of vacuum of 40 mTorr. As a result, an oxide film pattern having a thickness of 0.15 μm was formed, the cross section of the obtained oxide film pattern was rectangular, and the side walls thereof were also vertical and had a good shape.

【0238】(実施例36)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
Example 36 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0239】一方、下記化学式で表わされるポリマー
(PS−16)と前述の光酸発生剤(PAG−1)とを
重量部で99:1で混合し、シクロヘキサノンに溶解し
て6%のポリマー溶液(RPS3)を調製した。この
(RPS3)を前述のSOG酸化膜上に塗布し、100
℃で1分間プリベークして厚さ0.4μmの有機ケイ素
膜を形成した。
On the other hand, the polymer represented by the following chemical formula (PS-16) and the above-mentioned photo-acid generator (PAG-1) were mixed in a weight ratio of 99: 1 and dissolved in cyclohexanone to prepare a 6% polymer solution. (RPS3) was prepared. This (RPS3) is applied on the SOG oxide film described above,
Prebaking was performed at 0 ° C. for 1 minute to form an organic silicon film having a thickness of 0.4 μm.

【0240】[0240]

【化51】 [Chemical 51]

【0241】得られた有機ケイ素膜に対し、所定のマス
クを介してKrFエキシマレーザーを30mJ/cm2
の露光量で露光後、130℃で10分間露光後ベークを
行なった。
A KrF excimer laser was applied to the obtained organosilicon film through a predetermined mask at 30 mJ / cm 2.
After exposure with the exposure amount of 10 ° C., post-exposure baking was performed at 130 ° C. for 10 minutes.

【0242】その後、2.38%のテトラメチルアンモ
ニウムヒドロキシド水溶液で1分間現像したところ、良
好なポリシランパターンが得られた。
Then, the film was developed with a 2.38% tetramethylammonium hydroxide aqueous solution for 1 minute, and a good polysilane pattern was obtained.

【0243】このポリシランパターンをマスクとして用
いて、ソースガスCHF3 (45SCCM)、CO(1
55SCCM)、O2 (10SCCM)、励起電力80
0W、真空度40mTorrの条件でエッチングを行な
った。その結果、0.15μmの酸化膜パターンが形成
され、得られた酸化膜パターンの断面は矩形であり、そ
の側壁も垂直で形状は良好であった。
Using this polysilane pattern as a mask, source gas CHF 3 (45 SCCM), CO (1
55 SCCM), O 2 (10 SCCM), excitation power 80
Etching was performed under the conditions of 0 W and a degree of vacuum of 40 mTorr. As a result, an oxide film pattern having a thickness of 0.15 μm was formed, the cross section of the obtained oxide film pattern was rectangular, and the side walls thereof were also vertical and had a good shape.

【0244】(実施例37)シリコンウエハ上に、被加
工層としての厚さ0.5μmのSOG酸化膜を形成し
た。
Example 37 An SOG oxide film having a thickness of 0.5 μm was formed as a layer to be processed on a silicon wafer.

【0245】ポリメチルフェニルシラン(重量平均分子
量12,000)をキシレンに溶解して10重量%キシ
レン溶液を調製し、このキシレン溶液を上述のSOG酸
化膜上に塗布し、加熱して厚さ0.2μmの有機ケイ素
膜を形成した。
Polymethylphenylsilane (weight average molecular weight 12,000) was dissolved in xylene to prepare a 10 wt% xylene solution, which was coated on the above SOG oxide film and heated to a thickness of 0. An organic silicon film having a thickness of 0.2 μm was formed.

【0246】得られた有機ケイ素膜にパドル法で硝酸パ
ラジウムの10%エタノール溶液を接触させて10分間
保持した後、エタノールで洗浄した。その後、硫酸ニッ
ケルを10%含む無電界メッキ液を同様にパドル法で接
触させ、銅をポリシラン膜上に均一に析出させた。これ
により厚さ0.1μmの銅膜が形成された。この銅膜
は、反射防止膜として作用する。
A 10% ethanol solution of palladium nitrate was brought into contact with the obtained organosilicon film by the paddle method, held for 10 minutes, and then washed with ethanol. Then, an electroless plating solution containing 10% of nickel sulfate was similarly contacted by the paddle method to uniformly deposit copper on the polysilane film. As a result, a copper film having a thickness of 0.1 μm was formed. This copper film acts as an antireflection film.

【0247】この上に、KrFエキシマレーザー用レジ
ストを塗布し、100℃で1分間ベークして膜厚0.5
μmのレジスト膜を形成した。このレジスト膜に対し
て、所定のマスクを介してKrFエキシマレーザーを1
00mJ/cm2 の露光量で露光した後、130℃で1
分間ベークした。
A KrF excimer laser resist is coated on this and baked at 100 ° C. for 1 minute to form a film having a thickness of 0.5.
A μm resist film was formed. A KrF excimer laser is applied to this resist film through a predetermined mask.
After exposing at an exposure dose of 00 mJ / cm 2 , 1 at 130 ° C
Bake for a minute.

【0248】続いて、2.38%のテトラメチルアンモ
ニウムヒドロキシド水溶液で現像したところ、定在波の
ないレジストパターンを形成することができた。レジス
ト膜の下層に形成した銅膜が、反射防止膜として十分に
作用したと考えられる。これをマグネトロン型のRIE
装置を用い、ソースガスCl2 (40SCCM)、真空
度40mTorr、励起電力100Wでエッチングした
ところ、ポリシランパターンを形成することができた。
Then, when the resist film was developed with a 2.38% tetramethylammonium hydroxide aqueous solution, a resist pattern without standing waves could be formed. It is considered that the copper film formed as the lower layer of the resist film worked sufficiently as an antireflection film. This is a magnetron type RIE
A polysilane pattern could be formed by etching with a source gas Cl 2 (40 SCCM), a vacuum degree of 40 mTorr, and an excitation power of 100 W using the apparatus.

【0249】このポリシランパターンをマスクとして用
いて、マグネトロン型のRIE装置を用い、ソースガス
CHF3 (40SCCM)、CO(155SCCM)、
2(10SCCM)、励起電力800W、真空度40
mTorrの条件でエッチングを行なった。その結果、
0.15μmの酸化膜パターンが形成され、得られた酸
化膜パターンの断面は矩形であり、その側壁も垂直で形
状は良好であった。
Using this polysilane pattern as a mask and using a magnetron type RIE device, source gas CHF 3 (40 SCCM), CO (155 SCCM),
O 2 (10 SCCM), excitation power 800 W, vacuum degree 40
Etching was performed under the condition of mTorr. as a result,
An oxide film pattern having a thickness of 0.15 μm was formed, and the cross section of the obtained oxide film pattern was rectangular, and the side wall thereof was also vertical and had a good shape.

【0250】(比較例4)シリコンウエハ上に、被加工
層としての厚さ0.5μmのSOG酸化膜を形成した。
(Comparative Example 4) A 0.5 μm thick SOG oxide film was formed as a layer to be processed on a silicon wafer.

【0251】この上に、KrFエキシマレーザー用レジ
ストを塗布し、100℃で1分間ベークして膜厚0.5
μmのレジスト膜を形成した。このレジスト膜に対し、
所定のマスクを介してKrFエキシマレーザーを100
mJ/cm2 の露光量で露光した後、130℃で1分間
ベークした。
A KrF excimer laser resist is coated on this and baked at 100 ° C. for 1 minute to form a film having a thickness of 0.5.
A μm resist film was formed. For this resist film,
100 KrF excimer laser through a specified mask
After exposure with an exposure amount of mJ / cm 2, the film was baked at 130 ° C. for 1 minute.

【0252】続いて、2.38%のテトラメチルアンモ
ニウムヒドロキシド水溶液で現像してレジストパターン
を形成したところ、得られたパターンの側壁には定在波
が確認された。
Subsequently, when a resist pattern was formed by developing with a 2.38% tetramethylammonium hydroxide aqueous solution, a standing wave was confirmed on the side wall of the obtained pattern.

【0253】[0253]

【発明の効果】以上説明したように本発明によれば、被
加工層のパターニングに好適に用いられる寸法精度のよ
いレジストパターンを形成することが可能な感光性組成
物が提供される。本発明を用いることにより、半導体ウ
エハやウェハ上に上に形成された被加工層を高い寸法精
度で加工することが可能であり、その工業的価値は絶大
である。
As described above, according to the present invention, there is provided a photosensitive composition capable of forming a resist pattern with good dimensional accuracy, which is preferably used for patterning a layer to be processed. By using the present invention, it is possible to process a semiconductor wafer or a layer to be processed formed on the wafer with high dimensional accuracy, and its industrial value is enormous.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1のパターン形成方法の一例を表わ
す工程断面図。
FIG. 1 is a process sectional view showing an example of a first pattern forming method of the present invention.

【図2】本発明の第2のパターン形成方法の一例を表わ
す工程断面図。
FIG. 2 is a process sectional view showing an example of a second pattern forming method of the present invention.

【図3】本発明の第3のパターン形成方法の一例を表わ
す工程断面図。
FIG. 3 is a process sectional view illustrating an example of a third pattern forming method of the present invention.

【図4】本発明の第3のパターン形成方法の一例を表わ
す工程断面図。
FIG. 4 is a process sectional view showing an example of a third pattern forming method of the present invention.

【図5】本発明の第4のパターン形成方法の一例を表わ
す工程断面図。
FIG. 5 is a process cross-sectional view showing an example of a fourth pattern forming method of the present invention.

【図6】本発明の第5のパターン形成方法の一例を表わ
す工程断面図。
FIG. 6 is a process sectional view illustrating an example of a fifth pattern forming method of the present invention.

【図7】本発明の第5のパターン形成方法の一例を表わ
す工程断面図。
FIG. 7 is a process sectional view illustrating an example of a fifth pattern forming method of the present invention.

【図8】本発明の感光性組成物を用いたパターン形成方
法の一例を表わす工程断面図。
FIG. 8 is a process cross-sectional view showing an example of a pattern forming method using the photosensitive composition of the present invention.

【符号の説明】[Explanation of symbols]

1…基板 2…被加工層 3…有機ケイ素膜 3a…Si−O−Si結合領域 3b…Si−Si結合領域 3c…Si−C−Si結合領域 3d…Si−O−Si結合領域 4…露光光 5…金属膜 6…レジスト膜 6a…シロキサンベースのパターン 7…Si−O含有膜 11…有機ケイ素膜 11a…シロキサンベースのパターン 1 ... Substrate 2 ... Layer to be processed 3 ... Organosilicon film 3a ... Si-O-Si bond region 3b ... Si-Si bond region 3c ... Si-C-Si bond region 3d ... Si-O-Si bond region 4 ... Exposure light 5 ... Metal film 6 ... Resist film 6a ... Siloxane-based pattern 7 ... Si-O containing film 11 ... Organosilicon film 11a ... Siloxane-based pattern

───────────────────────────────────────────────────── フロントページの続き (72)発明者 吉川 佐和子 神奈川県川崎市幸区小向東芝町1番地 株式会社東芝研究開発センター内 (72)発明者 佐藤 康彦 神奈川県横浜市磯子区新杉田町8番地 株式会社東芝横浜事業所内 (56)参考文献 特開 平8−305028(JP,A) 特開 昭64−56732(JP,A) 特開 昭63−38933(JP,A) 特開 平9−208704(JP,A) 特開 平8−262728(JP,A) 特開 平7−114188(JP,A) 特開 平10−268521(JP,A) (58)調査した分野(Int.Cl.7,DB名) G03F 7/00 - 7/42 ─────────────────────────────────────────────────── --- Continuation of the front page (72) Inventor Sawako Yoshikawa 1 Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Toshiba Research & Development Center, Inc. (72) Inventor Yasuhiko Sato 8 Shinsugita-cho, Isogo-ku, Yokohama-shi, Kanagawa (56) Reference JP-A-8-305028 (JP, A) JP-A-64-56732 (JP, A) JP-A-63-38933 (JP, A) JP-A-9-208704 (JP, A) JP-A-8-262728 (JP, A) JP-A-7-114188 (JP, A) JP-A-10-268521 (JP, A) (58) Fields investigated (Int. Cl. 7) , DB name) G03F 7/00-7/42

Claims (2)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 下記一般式(2)で表わされる繰り返し
単位を有するケイ素化合物、および光の照射により酸を
発生する化合物を含有する感光性組成物。 【化1】 (上記一般式(2)中、Pは下記一般式で表わされる酸
と反応して分解することによりカルボキシル基を生成し
てアルカリ水溶液に可溶化する基であり、Zは、水素原
子、炭素数1〜20の置換または非置換の炭化水素基、
芳香族炭化水素基を示す。) 【化2】
1. Repetition represented by the following general formula (2):
A silicon compound having a unit and an acid by irradiation with light
A photosensitive composition containing a generating compound. [Chemical 1] (In the general formula (2), P is an acid represented by the following general formula.
It reacts with and decomposes to form carboxyl groups
Is a group that is solubilized in an alkaline aqueous solution, and Z is a hydrogen source.
Child, substituted or unsubstituted hydrocarbon group having 1 to 20 carbon atoms,
Indicates an aromatic hydrocarbon group. ) [Chemical 2]
【請求項2】 前記一般式(2)におけるZとして導入
される前記炭化水素基は、下記化学式で表わされる群か
ら選択されることを特徴とする請求項1に記載の感光性
組成物。 【化3】
2. Introduced as Z in the general formula (2).
The hydrocarbon group is a group represented by the following chemical formula
Photosensitivity according to claim 1, characterized in that it is selected from
Composition. [Chemical 3]
JP17463898A 1998-06-22 1998-06-22 Pattern forming method and photosensitive composition Expired - Fee Related JP3410968B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP17463898A JP3410968B2 (en) 1998-06-22 1998-06-22 Pattern forming method and photosensitive composition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17463898A JP3410968B2 (en) 1998-06-22 1998-06-22 Pattern forming method and photosensitive composition

Publications (2)

Publication Number Publication Date
JP2000010289A JP2000010289A (en) 2000-01-14
JP3410968B2 true JP3410968B2 (en) 2003-05-26

Family

ID=15982099

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17463898A Expired - Fee Related JP3410968B2 (en) 1998-06-22 1998-06-22 Pattern forming method and photosensitive composition

Country Status (1)

Country Link
JP (1) JP3410968B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1375699A1 (en) * 2001-03-26 2004-01-02 Nippon Paint Co., Ltd. Method for forming metal pattern
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6338933A (en) * 1986-08-04 1988-02-19 Canon Inc Photosensitive and/or radiation sensitive composition and pattern forming method with said composition
JP2507481B2 (en) * 1987-05-21 1996-06-12 株式会社東芝 Polysilane and photosensitive composition
JP3274918B2 (en) * 1993-10-20 2002-04-15 日本ペイント株式会社 Polysilane-based photosensitive resin composition and pattern forming method using the same
JP3616685B2 (en) * 1995-01-25 2005-02-02 日本ペイント株式会社 Photosensitive resin composition and pattern forming method using the same
JPH08305028A (en) * 1995-04-28 1996-11-22 Toshiba Corp Resist
JPH09208704A (en) * 1996-01-31 1997-08-12 Toshiba Corp Production of organosilicon polymeric material and colored member
JP3379420B2 (en) * 1997-01-21 2003-02-24 信越化学工業株式会社 Pattern formation method

Also Published As

Publication number Publication date
JP2000010289A (en) 2000-01-14

Similar Documents

Publication Publication Date Title
JP5300799B2 (en) Pattern forming method and polymer alloy base material
JP5058733B2 (en) Method for forming fine pattern using silicon-containing composition for forming fine pattern
US5427649A (en) Method for forming a pattern by silylation
JP3290194B2 (en) Photoresist
KR101772950B1 (en) Methods of forming relief images
JP3410968B2 (en) Pattern forming method and photosensitive composition
US6806021B2 (en) Method for forming a pattern and method of manufacturing semiconductor device
US5194364A (en) Process for formation of resist patterns
JP4024898B2 (en) Silicon composition, pattern forming method using the same, and electronic component manufacturing method
US20010034131A1 (en) Method of forming a pattern
US6258732B1 (en) Method of forming a patterned organic dielectric layer on a substrate
JPH10268526A (en) Production of semiconductor device and pattern forming method
JPH11186243A (en) Method of etching silicon oxide silicon layer
KR100415091B1 (en) method for manufacturing fine pattern
JP2002110499A (en) Pattern forming method
EP0285025A2 (en) Silylated poly(vinyl)phenol resists
JP2000031118A (en) Formation of pattern
JP2002296791A (en) Method for forming pattern
EP0333591B1 (en) Process for formation of resist patterns
JP4836363B2 (en) Method for forming resist pattern
JP3710941B2 (en) Pattern formation method
JPH05265210A (en) Resist composition and pattern forming using it
JPH0869959A (en) Dry-developing method and manufacturing method of semiconductor device
JP2643879B2 (en) Fine pattern forming method
JP2697739B2 (en) Pattern formation method

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080320

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090320

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100320

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees