JP3301057B2 - Method of forming vertical gate field effect transistor - Google Patents

Method of forming vertical gate field effect transistor

Info

Publication number
JP3301057B2
JP3301057B2 JP02750797A JP2750797A JP3301057B2 JP 3301057 B2 JP3301057 B2 JP 3301057B2 JP 02750797 A JP02750797 A JP 02750797A JP 2750797 A JP2750797 A JP 2750797A JP 3301057 B2 JP3301057 B2 JP 3301057B2
Authority
JP
Japan
Prior art keywords
layer
forming
gate
channel
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP02750797A
Other languages
Japanese (ja)
Other versions
JPH09246568A (en
Inventor
ジャック・ウーン・チュ
ルイス・ルー−チェン・スー
ジャック・アラン・マンデルマン
ユアン−チェン・サン
ユアン・タウアー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH09246568A publication Critical patent/JPH09246568A/en
Application granted granted Critical
Publication of JP3301057B2 publication Critical patent/JP3301057B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78612Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、一般に、電界効果
トランジスタに関し、特に、縦形電界効果トランジスタ
に関する。
The present invention relates generally to field effect transistors, and more particularly to vertical field effect transistors.

【0002】[0002]

【従来の技術】電界効果トランジスタ(FET)は、集
積回路の分野における基本的なビルディング・ブロック
である。FETは、2つの基本的な構造形、すなわち水
平形および縦形に分類できる。水平形すなわち横形FE
Tは、ソースおよびドレインが形成されている基板の面
に対して平行(例えば水平)方向にソースからドレイン
へのキャリアの流れを示す。縦形FETは、ソースおよ
びドレインが形成されている基板の面に対して直角(例
えば垂直)方向にソースからドレインへのキャリアの流
れを示す。
BACKGROUND OF THE INVENTION Field effect transistors (FETs) are the basic building blocks in the field of integrated circuits. FETs can be classified into two basic structural types, horizontal and vertical. Horizontal or horizontal FE
T indicates a carrier flow from the source to the drain in a direction parallel (for example, horizontal) to the surface of the substrate on which the source and the drain are formed. A vertical FET exhibits carrier flow from source to drain in a direction perpendicular (eg, perpendicular) to the plane of the substrate on which the source and drain are formed.

【0003】水平形FETは、容易に集積できるので、
半導体産業において幅広く使用され、好まれているが、
縦形FETは、水平形FETよりも多くの利点を有して
いる。縦形FETのチャネル長は、最新のリソグラフィ
装置および方法により解像可能な最も小さい要素寸法
(例えば、約0.25マイクロメータ)の関数ではない
ので、縦形FETは水平形FETよりも短いチャネル長
(例えば、約0.1マイクロメータ)で製造することが
でき、従って、縦形FETは、水平形FETより早くス
イッチする能力とより大きい電力容量とを備える。さら
に、縦形FETにはより大きな実装密度の可能性があ
る。
[0003] Since horizontal FETs can be easily integrated,
Widely used and preferred by the semiconductor industry,
Vertical FETs have many advantages over horizontal FETs. Since the channel length of a vertical FET is not a function of the smallest feature size (eg, about 0.25 micrometers) that can be resolved by modern lithographic apparatus and methods, a vertical FET has a shorter channel length ( (E.g., about 0.1 micrometer), so vertical FETs have the ability to switch faster than horizontal FETs and greater power capacity. In addition, vertical FETs have the potential for greater packing density.

【0004】FET構造は、単一ゲート(例えば、単一
のチャネル形成用の)または一対のゲート(例えば、一
対のチャネル形成用の)を有することができ、ダブル・
ゲート・バージョンでは増大された電流搬送容量(例え
ば、一般には、単一ゲート・バージョンの2倍よりも大
きい)の利点を与える。特に、シリコン・オン・インシ
ュレータ(SOI)の領域において、多数の水平形ダブ
ル・ゲートFET構造が提案されてきた。このような構
造は、一般に、通常の上部ゲートに加えて基板の背部に
下部ゲートを必要とする。このような構造を製造するこ
とは困難である。その理由は、上部ゲートおよび下部ゲ
ートは、最新のリソグラフィ装置および方法の精度を超
えた公差内で整合されなければならず、また、自己整合
方法は、上部ゲートと下部ゲートとの間の層によって阻
害されるからである。
[0004] FET structures can have a single gate (eg, for forming a single channel) or a pair of gates (eg, for forming a pair of channels), and a double gate.
The gate version offers the advantage of increased current carrying capacity (eg, typically greater than twice the single gate version). Many horizontal double gate FET structures have been proposed, especially in the area of silicon-on-insulator (SOI). Such structures generally require a lower gate on the back of the substrate in addition to the usual upper gate. It is difficult to manufacture such a structure. The reason is that the upper and lower gates must be aligned within tolerances that exceed the accuracy of modern lithographic apparatus and methods, and the self-aligned method requires a layer between the upper and lower gates. This is because it is inhibited.

【0005】さらに、トランジスタのボディ(例えば、
チャネルが形成されるところ)を電気的に接触させる手
段を有することが必要である。このような接触は、フロ
ーティング・ポテンシャルを持つボディによって起こさ
れる不所望な寄生効果を避けるためには重要である。フ
ローティング・ボディ効果は、特に、SOIトランジス
タにとっての問題となり得る。しかしながら、提案され
た水平形ダブル・ゲートFET構造は、一般に、トラン
ジスタのボディに接触する手段を欠いている。
Further, the body of the transistor (for example,
It is necessary to have means for making electrical contact (where the channel is formed). Such contact is important to avoid unwanted parasitic effects caused by bodies with floating potential. The floating body effect can be a problem, especially for SOI transistors. However, proposed horizontal double-gate FET structures generally lack means for contacting the body of the transistor.

【0006】そこで必要とされるものは、上述した問題
を解決するダブル・ゲートFETである。
What is needed, therefore, is a double gate FET that solves the above-mentioned problems.

【0007】[0007]

【発明が解決しようとする課題】本発明の目的は、大き
い電流搬送容量を有する縦形ダブル・ゲート・トランジ
スタ構造を提供することにある。
It is an object of the present invention to provide a vertical double gate transistor structure having a large current carrying capacity.

【0008】本発明の他の目的は、チャネルが形成され
るボディへの導電接続を有する縦形ダブル・ゲート・ト
ランジスタ構造を提供することにある。
It is another object of the present invention to provide a vertical double gate transistor structure having a conductive connection to a body in which a channel is formed.

【0009】本発明のまた他の目的は、既知の最新の製
造技術を利用して製造できる縦形ダブル・ゲート・トラ
ンジスタ構造を提供することにある。
It is yet another object of the present invention to provide a vertical double gate transistor structure that can be manufactured utilizing known state of the art manufacturing techniques.

【0010】[0010]

【課題を解決するための手段】本発明は、縦形ダブル・
ゲート・トランジスタと、縦形ダブル・ゲート・トラン
ジスタを製造する方法とに関する。本発明の一実施例で
は、トランジスタは、基板と基板の上に積層されたソー
ス層,チャネル層,ドレイン層,誘電体層とを有する。
トランジスタの第1の端部の上には、ゲート酸化物およ
び導電ゲートが、積層された層の上面および側面を取り
囲んでいる。電気的接触は、トランジスタの第2の端部
で行われる。一実施例では、第1の端部は、ゲート酸化
物および導電ゲートで取り囲まれた複数のフィンガを有
する。
SUMMARY OF THE INVENTION The present invention provides a vertical double
The invention relates to a gate transistor and a method for manufacturing a vertical double gate transistor. In one embodiment of the present invention, a transistor has a substrate and a source layer, a channel layer, a drain layer, and a dielectric layer laminated on the substrate.
Above the first end of the transistor, a gate oxide and a conductive gate surround the top and sides of the stacked layers. Electrical contact is made at the second end of the transistor. In one embodiment, the first end has a plurality of fingers surrounded by a gate oxide and a conductive gate.

【0011】本発明の他の実施例においては、縦形ダブ
ル・ゲート・トランジスタを製造する方法が提供され
る。この方法は、半導体基板を設けるステップと、半導
体基板の上にソース層を形成するステップと、ソース層
の上にチャネル層を形成するステップと、チャネル層の
一部の上のチャネル層の一端部にエッチ・ストップ層を
形成するステップと、チャネル層およびエッチ・ストッ
プ層の上にドレイン層を形成するステップと、ドレイン
層の上に第1の誘電体層を形成するステップと、ソース
層,チャネル層,ドレイン層の一部の上にゲート誘電体
および導電ゲートを形成して、エッチ・ストップ層を有
する第1の端部と、ゲート酸化物および導電ゲートを有
する第2の端部とを有する絶縁スタックを形成するステ
ップと、導電ゲートの上にコンフォーマル誘電体層を形
成するステップと、エッチ・ストップ層を露出するよう
に絶縁スタックの第2の端部のドレイン層の一部を除去
し、エッチ・ストップ層によって保護されないソース層
およびチャネル層の部分を除去して、ソース層,チャネ
ル層,ドレイン層の各々にコンタクト台状部を形成する
ステップと、コンタクト台状部の側面に沿って側壁スペ
ーサを形成するステップと、ソース層,チャネル層,ド
レイン層のコンタクト台状部の各々に接続された縦形コ
ンタクトを形成するステップとを含む。
In another embodiment of the present invention, a method is provided for fabricating a vertical double gate transistor. The method includes providing a semiconductor substrate, forming a source layer on the semiconductor substrate, forming a channel layer on the source layer, and one end of the channel layer on a portion of the channel layer. Forming a drain layer on the channel layer and the etch stop layer; forming a first dielectric layer on the drain layer; forming a source layer and a channel on the drain layer; Forming a gate dielectric and a conductive gate over a portion of the layer, the drain layer, having a first end having an etch stop layer and a second end having a gate oxide and a conductive gate; Forming an insulating stack; forming a conformal dielectric layer over the conductive gate; and forming a second layer of the insulating stack to expose the etch stop layer. Removing a portion of the end drain layer and removing portions of the source and channel layers that are not protected by the etch stop layer to form contact traps in each of the source, channel and drain layers. Forming sidewall spacers along the sides of the contact pedestal, and forming vertical contacts connected to each of the contact pedestals of the source, channel, and drain layers.

【0012】[0012]

【発明の実施の形態】次に、図面を参照すると、ここに
例示した本発明の実施例におけるデバイスは、CMOS
(相補形MOS)デバイス、特に、エンハンスメント形
のNMOS(nチャネルMOS)FETである。当業者
によって理解されるように、本発明の教示に従って、n
形ドーパントの代わりにp形ドーパントを使用すること
によって、同様のPMOS(pチャネルMOS)FET
を製造することができ、その逆もまた同様である。
Referring now to the drawings, in the embodiment of the present invention illustrated herein, the device is a CMOS.
(Complementary MOS) devices, particularly enhancement-mode NMOS (n-channel MOS) FETs. As will be appreciated by those skilled in the art, according to the teachings of the present invention, n
A similar PMOS (p-channel MOS) FET by using p-type dopants instead of p-type dopants
Can be produced, and vice versa.

【0013】図1には、半導体基板10の断面図が示さ
れている。図10および図19は、図1と同じデバイス
を示し、それぞれ、第1の端部24における断面A−
A’と、第2の端部26における断面B−B’とに対応
し、同様に図2〜図9は残りの図面である図11〜図1
8および図20〜図27にそれぞれ対応している(例え
ば、図2では、A−A’断面とB−B’断面とが、それ
ぞれ図11と図20とに対応しているなど)。基板10
は、シリコン、ガリウム砒素、あるいは他の半導体材料
とすることができる。例えば、基板10はバルクあるい
はSOI形とすることができる。基板10がバルク基板
であるなら、p形ウェル(図示せず)を基板10に形成
することができる。次に、基板10の上にn形ソース層
12、以下に説明する理由により、好ましくはn+ 形ソ
ース層が形成される。ソース層12は、基板10への通
常のイオン注入法によって、あるいは技術上知られてい
るエピタキシャル法によって形成することができる。
FIG. 1 is a sectional view of a semiconductor substrate 10. FIGS. 10 and 19 show the same device as FIG. 1, each with a cross-section A- at the first end 24.
A ′ corresponds to the cross section BB ′ at the second end 26, and similarly FIGS. 2 to 9 show the remaining drawings, FIGS.
8 and FIGS. 20 to 27 (for example, in FIG. 2, the AA ′ cross section and the BB ′ cross section correspond to FIG. 11 and FIG. 20, respectively). Substrate 10
Can be silicon, gallium arsenide, or other semiconductor material. For example, substrate 10 can be bulk or SOI. If the substrate 10 is a bulk substrate, a p-type well (not shown) can be formed in the substrate 10. Next, an n-type source layer 12, preferably an n + -type source layer, is formed on the substrate 10, for reasons described below. The source layer 12 can be formed by a normal ion implantation method into the substrate 10 or by an epitaxial method known in the art.

【0014】図2,11,20では、p形チャネル層1
4が、好ましくは低温エピタキシャル(LTE)法によ
って形成される。例えば、適切なLTE法は、B.S.
Meyersonによる“Low−Temperatu
re Silicon Epitaxy by Ult
rahigh Vacuum/Chemical Va
por Deposition”のAppl.Phy
s.Lett.48(12),Mar.24,198
6,pp.797−799に開示されている。LTE法
は、n形ソース層12からの過度の外方拡散を回避する
ためにチャネル層14を形成するのに好適であり、これ
により、他の方法によって与えられるよりも、チャネル
長に対する大きな制御が可能となる。チャネル層14の
厚さは、好ましくは約1000Åである。高品質のチャ
ネル層14を成長させるためには、LTE処理の初め
に、ソース層12を非晶質化し、次に再結晶化すること
が好ましい。LTE処理によってチャネル層14に与え
られるドーピング濃度は、所望のしきい値電圧に依存し
て、好ましくは1×1016原子/cm3 〜3×1018
子/cm3 の範囲である。
2, 11 and 20, the p-type channel layer 1
4 are preferably formed by a low temperature epitaxial (LTE) method. For example, a suitable LTE method is described in S.
“Low-Temperatatu by Meyerson
re Silicon Epitaxy by Ult
rahigh Vacuum / Chemical Va
Appl. Phys of "por Deposition"
s. Lett. 48 (12), Mar. 24,198
6, pp. 797-799. The LTE method is preferred for forming the channel layer 14 to avoid excessive outdiffusion from the n-type source layer 12, thereby providing greater control over the channel length than provided by other methods. Becomes possible. The thickness of the channel layer 14 is preferably about 1000 °. In order to grow a high quality channel layer 14, it is preferable that the source layer 12 be made amorphous and then recrystallized at the beginning of the LTE process. The doping concentration applied to the channel layer 14 by the LTE process is preferably in a range of 1 × 10 16 atoms / cm 3 to 3 × 10 18 atoms / cm 3 depending on a desired threshold voltage.

【0015】図3,12,21では、エッチ・ストップ
層16が、第2の端部26の一部の上に形成される。エ
ッチ・ストップ層16の寸法および位置は、リソグラフ
ィにより定めることができ、ボディ・コンタクトを形成
するのに必要とされるチャネル層14の領域に対するカ
バレジを確保するように寸法決めされまた公差を有する
べきである。エッチ・ストップ層16は、約200〜5
00Åの厚さにして、チャネル層14に対して少なくと
も10:1の選択比を有するエッチャントによって最終
的に除去することができ、好ましくは誘電体、さらに好
ましくは酸化物である。
3, 12 and 21, an etch stop layer 16 is formed over a portion of the second end 26. FIG. The size and location of the etch stop layer 16 can be determined lithographically and should be dimensioned and have tolerances to ensure coverage for the area of the channel layer 14 needed to form body contacts. It is. The etch stop layer 16 is about 200 to 5
It can be finally removed by an etchant having a selectivity of at least 10: 1 with respect to the channel layer 14 and is preferably a dielectric, more preferably an oxide.

【0016】次に、図3,12,21では、n形ドレイ
ン層18、好ましくはn+ 形ドレイン層が、チャネル層
14およびエッチ・ストップ層16の上に形成される。
ドレイン層18は、好ましくは多結晶シリコンの化学的
気相成長(CVD)、およびこれに続く拡散アニールに
よって形成される。拡散アニールは、約800℃〜10
50℃、例えば、急速熱アニールでは950℃の温度
で、不活性な周囲環境における加熱工程を含むことがで
きる。CVDとこれに続く拡散アニールとを組み合わせ
ると、ドレイン層18からチャネル層14への制限され
た外方拡散を可能にし、さらに、実効チャネル長Leff
(例えば、ドレイン層18およびソース層12によって
示される外方拡散より小さいチャネル層14の厚さにほ
ぼ等しい)に対する制御を失うことなく、チャネル層1
4の近傍のポリシリコンのドレイン層18の一部の再結
晶化を可能にする。
Next, in FIGS. 3, 12, and 21, an n-type drain layer 18, preferably an n + -type drain layer, is formed over the channel layer 14 and the etch stop layer 16.
The drain layer 18 is preferably formed by chemical vapor deposition (CVD) of polycrystalline silicon, followed by a diffusion anneal. The diffusion annealing is performed at about 800 ° C. to 10 ° C.
At a temperature of 50 ° C., for example 950 ° C. for rapid thermal annealing, a heating step in an inert ambient environment can be included. The combination of CVD with a subsequent diffusion anneal allows for limited outdiffusion from the drain layer 18 to the channel layer 14, and furthermore, the effective channel length L eff
(E.g., approximately equal to the thickness of the channel layer 14 that is smaller than the out-diffusion shown by the drain layer 18 and the source layer 12)
4 enables a portion of the polysilicon drain layer 18 to be recrystallized.

【0017】図3,12,21では、パッシベーション
・キャップ28が、ドレイン層18の上に形成される。
パッシベーション・キャップ28は、好ましくはCVD
によって約700℃でテトラ・エチル・オルト・シリケ
ート(TEOS)により形成される誘電体である。次
に、基板10がパターニングされ、トランジスタ・スタ
ック32が形成される。このパターニングは、トランジ
スタ・スタック32の第1の端部24上のチャネル層1
4の所望の幅W(これ以後、メサ幅Wと呼び、Wの表示
は図12を参照のこと)を制御する。完全空乏化モード
(例えば、併合空乏領域)において作動するために、約
1000Åの実効チャネル長Leff を有する完成された
トランジスタでは、メサ幅Wは、好ましくは約300〜
1000Åとするべきである。メサ幅が大きくなればな
るほど、完全な空乏化以下になる、すなわち、チャネル
層14のドーピング濃度に対して極めて敏感なしきい値
電圧Vthとなる。図30は、W=300ÅおよびW=1
500Åにおける、チャネル層ドーピング濃度に対する
しきい値電圧のシミュレーション感度を示している。こ
のグラフから明らかなように、約5×1017/cm3
下のドーピング濃度では、1500Åのメサ幅Wを有す
るトランジスタはパンチ・スルーを発生し、その結果、
ゲート制御の損失と極端に低いしきい値電圧とを生じ
る。対照的に、300Åのような比較的狭いメサ幅Wで
は、チャネル層14を軽度にドープすることができ、ゲ
ート制御を犠牲にすることなしに、高いキャリア移動度
とこれによる大きい電流搬送容量とのような利点を与え
る。300〜1000Åの範囲のメサ幅は、既知の側壁
イメージ転写技術を利用することによって実現すること
ができる。
In FIGS. 3, 12, and 21, a passivation cap 28 is formed over the drain layer 18. FIG.
The passivation cap 28 is preferably a CVD
Is a dielectric formed by tetraethylorthosilicate (TEOS) at about 700.degree. Next, substrate 10 is patterned to form transistor stack 32. This patterning is performed on the channel layer 1 on the first end 24 of the transistor stack 32.
4 (hereinafter referred to as a mesa width W, and the display of W is shown in FIG. 12). To operate in a fully depleted mode (eg, a merged depletion region), for a completed transistor having an effective channel length L eff of about 1000 °, the mesa width W will preferably be about 300-300.
Should be 1000 °. As the mesa width increases, the threshold voltage V th becomes lower than complete depletion, that is, the threshold voltage V th is extremely sensitive to the doping concentration of the channel layer 14. FIG. 30 shows that W = 300 ° and W = 1
The simulation sensitivity of the threshold voltage to the channel layer doping concentration at 500 ° is shown. As is apparent from this graph, at a doping concentration of about 5 × 10 17 / cm 3 or less, a transistor having a mesa width W of 1500 ° generates punch-through, and as a result,
This results in gate control losses and extremely low threshold voltages. In contrast, for relatively narrow mesa widths W, such as 300 °, the channel layer 14 can be lightly doped, providing high carrier mobility and therefore large current carrying capacity without sacrificing gate control. Give benefits like. Mesa widths in the range of 300-1000 ° can be achieved by utilizing known sidewall image transfer techniques.

【0018】図4,13,22では、垂直ゲート酸化物
30が、トランジスタ・スタック32の側面、すなわち
ソース層12,チャネル層14,ドレイン層18の各々
の横側に沿って成長している。ソース層12およびドレ
イン層18をオーバーラップしているゲート酸化物30
の部分は、チャネル層14をオーバーラップしているゲ
ート酸化物30の部分よりも厚く製造して、チャネル層
14をオーバーラップしている酸化物によって与えられ
るキャパシタンス値よりもより小さいキャパシタンス値
を与え、従って、ソース層12およびドレイン層18を
オーバーラップしているゲート酸化物によって作られる
入力キャパシタンスを最小にすることができる。酸化条
件と、ソース層12およびドレイン層18のドーピング
とは、半導体のドーピング濃度による酸化速度の変動を
利用するように選択できる。例えば、n+ ポリシリコン
層(1.5×1020/cm3 )の酸化速度は、p-
(1×1016/cm3 )の酸化速度の約5倍の速さまで
上げることができる。ドレイン層18およびソース層1
2がそれぞれn+ レベルでドーピングされ、エピタキシ
ャル・チャネル層14がp- であるなら、ソース層12
およびドレイン層18をオーバーラップするゲート酸化
物30の部分の厚さはチャネル層14をオーバーラップ
するゲート酸化物の部分の厚さの約5倍にすることがで
きる。
In FIGS. 4, 13, and 22, a vertical gate oxide 30 is grown along the sides of the transistor stack 32, ie, along the sides of each of the source layer 12, the channel layer 14, and the drain layer 18. Gate oxide 30 overlapping source layer 12 and drain layer 18
Is manufactured thicker than the portion of the gate oxide 30 that overlaps the channel layer 14 to provide a smaller capacitance value than that provided by the oxide that overlaps the channel layer 14. Thus, the input capacitance created by the gate oxide overlapping source layer 12 and drain layer 18 can be minimized. The oxidizing conditions and the doping of the source layer 12 and the drain layer 18 can be selected so as to take advantage of the change in the oxidizing rate due to the doping concentration of the semiconductor. For example, the oxidation rate of the n + polysilicon layer (1.5 × 10 20 / cm 3 ) can be increased to about five times the oxidation rate of the p layer (1 × 10 16 / cm 3 ). Drain layer 18 and source layer 1
2 are each doped at the n + level and if the epitaxial channel layer 14 is p
And the thickness of the portion of the gate oxide 30 that overlaps the drain layer 18 can be about five times the thickness of the portion of the gate oxide that overlaps the channel layer 14.

【0019】図5,14,23では、コンフォーマル・
ゲート34およびゲート・キャップ36は、トランジス
タ・スタック32の側面に形成され側面を取り囲み、隣
接構造を形成することができる。ゲート34は導電性で
なければならず、好ましくは多結晶シリコンまたはタン
グステン、さらに好ましくはn+ ポリシリコン、あるい
はより低いオフ電流のために高いしきい値電圧が必要な
らば、p+ ポリシリコンが好ましく、また、ゲート34
は既知のCVD法によって形成することができる。ゲー
ト・キャップ36は誘電材料であるべきであり、既知の
方法によって成長し付着することができる。次に、トラ
ンジスタ・スタック32の第2の端部26を覆っている
ゲート34およびゲート・キャップ36の部分が除去さ
れ、ゲート34およびゲート・キャップ36はトランジ
スタ・スタック32の第1の端部24のみの露出エッジ
を覆うことができる。この除去は、トランジスタ・スタ
ック32の第2の端部26が露出されるように、感光剤
を設けその感光剤をパターニングして、第2の端部26
のパッシベーション・キャップ28が露出されるまでト
ランジスタ・スタック32をエッチングすることによっ
て達成される。このようにして、ゲート34を形成する
と、これまで述べた整合の問題を避けることができる。
なお、この問題は、一般に、水平形ダブル・ゲート・ト
ランジスタに関連している。
In FIGS. 5, 14 and 23, the conformal
Gates 34 and gate caps 36 are formed on and surround the sides of the transistor stack 32 and may form adjacent structures. Gate 34 must be conductive, preferably polysilicon or tungsten, more preferably n + polysilicon, or p + polysilicon if a higher threshold voltage is required for lower off-current. Preferably, and the gate 34
Can be formed by a known CVD method. Gate cap 36 should be a dielectric material and can be grown and deposited by known methods. Next, the portion of the gate 34 and the gate cap 36 covering the second end 26 of the transistor stack 32 is removed, and the gate 34 and the gate cap 36 are removed from the first end 24 of the transistor stack 32. Only the exposed edges can be covered. This removal is accomplished by providing a photosensitizer and patterning the photosensitizer such that the second end 26 of the transistor stack 32 is exposed.
By etching the transistor stack 32 until the passivation cap 28 is exposed. Forming the gate 34 in this manner avoids the alignment problem described above.
Note that this problem is generally associated with a horizontal double gate transistor.

【0020】図6,15,24では、他の感光層38が
設けられパターニングされる。感光層38のパターニン
グは、図6に示すようにエッチ・ストップ層16と協働
して内側エッジ40を整合している。また、特に、内側
エッジ40から延ばされた線は、エッチ・ストップ層1
6の外側エッジ42からの距離Dでエッチ・ストップ層
16と交差し、この距離Dは、チャネル層14になされ
る電気的コンタクトの寸法にほぼ近似している。パター
ニングの後、トランジスタ・スタック32は、エッチン
グされ、第2の端部26のソース層12,チャンネル層
14,ドレイン層18の部分を除去する。酸化物のエッ
チ・ストップ層16に適した反応性イオン形エッチャン
トは、酸化物と感光剤(ホトレジスト)の両方に対して
選択的であるべきであり、例えば、HBrまたはHCl
+Cl+O2 +N2 である。図6,15,24に示すよ
うに、感光層38によって保護されていない第2の端部
26のドレイン層18の部分は完全に除去される。エッ
チングは、ソース層12が露出されるまで続けられる
が、エッチ・ストップ層16によって保護されたチャネ
ル層14の一部は残る。エッチングの後、それぞれソー
ス層12,チャネル層14,ドレイン層18に対してコ
ンタクトを作製するために、台状部41,43,45が
形成される。
6, 15 and 24, another photosensitive layer 38 is provided and patterned. The patterning of the photosensitive layer 38 cooperates with the etch stop layer 16 to align the inner edge 40 as shown in FIG. Also, in particular, the line extending from the inner edge 40 is
6 intersects the etch stop layer 16 at a distance D from the outer edge 42, which distance D approximates the dimensions of the electrical contacts made to the channel layer 14. After patterning, the transistor stack 32 is etched to remove portions of the source layer 12, the channel layer 14, and the drain layer 18 at the second end 26. Reactive ionic etchants suitable for the oxide etch stop layer 16 should be selective for both oxide and photosensitizer (photoresist), for example, HBr or HCl.
+ Cl + O 2 + N 2 . As shown in FIGS. 6, 15, and 24, the portion of the drain layer 18 at the second end 26 that is not protected by the photosensitive layer 38 is completely removed. The etching is continued until the source layer 12 is exposed, but a part of the channel layer 14 protected by the etch stop layer 16 remains. After the etching, trapezoidal portions 41, 43, and 45 are formed to make contacts to the source layer 12, the channel layer 14, and the drain layer 18, respectively.

【0021】図7,16,25では、感光層38が、ト
ランジスタ・スタック32から取り去られ、絶縁側壁ス
ペーサ44,46,48,50,52を、トランジスタ
・スタック32の露出エッジの上に形成することができ
る。特に、側壁スペーサ44/52は、ゲート34/キ
ャップ36の露出されたエッジに沿って形成されてい
る。同様にして、側壁スペーサ46,48,50は、そ
れぞれドレイン層18,チャネル層14,ソース層12
に沿って形成されている。側壁スペーサ44,46,4
8,50,52は、例えば、CVDおよびこれに続く異
方性エッチングによって形成される窒化物で構成でき
る。側壁スペーサ材料として窒化物を使用すると、ボー
ダレス・コンタクト構造を可能にし、これにより、コン
タクトを配置する公差がより大きくなるという利点を与
える。しかしながら、形成すべき導電コンタクト(図示
せず)に対する拡散領域(例えば、ソース層12および
ドレイン層18)の導電率を大きくするために活性領域
にケイ化物を与える必要があるなら、側壁スペーサは、
窒化シリコンよりむしろ誘電材料で形成して、層間の短
絡を防ぐようにするべきである。
7, 16, 25, the photosensitive layer 38 is removed from the transistor stack 32 and insulating sidewall spacers 44, 46, 48, 50, 52 are formed over the exposed edges of the transistor stack 32. can do. In particular, sidewall spacers 44/52 are formed along the exposed edges of gate 34 / cap 36. Similarly, the side wall spacers 46, 48, and 50 form the drain layer 18, the channel layer 14, and the source layer 12, respectively.
Are formed along. Side wall spacers 44, 46, 4
8, 50, 52 can be composed of, for example, nitride formed by CVD followed by anisotropic etching. The use of nitride as the sidewall spacer material allows for a borderless contact structure, which provides the advantage of greater tolerances for placing contacts. However, if it is necessary to provide the active region with silicide to increase the conductivity of the diffusion region (eg, source layer 12 and drain layer 18) to the conductive contact to be formed (not shown), the sidewall spacer may be
It should be formed of a dielectric material rather than silicon nitride to prevent shorting between layers.

【0022】図8,17,26では、全体のトランジス
タ・スタック32が、誘電材料54、好ましくは二酸化
シリコンにカプセル封止され、パターニングされる。コ
ンタクト・スタッド用の開口56,58,60,62
が、カプセル封止誘電材料54にエッチングされる。開
口58は、カプセル封止誘電体54およびパッシベーシ
ョン・キャップ28の両方を通って延びており、ドレイ
ン層18を露出して接触を可能にしている。開口60
は、カプセル封止誘電材料54およびエッチ・ストップ
層16の両方を通って延びており、チャネル層14を露
出して直接接触を可能にしている(例えば、ボディ・コ
ンタクト)。
8, 17, and 26, the entire transistor stack 32 is encapsulated in a dielectric material 54, preferably silicon dioxide, and patterned. Openings 56, 58, 60, 62 for contact studs
Is etched into the encapsulating dielectric material 54. An opening 58 extends through both the encapsulation dielectric 54 and the passivation cap 28, exposing the drain layer 18 to allow contact. Opening 60
Extends through both the encapsulating dielectric material 54 and the etch stop layer 16, exposing the channel layer 14 to allow for direct contact (eg, body contact).

【0023】図9,18,27では、導電コンタクト・
スタッド57,59,61,63が、技術上知られてい
るタングステンのような導電材料のCVDによって形成
される。
9, 18, and 27, the conductive contacts
Studs 57, 59, 61, 63 are formed by CVD of a conductive material, such as tungsten, as known in the art.

【0024】図28は、本発明の他の好適な実施例の平
面図を示し、図中、同じ参照符号は同じ要素を示す。図
28に示す構造は、上述した図7,16,25について
の説明に記載されたステップ(例えば、コンタクト・ス
タッド57,59,61,63のカプセル封止および形
成の直前の)によって形成することができる。図28に
示すトランジスタ・スタック132は、図7,16,2
5に示すトランジスタ・スタック32の第2の端部26
に類似したコンタクト端部126と、図7,16,25
に示す第1の端部24に類似した活性端部124とを有
している。しかしながら、活性端部124は、図7,1
6,25に示すトランジスタ・スタック32の第1の端
部に各々が類似した複数のフィンガ164に特徴があ
り、これらフィンガはコンタクトを共用するためにコン
タクト端部126で接続されている。図28に示す構造
は、高密度レイアウトにおいて付加的な電流搬送容量を
与える。本発明に従って製造される典型的な縦形トラン
ジスタは、4つのフィンガの各々に、1000Åのチャ
ネル長と、300Åのメサ幅Wと、約2000Åのフィ
ンガ長とを有することができる。
FIG. 28 shows a plan view of another preferred embodiment of the present invention, in which like reference numbers indicate like elements. The structure shown in FIG. 28 is formed by the steps described in the description of FIGS. 7, 16, 25 above (eg, immediately prior to encapsulation and formation of contact studs 57, 59, 61, 63). Can be. The transistor stack 132 shown in FIG.
5. Second end 26 of transistor stack 32 shown in FIG.
Contact end 126 similar to FIG.
And an active end 124 similar to the first end 24 shown in FIG. However, the active end 124 does not
6 and 25 feature a plurality of fingers 164, each similar to the first end of the transistor stack 32, which are connected at a contact end 126 for sharing contacts. The structure shown in FIG. 28 provides additional current carrying capacity in a high density layout. A typical vertical transistor made in accordance with the present invention can have a channel length of 1000 °, a mesa width W of 300 °, and a finger length of about 2000 ° for each of the four fingers.

【0025】フィンガ長Fの増大は、トランジスタ・ス
タック132の電流搬送容量をさらに増大することがで
きるが、不所望な伝搬遅延の増大を伴う。他の実施例で
は、構造132を図28に示す線C−C’について対称
にすることによって付加的な一組のコンタクトを与え、
従って、伝搬遅延を増大せずにフィンガ長Fを効果的に
2倍にすることができる。さらに、このような技術は、
本発明の単一フィンガ・バージョンに適用することがで
きるが、スペース効率はより小さくなる。
Increasing the finger length F can further increase the current carrying capacity of the transistor stack 132, but with an undesirable increase in propagation delay. In another embodiment, providing an additional set of contacts by making structure 132 symmetric about line CC ′ shown in FIG.
Therefore, the finger length F can be effectively doubled without increasing the propagation delay. In addition, such technology
It can be applied to a single finger version of the invention, but is less space efficient.

【0026】上述した説明には、好適な実施例の特定の
典型的寸法を含んでいる。しかしながら、本発明は、重
要な寸法の相対関係を大ざっぱに概算することによっ
て、より幅広く記述することができる。図29には、重
要な寸法を示すために多層フィンガ・トランジスタ・ス
タック232の簡略化した表示が示されている。図29
にはLeff すなわち実効チャネル長と、トランジスタ・
スタック232の全体高さhとが示されている。実効チ
ャネル長は、チャネル層214の厚さによって決めら
れ、高さhは、ソース層212,チャネル層214,ド
レイン層218の厚さの合計によって特徴づけられる。
さらに、メサ幅Wとフィンガ長Fとが表示されいる。次
の表1は、寸法のほぼ好適な範囲および/または表示寸
法間の関係を示している。
The above description includes specific exemplary dimensions of the preferred embodiment. However, the present invention can be described more broadly by roughly estimating the relative relationships of critical dimensions. FIG. 29 shows a simplified representation of the multilayer finger transistor stack 232 to show critical dimensions. FIG.
Has L eff, the effective channel length, and the transistor
The overall height h of the stack 232 is shown. The effective channel length is determined by the thickness of the channel layer 214, and the height h is characterized by the total thickness of the source layer 212, the channel layer 214, and the drain layer 218.
Further, the mesa width W and the finger length F are displayed. Table 1 below shows the approximate preferred range of dimensions and / or the relationship between the indicated dimensions.

【表1】500Å≦Leff ≦1000Å 300Å≦ W ≦1500Å 3W≦ F ≦10W(注:前述したように2倍にする
ことができる) h ≦10W
Table 1 500 ° ≦ L eff ≦ 1000 ° 300 ° ≦ W ≦ 1500 ° 3W ≦ F ≦ 10W (Note: can be doubled as described above) h ≦ 10W

【0027】従来技術よりも優れた様々な利点が、ここ
に記載された本発明によって提供される。重要なことに
は、本発明は、チャネル層14(例えば、ボディ)への
直接接触、すなわちSOIデバイスのフローティング・
ボディ効果を防止する重要な特徴を有する縦形トランジ
スタを提供する。本発明は、また、チャネル空乏効果に
よるメサ幅Wの減少につれて電流が増大することによっ
て、単一ゲート・プレーナ・トランジスタの2倍以上の
電流を流すことのできるトランジスタを提供する。L
eff に対する短チャネル効果は、メサ幅Wが減少するに
つれて、またチャネルが完全空乏に近づくにつれて抑制
される。さらに、ダブル・ゲート・トランジスタに一般
に関係する整合の問題が、ラップ・アラウンド・ゲート
34を使用することによって避けられる。
Various advantages over the prior art are provided by the invention described herein. Importantly, the present invention provides direct contact with the channel layer 14 (eg, body), ie, the floating contact of the SOI device.
There is provided a vertical transistor having an important feature for preventing a body effect. The present invention also provides a transistor capable of conducting more than twice the current of a single-gate planar transistor by increasing the current as the mesa width W decreases due to the channel depletion effect. L
The short channel effect on eff is suppressed as the mesa width W decreases and as the channel approaches full depletion. In addition, alignment problems commonly associated with double gate transistors are avoided by using wrap around gate 34.

【0028】本発明を、特に、好適な実施例について示
し、説明したが、形態上および詳細における様々な変更
を、本発明の趣旨と範囲からはずれることなく行うこと
ができることは、当業者には明らかである。
Although the present invention has been particularly shown and described with reference to preferred embodiments, it will be appreciated by those skilled in the art that various changes in form and detail may be made without departing from the spirit and scope of the invention. it is obvious.

【0029】まとめとして、本発明の構成に関して以下
の事項を開示する。 (1)基板と、前記基板の上に付着され、第1の周辺エ
ッジを有するソース層と、前記ソース層の上に付着さ
れ、トランジスタのチャネル長をほぼ定める厚さを有
し、第2の周辺エッジをさらに有するチャネル層と、前
記チャネル層の上に付着され、第3の周辺エッジを有す
るドレイン層と、前記ドレイン層の上に付着され、前記
第1の周辺エッジ,前記第2の周辺エッジ,前記第3の
周辺エッジにほぼ一致する第4の周辺エッジを有する上
部誘電体層と、前記ソース層,前記チャネル層,前記ド
レイン層の一部を取り囲み、前記ソース層,前記チャネ
ル層,前記ドレイン層のそれぞれ前記第1の周辺エッ
ジ,前記第2の周辺エッジ,前記第3の周辺エッジの部
分と接触する縦形のゲート誘電体層と、前記縦形のゲー
ト誘電体層と前記上部誘電体層とを取り囲んで接触して
いる導電ゲートと、を備えたことを特徴とする縦形ダブ
ル・ゲート電界効果トランジスタ。 (2)前記ソース層,前記チャネル層,前記ドレイン層
が、ほぼ一致し、長さおよび幅によって特徴づけられ、
長さが幅よりもかなり大きいことを特徴とする、上記
(1)に記載のダブル・ゲート電界効果トランジスタ。 (3)前記ゲート誘電体層が、酸化物よりなることを特
徴とする、上記(1)に記載のダブル・ゲート電界効果
トランジスタ。 (4)前記ゲート誘電体層が、前記チャネル層における
よりも前記ソース層および前記ドレイン層に隣接したと
ころでかなり小さいキャパシタンスを有することを特徴
とする、上記(1)に記載のダブル・ゲート電界効果ト
ランジスタ。 (5)前記ゲート誘電体層が、前記チャネル層における
よりも前記ソース層および前記ドレイン層に隣接したと
ころでかなり厚いことを特徴とする、上記(1)に記載
のダブル・ゲート電界効果トランジスタ。 (6)前記導電ゲートが、ポリシリコンおよびタングス
テンからなる群から選択されたことを特徴とする、上記
(1)に記載のダブル・ゲート電界効果トランジスタ。 (7)前記導電ゲートに接続されたゲートコンタクト
と、前記チャネル層に接続されたボディコンタクトと、
前記ソース層に接続されたソースコンタクトと、前記ド
レイン層に接続されたドレインコンタクトと、をさらに
備えたことを特徴とする、上記(1)に記載のダブル・
ゲート電界効果トランジスタ。 (8)前記ボディコンタクト,前記ソースコンタクト,
前記ドレインコンタクトが、前記トランジスタの第1の
端部に形成され、前記導電ゲートに接触する、前記ソー
ス層,前記チャネル層,前記ドレイン層の部分に対応す
る前記トランジスタの第2の端部が、複数のフィンガを
有することを特徴とする、上記(7)に記載のダブル・
ゲート電界効果トランジスタ。 (9)前記トランジスタが、完全空乏化モードにおいて
作動することを特徴とする、上記(7)に記載のダブル
・ゲート電界効果トランジスタ。 (10)半導体基板を設けるステップと、前記半導体基
板の上に、第1の周辺エッジを有するソース層を形成す
るステップと、前記ソース層の上に、第2の周辺エッジ
を有するチャネル層を形成するステップと、前記チャネ
ル層の一部の上の前記チャネル層の一端部に、エッチ・
ストップ層を形成するステップと、前記チャネル層およ
び前記エッチ・ストップ層の上に、第3の周辺エッジを
有するドレイン層を形成するステップと、前記ドレイン
層の上に第1の誘電体層を形成するステップと、前記第
1の周辺エッジ,前記第2の周辺エッジ,前記第3の周
辺エッジの一部に沿って、ゲート誘電体およびコンフォ
ーマル導電ゲートを形成して、前記エッチ・ストップ層
を有する第1の端部と、前記ゲート誘電体および前記コ
ンフォーマル導電ゲートを有する第2の端部とを有する
絶縁トランジスタ・スタックを形成するステップと、前
記コンフォーマル導電ゲートの上に、コンフォーマル誘
電体層を形成するステップと、前記エッチ・ストップ層
を露出するために、前記絶縁トランジスタ・スタックの
第2の端部における前記ドレイン層の一部を除去し、前
記エッチ・ストップ層によって保護されていない前記ソ
ース層および前記チャネル層の部分を除去して、前記ソ
ース層,前記チャネル層,前記ドレイン層のそれぞれに
コンタクト台状部を形成するステップと、前記コンタク
ト台状部の側面に沿って側壁スペーサを形成するステッ
プと、前記ソース層,前記チャネル層,前記ドレイン層
の前記コンタクト台状部の各々と、前記導電ゲートとに
接続された縦形の導電コンタクトを形成するステップ
と、を含むことを特徴とする、縦形ダブル・ゲート電界
効果トランジスタを形成する方法。 (11)前記チャネル層を、低温エピタキシーによって
形成することを特徴とする、上記(10)に記載の方
法。 (12)前記ゲート誘電体層を熱成長し、前記ゲート誘
電体が成長するときのドーパント濃度による酸化速度の
変動を利用するように、前記ソース層,前記チャネル
層,前記ドレイン層のドーパント濃度を選択することを
特徴とする、上記(10)に記載の方法。 (13)前記半導体基板が、シリコン・オン・インシュ
レータのウエハからなることを特徴とする、上記(1
0)に記載の方法。 (14)前記ドレイン層を、化学的気相成長法によって
形成することを特徴とする、上記(10)に記載の方
法。 (15)前記導電ゲートを、ポリシリコンおよびタング
ステンからなる群から選択することを特徴とする、上記
(10)に記載の方法。 (16)前記エッチ・ストップ層が酸化物からなり、前
記除去するステップが、HBrによる反応性イオンエッ
チングからなることを特徴とする、上記(10)に記載
の方法。 (17)前記エッチ・ストップ層が酸化物からなり、前
記除去するステップがHCl+Cl+O2 +N2 による
反応性イオンエッチングからなることを特徴とする、上
記(10)に記載の方法。 (18)前記側壁スペーサが、誘電材料からなることを
特徴とする、上記(10)に記載の方法。 (19)前記側壁スペーサが、窒化物からなることを特
徴とする、上記(10)に記載の方法。
In summary, the following is disclosed regarding the configuration of the present invention. (1) a substrate, a source layer deposited on the substrate and having a first peripheral edge, and a second layer deposited on the source layer and having a thickness substantially defining a channel length of the transistor; A channel layer further having a peripheral edge; a drain layer deposited over the channel layer and having a third peripheral edge; and a first peripheral edge, the second peripheral portion deposited over the drain layer. An edge, an upper dielectric layer having a fourth peripheral edge substantially coinciding with the third peripheral edge, and surrounding the source layer, the channel layer, and a portion of the drain layer, the source layer, the channel layer, A vertical gate dielectric layer in contact with the first peripheral edge, the second peripheral edge, and the third peripheral edge of the drain layer, respectively; Vertical double gate field effect transistor, characterized in that it comprises a conductive gate that is in contact surrounds the body layer. (2) the source layer, the channel layer, and the drain layer substantially coincide with each other, and are characterized by a length and a width;
The double-gate field-effect transistor according to (1), wherein the length is much larger than the width. (3) The double-gate field-effect transistor according to (1), wherein the gate dielectric layer is made of an oxide. (4) The double-gate field effect of (1), wherein the gate dielectric layer has a significantly smaller capacitance adjacent to the source and drain layers than in the channel layer. Transistor. (5) The double-gate field effect transistor of (1), wherein the gate dielectric layer is much thicker adjacent to the source and drain layers than in the channel layer. (6) The double-gate field-effect transistor according to (1), wherein the conductive gate is selected from the group consisting of polysilicon and tungsten. (7) a gate contact connected to the conductive gate, a body contact connected to the channel layer,
The double contact according to (1), further comprising: a source contact connected to the source layer; and a drain contact connected to the drain layer.
Gate field effect transistor. (8) the body contact, the source contact,
The drain contact is formed at a first end of the transistor, and a second end of the transistor corresponding to a portion of the source layer, the channel layer, and the drain layer, which contacts the conductive gate, The double finger according to the above (7), which has a plurality of fingers.
Gate field effect transistor. (9) The double-gate field effect transistor according to (7), wherein the transistor operates in a fully depleted mode. (10) providing a semiconductor substrate, forming a source layer having a first peripheral edge on the semiconductor substrate, and forming a channel layer having a second peripheral edge on the source layer Etching one end of the channel layer over a portion of the channel layer.
Forming a stop layer; forming a drain layer having a third peripheral edge on the channel layer and the etch stop layer; forming a first dielectric layer on the drain layer Forming a gate dielectric and a conformal conductive gate along a portion of the first peripheral edge, the second peripheral edge, and a portion of the third peripheral edge to form the etch stop layer. Forming an insulated transistor stack having a first end having a first dielectric end and a second end having the gate dielectric and the conformal conductive gate; and forming a conformal dielectric over the conformal conductive gate. Forming a body layer; and exposing the etch stop layer at a second end of the isolated transistor stack. A part of the drain layer is removed, a part of the source layer and the channel layer not protected by the etch stop layer is removed, and a contact platform is provided on each of the source layer, the channel layer, and the drain layer. Forming a sidewall, forming sidewall spacers along side surfaces of the contact platform, each of the contact platforms of the source layer, the channel layer, and the drain layer; and the conductive gate. Forming a vertical conductive contact connected to the transistor and a vertical double gate field effect transistor. (11) The method according to (10), wherein the channel layer is formed by low-temperature epitaxy. (12) The gate dielectric layer is thermally grown, and the dopant concentration of the source layer, the channel layer, and the drain layer is adjusted so as to utilize a change in an oxidation rate due to a dopant concentration when the gate dielectric is grown. The method according to (10), wherein the method is selected. (13) The semiconductor device according to (1), wherein the semiconductor substrate comprises a silicon-on-insulator wafer.
The method according to 0). (14) The method according to (10), wherein the drain layer is formed by a chemical vapor deposition method. (15) The method according to (10), wherein the conductive gate is selected from the group consisting of polysilicon and tungsten. (16) The method according to the above (10), wherein the etch stop layer comprises an oxide, and the removing step comprises a reactive ion etching with HBr. (17) The method according to the above (10), wherein the etch stop layer is made of an oxide, and the step of removing is made of reactive ion etching with HCl + Cl + O 2 + N 2 . (18) The method according to the above (10), wherein the side wall spacer is made of a dielectric material. (19) The method according to the above (10), wherein the side wall spacer is made of nitride.

【図面の簡単な説明】[Brief description of the drawings]

【図1】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 1 illustrates the manufacturing steps in a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図2】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 2 illustrates the manufacturing steps in a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図3】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 3 illustrates the fabrication steps of a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図4】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 4 illustrates the manufacturing steps of a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図5】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 5 illustrates the manufacturing steps in a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図6】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 6 illustrates the fabrication steps in a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図7】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 7 illustrates the manufacturing steps in a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図8】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 8 illustrates the manufacturing steps in a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図9】縦形ダブル・ゲート・電界効果トランジスタを
形成する、本発明の方法の好適な実施例における製造ス
テップを示す図である。
FIG. 9 illustrates the manufacturing steps in a preferred embodiment of the method of the present invention for forming a vertical double gate field effect transistor.

【図10】本発明の方法の好適な実施例における製造ス
テップを図1のA−A’断面図として示した図であり、
図1および図19に対応している。
FIG. 10 is a diagram showing a manufacturing step in a preferred embodiment of the method of the present invention as a sectional view taken along the line AA ′ of FIG. 1;
This corresponds to FIG. 1 and FIG.

【図11】本発明の方法の好適な実施例における製造ス
テップをA−A’断面図として示した図であり、図2お
よび図20に対応している。
FIG. 11 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as an AA ′ cross-sectional view, and corresponds to FIGS. 2 and 20;

【図12】本発明の方法の好適な実施例における製造ス
テップをA−A’断面図として示した図であり、図3お
よび図21に対応している。
FIG. 12 is a sectional view showing a manufacturing step in a preferred embodiment of the method of the present invention taken along the line AA ′, and corresponds to FIGS. 3 and 21;

【図13】本発明の方法の好適な実施例における製造ス
テップをA−A’断面図として示した図であり、図4お
よび図22に対応している。
FIG. 13 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as an AA ′ cross-sectional view, corresponding to FIGS. 4 and 22;

【図14】本発明の方法の好適な実施例における製造ス
テップをA−A’断面図として示した図であり、図5お
よび図23に対応している。
FIG. 14 is a sectional view taken along line AA ′ of a manufacturing step in a preferred embodiment of the method of the present invention, and corresponds to FIGS. 5 and 23.

【図15】本発明の方法の好適な実施例における製造ス
テップをA−A’断面図として示した図であり、図6お
よび図24に対応している。
FIG. 15 is a sectional view taken along line AA ′ of a manufacturing step in a preferred embodiment of the method of the present invention, and corresponds to FIGS. 6 and 24.

【図16】本発明の方法の好適な実施例における製造ス
テップをA−A’断面図として示した図であり、図7お
よび図25に対応している。
FIG. 16 is a sectional view taken along line AA ′ of a manufacturing step in a preferred embodiment of the method of the present invention, and corresponds to FIGS. 7 and 25.

【図17】本発明の方法の好適な実施例における製造ス
テップをA−A’断面図として示した図であり、図8お
よび図26に対応している。
FIG. 17 is a sectional view showing a manufacturing step in the preferred embodiment of the method of the present invention, taken along the line AA ′, corresponding to FIGS. 8 and 26;

【図18】本発明の方法の好適な実施例における製造ス
テップをA−A’断面図として示した図であり、図9お
よび図27に対応している。
FIG. 18 is a cross-sectional view taken along line AA ′ of a manufacturing step in a preferred embodiment of the method of the present invention, and corresponds to FIGS. 9 and 27.

【図19】本発明の方法の好適な実施例における製造ス
テップを図1のB−B’断面図として示した図であり、
図1および図10に対応している。
FIG. 19 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ cross-sectional view of FIG. 1;
This corresponds to FIG. 1 and FIG.

【図20】本発明の方法の好適な実施例における製造ス
テップをB−B’断面図として示した図であり、図2お
よび図11に対応している。
FIG. 20 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ sectional view, corresponding to FIGS. 2 and 11;

【図21】本発明の方法の好適な実施例における製造ス
テップをB−B’断面図として示した図であり、図3お
よび図12に対応している。
FIG. 21 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ cross-sectional view, corresponding to FIGS. 3 and 12;

【図22】本発明の方法の好適な実施例における製造ス
テップをB−B’断面図として示した図であり、図4お
よび図13に対応している。
FIG. 22 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ cross-sectional view, corresponding to FIGS. 4 and 13;

【図23】本発明の方法の好適な実施例における製造ス
テップをB−B’断面図として示した図であり、図5お
よび図14に対応している。
FIG. 23 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ cross-sectional view, corresponding to FIGS. 5 and 14;

【図24】本発明の方法の好適な実施例における製造ス
テップをB−B’断面図として示した図であり、図6お
よび図15に対応している。
FIG. 24 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ cross-sectional view, corresponding to FIGS. 6 and 15;

【図25】本発明の方法の好適な実施例における製造ス
テップをB−B’断面図として示した図であり、図7お
よび図16に対応している。
FIG. 25 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ cross-sectional view, corresponding to FIGS. 7 and 16;

【図26】本発明の方法の好適な実施例における製造ス
テップをB−B’断面図として示した図であり、図8お
よび図17に対応している。
FIG. 26 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ cross-sectional view, corresponding to FIGS. 8 and 17;

【図27】本発明の方法の好適な実施例における製造ス
テップをB−B’断面図として示した図であり、図9お
よび図18に対応している。
FIG. 27 is a view showing a manufacturing step in a preferred embodiment of the method of the present invention as a BB ′ cross-sectional view, corresponding to FIGS. 9 and 18;

【図28】本発明の他の好適な実施例の平面図である。FIG. 28 is a plan view of another preferred embodiment of the present invention.

【図29】図28で示す構造の簡略化した部分斜視図で
ある。
FIG. 29 is a simplified partial perspective view of the structure shown in FIG. 28.

【図30】チャネル層のドーピングとメサ幅Wとの関数
としてのしきい値電圧を示すグラフである。
FIG. 30 is a graph showing threshold voltage as a function of channel layer doping and mesa width W.

【符号の説明】[Explanation of symbols]

10 (半導体)基板 12 (n形)ソース層 14 (p形)チャネル層 16 エッチ・ストップ層 18 ドレイン層 24 第1の端部 26 第2の端部 28 パッシベーション・キャップ 30 縦形ゲート酸化物 32 トランジスタ・スタック 34 (コンフォーマル)ゲート 36 (ゲート)キャップ 38 感光層 40 内側エッジ 41,43,45 台状部 42 外側エッジ 44,46,48,50 側壁スペーサ 54 誘電材料 56,58,60,62 開口 57,59,61,63 コンタクト・スタッド 124 アクティブ端部 126 コンタクト端部 132 トランジスタ・スタック 164 フィンガ 212 ソース層 214 チャネル層 218 ドレイン層 232 多層フィンガ・トランジスタ・スタック h 全体高さ Leff 実効チャネル長 W メサ幅 D 距離Reference Signs List 10 (semiconductor) substrate 12 (n-type) source layer 14 (p-type) channel layer 16 etch stop layer 18 drain layer 24 first end 26 second end 28 passivation cap 30 vertical gate oxide 32 transistor Stack 34 (conformal) gate 36 (gate) cap 38 photosensitive layer 40 inner edge 41, 43, 45 trapezoidal portion 42 outer edge 44, 46, 48, 50 side wall spacer 54 dielectric material 56, 58, 60, 62 opening 57, 59, 61, 63 Contact stud 124 Active end 126 Contact end 132 Transistor stack 164 Finger 212 Source layer 214 Channel layer 218 Drain layer 232 Multi-layer finger transistor stack h Overall height L eff Effective channel length W Me Width D Distance

───────────────────────────────────────────────────── フロントページの続き (72)発明者 ルイス・ルー−チェン・スー アメリカ合衆国 12524 ニューヨーク 州 フィッシュキル クロスバイ コー ト 7 (72)発明者 ジャック・アラン・マンデルマン アメリカ合衆国 12582 ニューヨーク 州 ストームヴィル ジャミー レーン 5 (72)発明者 ユアン−チェン・サン アメリカ合衆国 10536 ニューヨーク 州 カトナーアンヌ シャンバーズ レ ーン 29 (72)発明者 ユアン・タウアー アメリカ合衆国 10506 ニューヨーク 州 ベッドフォード フィンチ レーン 11 (56)参考文献 特開 平6−61493(JP,A) 特開 平7−202216(JP,A) 特開 昭62−33472(JP,A) 特開 昭50−19379(JP,A) 特開 平2−188966(JP,A) 特開 平5−198817(JP,A) 実開 平2−2833(JP,U) (58)調査した分野(Int.Cl.7,DB名) H01L 29/78 H01L 21/336 H01L 21/8238 H01L 27/092 ──────────────────────────────────────────────────の Continuing the front page (72) Inventor Lewis Lou-Cheng Sue United States 12524 Fishkill Cross-By Court 7 New York, USA (72) Inventor Jack Alan Mandelman United States 12582 Stormville Jammy Lane, New York 5 ( 72) Inventor Ewan-Cheng Sun United States 10536 Kutnaanne Chambers Lane 29, New York 29 (72) Inventor Euan Tauer United States 10506 Bedford Finch Lane, New York 11 (56) References JP-A-6-61493 ( JP, A) JP-A-7-202216 (JP, A) JP-A-62-33472 (JP, A) JP-A-50-19379 (JP, A) JP-A-2-188966 (JP, A A) JP-A-5-198817 (JP, A) JP-A-2-2833 (JP, U) (58) Fields investigated (Int. Cl. 7 , DB name) H01L 29/78 H01L 21/336 H01L 21 / 8238 H01L 27/092

Claims (10)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】半導体基板を設けるステップと、 前記半導体基板の上にソース層を形成するステップと、 前記ソース層の上にチャネル層を形成するステップと、 前記チャネル層の一端部寄りの前記チャネル層の一部分
上に、エッチ・ストップ層を形成するステップと、 前記チャネル層および前記エッチ・ストップ層の上に、
ドレイン層を形成するステップと、 前記ドレイン層の上に上部誘電体層を形成するステップ
と、少なくとも前記ソース層,前記チャネル層,前記ドレイ
ン層をパターニングすることにより、前記エッチ・スト
ップ層が形成された前記一端部とは反対側の他端部に周
辺エッジを有するトランジスタ・スタックを形成するス
テップと、 前記トランジスタ・スタックの前記他端部に形成された
前記周辺エッジ の一部に沿ってゲート誘電体を形成し、
さらに前記ゲート誘電体および前記他端部側の前記上
部誘電体層上の一部にコンフォーマル導電ゲートを形成
するステップと、 前記コンフォーマル導電ゲートの上に、コンフォーマル
誘電体層を形成するステップと、 前記エッチ・ストップ層を露出するために、前記絶縁ト
ランジスタ・スタックの前記一端部における前記ドレイ
ン層の一部を除去し、前記エッチ・ストップ層によって
保護されていない前記チャネル層および前記ソース層の
一部分を除去して、前記ソース層,前記チャネル層,前
記ドレイン層のそれぞれにコンタクト台状部を形成する
ステップと、 前記コンタクト台状部の側面に沿って側壁スペーサを形
成するステップと、 前記ソース層,前記チャネル層,前記ドレイン層の前記
コンタクト台状部の各々と、前記コンフォーマル導電ゲ
ートとに接続された縦形の導電コンタクトを形成するス
テップと、 を含むことを特徴とする、縦形ゲート電界効果トランジ
スタを形成する方法。
A step of providing a semiconductor substrate; a step of forming a source layer on the semiconductor substrate; a step of forming a channel layer on the source layer; and the channel near one end of the channel layer. Part of layer
Forming an etch stop layer on top of the channel layer and the etch stop layer;
Forming a drain layer; and forming an upper dielectric layer on the drain layer.
When,At least the source layer, the channel layer, and the drain
By patterning the etching layer,
Around the other end opposite to the one end where the top layer is formed.
Switches forming a transistor stack having side edges.
Tep, Formed at the other end of the transistor stack
The peripheral edge Along the part of the gate dielectricTo form
furtherThe gate dielectricUpandOn the other end side
Part on the dielectric layerConformal conductive gateFormation
Steps to  On top of said conformal conductive gate,
Forming a dielectric layer; and exposing the insulating stop layer to expose the etch stop layer.
Of the transistor stackSaid oneThe dray at the end
A part of the etching layer, and the etching stop layer
The unprotected channel layer and the source layer
A part is removed to remove the source layer, the channel layer,
Forming a contact trapezoid on each of the drain layers
Forming sidewall spacers along the sides of the contact pedestal.
Forming the source layer, the channel layer, and the drain layer.
Each of the contact trapezoids,ConformalConductive gate
To form a vertical conductive contact connected to the
And a vertical gate field effect transistor, comprising:
How to form a star.
【請求項2】前記チャネル層を、低温エピタキシーによ
って形成することを特徴とする、請求項に記載の方
法。
The method according to claim 2, wherein the channel layer, and forming by cold epitaxy method of claim 1.
【請求項3】前記ゲート誘電体を熱成長し、前記ゲート
誘電体が成長するときのドーパント濃度による酸化速度
の変動を利用するように、前記ソース層,前記チャネル
層,前記ドレイン層のドーパント濃度を選択することを
特徴とする、請求項に記載の方法。
3. The method of claim 2, wherein the gate dielectric is thermally grown, and the dopant concentration of the source layer, the channel layer, and the drain layer is adjusted to take advantage of a change in an oxidation rate depending on a dopant concentration when the gate dielectric is grown. 2. The method according to claim 1 , wherein is selected.
【請求項4】前記半導体基板が、シリコン・オン・イン
シュレータのウエハからなることを特徴とする、請求項
に記載の方法。
4. The semiconductor substrate according to claim 1, wherein said semiconductor substrate comprises a silicon-on-insulator wafer.
2. The method according to 1 .
【請求項5】前記ドレイン層を、化学的気相成長法によ
って形成することを特徴とする、請求項に記載の方
法。
The method according to claim 5, wherein said drain layer, and forming by chemical vapor deposition method according to claim 1.
【請求項6】前記コンフォーマル導電ゲートを、ポリシ
リコンおよびタングステンからなる群から選択すること
を特徴とする、請求項に記載の方法。
Wherein said conformal conductive gate, and selecting from the group consisting of polysilicon and tungsten, The method of claim 1.
【請求項7】前記エッチ・ストップ層が酸化物からな
り、前記除去するステップが、HBrによる反応性イオ
ンエッチングからなることを特徴とする、請求項に記
載の方法。
7. made from said etch stop layer is an oxide, said step of removing is characterized by comprising the reactive ion etching with HBr, The method of claim 1.
【請求項8】前記エッチ・ストップ層が酸化物からな
り、前記除去するステップがHCl+Cl+O+N
による反応性イオンエッチングからなることを特徴とす
る、請求項に記載の方法。
8. The method according to claim 1, wherein said etch stop layer comprises an oxide and said removing step comprises HCl + Cl + O 2 + N 2.
The method of claim 1 , comprising reactive ion etching.
【請求項9】前記側壁スペーサが、誘電材料からなるこ
とを特徴とする、請求項に記載の方法。
Wherein said sidewall spacers, characterized in that it consists of a dielectric material, The method of claim 1.
【請求項10】前記側壁スペーサが、窒化物からなるこ
とを特徴とする、請求項に記載の方法。
Wherein said sidewall spacers, characterized in that a nitride The method of claim 1.
JP02750797A 1996-03-05 1997-02-12 Method of forming vertical gate field effect transistor Expired - Fee Related JP3301057B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/610,949 US5689127A (en) 1996-03-05 1996-03-05 Vertical double-gate field effect transistor
US08/610949 1996-03-05

Publications (2)

Publication Number Publication Date
JPH09246568A JPH09246568A (en) 1997-09-19
JP3301057B2 true JP3301057B2 (en) 2002-07-15

Family

ID=24447045

Family Applications (1)

Application Number Title Priority Date Filing Date
JP02750797A Expired - Fee Related JP3301057B2 (en) 1996-03-05 1997-02-12 Method of forming vertical gate field effect transistor

Country Status (3)

Country Link
US (2) US5689127A (en)
JP (1) JP3301057B2 (en)
KR (1) KR100265080B1 (en)

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5872733A (en) * 1995-06-06 1999-02-16 International Business Machines Corporation Ramp-up rate control circuit for flash memory charge pump
US5597746A (en) * 1995-08-09 1997-01-28 Micron Technology, Inc. Method of forming field effect transistors relative to a semiconductor substrate and field effect transistors produced according to the method
US6160277A (en) * 1996-10-28 2000-12-12 Micron Technology, Inc. Field effect transistor assemblies and transistor gate block stacks
US6160292A (en) * 1997-04-23 2000-12-12 International Business Machines Corporation Circuit and methods to improve the operation of SOI devices
US6133608A (en) * 1997-04-23 2000-10-17 International Business Machines Corporation SOI-body selective link method and apparatus
US6410369B1 (en) 1998-04-22 2002-06-25 International Business Machines Corporation Soi-body selective link method and apparatus
US6097056A (en) * 1998-04-28 2000-08-01 International Business Machines Corporation Field effect transistor having a floating gate
US6013936A (en) 1998-08-06 2000-01-11 International Business Machines Corporation Double silicon-on-insulator device and method therefor
KR100308072B1 (en) * 1998-08-27 2001-10-19 박종섭 Manufacturing method of semiconductor device
US6355532B1 (en) 1999-10-06 2002-03-12 Lsi Logic Corporation Subtractive oxidation method of fabricating a short-length and vertically-oriented channel, dual-gate, CMOS FET
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
DE10024876A1 (en) * 2000-05-16 2001-11-29 Infineon Technologies Ag Vertical transistor
GB2362755A (en) 2000-05-25 2001-11-28 Nanogate Ltd Thin film field effect transistor with a conical structure
DE10040458B4 (en) * 2000-08-18 2015-08-27 Infineon Technologies Ag Vertical field effect transistor and method for its production
US6372559B1 (en) * 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6455377B1 (en) 2001-01-19 2002-09-24 Chartered Semiconductor Manufacturing Ltd. Method to form very high mobility vertical channel transistor by selective deposition of SiGe or multi-quantum wells (MQWs)
US6440800B1 (en) 2001-01-26 2002-08-27 Chartered Semiconductor Manufacturing Ltd. Method to form a vertical transistor by selective epitaxial growth and delta doped silicon layers
US7176109B2 (en) * 2001-03-23 2007-02-13 Micron Technology, Inc. Method for forming raised structures by controlled selective epitaxial growth of facet using spacer
EP1253634A3 (en) * 2001-04-26 2005-08-31 Kabushiki Kaisha Toshiba Semiconductor device
US6787402B1 (en) * 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6611023B1 (en) 2001-05-01 2003-08-26 Advanced Micro Devices, Inc. Field effect transistor with self alligned double gate and method of forming same
US6642579B2 (en) * 2001-08-28 2003-11-04 International Business Machines Corporation Method of reducing the extrinsic body resistance in a silicon-on-insulator body contacted MOSFET
US6509611B1 (en) * 2001-09-21 2003-01-21 International Business Machines Corporation Method for wrapped-gate MOSFET
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
US6544824B1 (en) 2002-01-03 2003-04-08 Chartered Semiconductor Manufacturing Ltd. Method to form a vertical transistor by first forming a gate/spacer stack, then using selective epitaxy to form source, drain and channel
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
US6646307B1 (en) 2002-02-21 2003-11-11 Advanced Micro Devices, Inc. MOSFET having a double gate
US6750487B2 (en) 2002-04-11 2004-06-15 International Business Machines Corporation Dual double gate transistor
US7138685B2 (en) * 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell
US7232732B2 (en) * 2003-10-06 2007-06-19 Atmel Corporation Semiconductor device with a toroidal-like junction
US6967143B2 (en) * 2003-04-30 2005-11-22 Freescale Semiconductor, Inc. Semiconductor fabrication process with asymmetrical conductive spacers
US7192876B2 (en) * 2003-05-22 2007-03-20 Freescale Semiconductor, Inc. Transistor with independent gate structures
US6903967B2 (en) * 2003-05-22 2005-06-07 Freescale Semiconductor, Inc. Memory with charge storage locations and adjacent gate structures
US6921700B2 (en) * 2003-07-31 2005-07-26 Freescale Semiconductor, Inc. Method of forming a transistor having multiple channels
US6831310B1 (en) 2003-11-10 2004-12-14 Freescale Semiconductor, Inc. Integrated circuit having multiple memory types and method of formation
US7098502B2 (en) * 2003-11-10 2006-08-29 Freescale Semiconductor, Inc. Transistor having three electrically isolated electrodes and method of formation
EP1709680A4 (en) * 2004-01-21 2008-07-02 Atmel Corp Vertical gate cmos with lithography-independent gate length
EP1774575A2 (en) * 2004-05-17 2007-04-18 Cambrios Technology Corp. Biofabrication of transistors including field effect transistors
US7112997B1 (en) 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US7018876B2 (en) * 2004-06-18 2006-03-28 Freescale Semiconductor, Inc. Transistor with vertical dielectric structure
KR100629264B1 (en) * 2004-07-23 2006-09-29 삼성전자주식회사 Semiconductor device having a body contact through gate and method of fabricating the same
US7250347B2 (en) * 2005-01-28 2007-07-31 International Business Machines Corporation Double-gate FETs (Field Effect Transistors)
US20060197140A1 (en) * 2005-03-04 2006-09-07 Freescale Semiconductor, Inc. Vertical transistor NVM with body contact structure and method
US7335943B2 (en) * 2005-05-06 2008-02-26 Atmel Corporation Ultrascalable vertical MOS transistor with planar contacts
US7354831B2 (en) * 2005-08-08 2008-04-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
US7432122B2 (en) * 2006-01-06 2008-10-07 Freescale Semiconductor, Inc. Electronic device and a process for forming the electronic device
US20070257322A1 (en) * 2006-05-08 2007-11-08 Freescale Semiconductor, Inc. Hybrid Transistor Structure and a Method for Making the Same
US20080067600A1 (en) * 2006-09-19 2008-03-20 Louis Lu-Chen Hsu Storage Elements with Disguised Configurations and Methods of Using the Same
US7772651B2 (en) * 2008-01-11 2010-08-10 International Business Machines Corporation Semiconductor-on-insulator high-voltage device structures, methods of fabricating such device structures, and design structures for high-voltage circuits
US7790524B2 (en) * 2008-01-11 2010-09-07 International Business Machines Corporation Device and design structures for memory cells in a non-volatile random access memory and methods of fabricating such device structures
US7790543B2 (en) * 2008-01-11 2010-09-07 International Business Machines Corporation Device structures for a metal-oxide-semiconductor field effect transistor and methods of fabricating such device structures
US7786535B2 (en) * 2008-01-11 2010-08-31 International Business Machines Corporation Design structures for high-voltage integrated circuits
CN101621005B (en) * 2008-07-02 2012-08-22 中芯国际集成电路制造(上海)有限公司 TFT MONOS or SONOS memory cell structure
US8174055B2 (en) * 2010-02-17 2012-05-08 Globalfoundries Inc. Formation of FinFET gate spacer
CN102299178B (en) * 2010-06-22 2014-03-26 中国科学院微电子研究所 Semiconductor structure and preparation method thereof
US8742490B2 (en) * 2011-05-02 2014-06-03 Monolithic Power Systems, Inc. Vertical power transistor die packages and associated methods of manufacturing
FR2995720B1 (en) * 2012-09-18 2014-10-24 Commissariat Energie Atomique METHOD FOR MAKING INDEPENDENT GRID DOUBLE-FIELD FIELD EFFECT DEVICE
TWI544633B (en) 2012-12-05 2016-08-01 元太科技工業股份有限公司 Semiconductor device and manufacturing method thereof
EP3061124A4 (en) * 2013-09-26 2017-04-26 Intel Corporation Vertical non-planar semiconductor device for system-on-chip (soc) applications
GB201321285D0 (en) 2013-12-03 2014-01-15 Plastic Logic Ltd Pixel driver circuit
US9559209B2 (en) 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company Ltd. Field effect transistors and methods of forming same
EP3153463B1 (en) * 2015-10-08 2018-06-13 IMEC vzw Method for producing a pillar structure in a semiconductor layer
KR20170117261A (en) 2016-04-12 2017-10-23 삼성디스플레이 주식회사 Liquid crystal display device and method for fabricating the same
FR3060850B1 (en) * 2016-12-15 2019-05-24 Commissariat A L'energie Atomique Et Aux Energies Alternatives PROCESS FOR MANUFACTURING A VERTICAL CHANNEL NANOCOUCHES TRANSISTOR
EP3404701A1 (en) * 2017-05-15 2018-11-21 IMEC vzw A method for defining a channel region in a vertical transistor device
EP3404721A1 (en) * 2017-05-15 2018-11-21 IMEC vzw A method for forming pillars in a vertical transistor device
EP3454378A1 (en) * 2017-09-08 2019-03-13 IMEC vzw A method for forming a vertical channel device, and a vertical channel device

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4620207A (en) * 1984-12-19 1986-10-28 Eaton Corporation Edge channel FET
DE3736009C2 (en) * 1986-10-23 1998-03-19 Sony Corp Junction FET
JPS63141375A (en) * 1986-12-03 1988-06-13 Fuji Electric Co Ltd Insulated gate field effect transistor
US4859623A (en) * 1988-02-04 1989-08-22 Amoco Corporation Method of forming vertical gate thin film transistors in liquid crystal array
JP2804539B2 (en) * 1989-09-28 1998-09-30 沖電気工業株式会社 Semiconductor device and manufacturing method thereof
JPH07105497B2 (en) * 1990-01-31 1995-11-13 新技術事業団 Semiconductor device and manufacturing method thereof
JP2913785B2 (en) * 1990-07-12 1999-06-28 富士通株式会社 Method for manufacturing semiconductor device
US5140388A (en) * 1991-03-22 1992-08-18 Hewlett-Packard Company Vertical metal-oxide semiconductor devices
US5283456A (en) * 1992-06-17 1994-02-01 International Business Machines Corporation Vertical gate transistor with low temperature epitaxial channel
US5391895A (en) * 1992-09-21 1995-02-21 Kobe Steel Usa, Inc. Double diamond mesa vertical field effect transistor

Also Published As

Publication number Publication date
JPH09246568A (en) 1997-09-19
US5689127A (en) 1997-11-18
KR100265080B1 (en) 2000-09-01
US5780327A (en) 1998-07-14

Similar Documents

Publication Publication Date Title
JP3301057B2 (en) Method of forming vertical gate field effect transistor
JP3078720B2 (en) Semiconductor device and manufacturing method thereof
US5801397A (en) Device having a self-aligned gate electrode wrapped around the channel
US5166084A (en) Process for fabricating a silicon on insulator field effect transistor
KR100375752B1 (en) Cmos self-aligned strapped interconnection and method for same
TWI404145B (en) Method for fabricating strained silicon-on-insulator structures and strained silicon-on-insulator structures formed thereby
US20050020020A1 (en) Integrated semiconductor fin device and a method for manufacturing such device
JPH098289A (en) Semiconductor device and manufacture thereof
TW200945556A (en) Semiconductor device and method of manufacturing semiconductor device
JPH07202193A (en) Semiconductor device and manufacture thereof
JP2004207705A (en) Double-gate type field effect transistor and its manufacturing method
JPH1187719A (en) Soi mosfet and fabrication thereof
KR100618827B1 (en) Semiconductor device comprising FinFET and fabricating method thereof
US10559593B1 (en) Field-effect transistors with a grown silicon-germanium channel
JPH04328864A (en) Manufacture of ultra-high integrated semiconductor memory device
US6605843B1 (en) Fully depleted SOI device with tungsten damascene contacts and method of forming same
JPH05243269A (en) Self-aligned undergate thin film transistor and method for forming it
KR100331844B1 (en) Complementary metal oxide semiconductor device
JP2000012851A (en) Field-effect transistor and manufacture thereof
JPH04254335A (en) Semiconductor device and its manufacture
JP3471252B2 (en) Thin film transistor and method of manufacturing the same
US6348713B1 (en) Method for fabricating semiconductor device
JPH113993A (en) Semiconductor device and its manufacture
JPH08181327A (en) Thin film transistor and manufacture thereof
US20200044029A1 (en) Field-effect transistors with a grown silicon-germanium channel

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080426

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees