JP3234723B2 - Design method of semiconductor integrated circuit device - Google Patents

Design method of semiconductor integrated circuit device

Info

Publication number
JP3234723B2
JP3234723B2 JP21630094A JP21630094A JP3234723B2 JP 3234723 B2 JP3234723 B2 JP 3234723B2 JP 21630094 A JP21630094 A JP 21630094A JP 21630094 A JP21630094 A JP 21630094A JP 3234723 B2 JP3234723 B2 JP 3234723B2
Authority
JP
Japan
Prior art keywords
path
net
delay
constraint
sink
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP21630094A
Other languages
Japanese (ja)
Other versions
JPH0877219A (en
Inventor
睦 典 五十嵐
伏 真佐子 室
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP21630094A priority Critical patent/JP3234723B2/en
Publication of JPH0877219A publication Critical patent/JPH0877219A/en
Application granted granted Critical
Publication of JP3234723B2 publication Critical patent/JP3234723B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は高速動作を要求される半
導体集積回路装置の設計方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for designing a semiconductor integrated circuit device required to operate at high speed.

【0002】[0002]

【従来の技術および発明が解決しようとする課題】一般
に、半導体チップ上に複数の論理セルを配置し、各論理
セル間を結線することにより実現される半導体集積回路
装置において、回路動作を保証するためには、回路の全
ての信号経路、すなわちパスの信号入力端子(以下、ソ
ースともいう)から信号出力端子(以下、シンクともい
う)までの経路を伝播する信号が要求時間内で伝わるこ
とが必要である。このような半導体集積回路装置の従来
の設計方法を図10を参照して説明する。図10におい
てSTARTは処理の開始を示し、ENDは処理の終了
を示す。まず、配置前の論理セルの端子間の接続情報
(以下、ネットという)の各々に対して、仮想的な配線
長(配線容量)を付けてネットのディレイを計算し、パ
ス解析を行なう(ステップF51参照)。
2. Description of the Related Art Generally, in a semiconductor integrated circuit device realized by arranging a plurality of logic cells on a semiconductor chip and connecting the logic cells, circuit operation is guaranteed. In order to achieve this, a signal propagating along all signal paths of a circuit, that is, a path from a signal input terminal (hereinafter, also referred to as a source) to a signal output terminal (hereinafter, also referred to as a sink) of a path may be transmitted within a required time. is necessary. A conventional design method of such a semiconductor integrated circuit device will be described with reference to FIG. In FIG. 10, START indicates the start of the process, and END indicates the end of the process. First, for each connection information (hereinafter, referred to as a net) between terminals of a logic cell before placement, a virtual wiring length (wiring capacitance) is added, a delay of the net is calculated, and a path analysis is performed (step S1). F51).

【0003】このとき、配線上を信号が伝播するのに要
する時間(配線RCディレイと呼ばれ、ネットの個々の
端子間で個別に計算される)は考慮されていない。次に
ステップF51で抽出されたパスに対して、ネット制約
を生成する(ステップF52参照)。続いてステップF
52で生成された制約を考慮して概略の配置を行なう
(ステップF53参照)。そしてステップF53で作ら
れた概略の配置結果を逐次的なセル移動・交換を行なっ
て改善する(ステップF54参照)。このステップF5
4では、ステップF53と同じ制約を考慮してタイミン
グ等の改善が行われる。そして、ステップF55におい
て配線処理され、この配線結果に対して、配線RCディ
レイを考慮したディレイ計算を行なって違反パスが無い
かどうかを検証する(ステップF56参照)。このステ
ップF56で要求時間を満たさないパスが存在しなけれ
ば処理は終了する。もし、ステップF56で違反パスが
見つかれば、ステップF51に戻って、パス解析から再
実行される。
At this time, the time required for a signal to propagate on a wiring (called a wiring RC delay, which is individually calculated between individual terminals of a net) is not taken into consideration. Next, a net constraint is generated for the path extracted in step F51 (see step F52). Then step F
The general arrangement is performed in consideration of the constraint generated in step 52 (see step F53). Then, the general arrangement result created in step F53 is improved by successively moving and exchanging cells (see step F54). This step F5
In 4, the timing and the like are improved in consideration of the same restrictions as in step F53. Then, a wiring process is performed in step F55, and a delay calculation is performed on the wiring result in consideration of the wiring RC delay to verify whether there is a violation path (see step F56). If there is no path that does not satisfy the required time in step F56, the process ends. If a violating path is found in step F56, the flow returns to step F51, and the path analysis is performed again.

【0004】上述の従来のタイミングを考慮した設計手
順においては、配置する以前に統計的あるいは経験的な
配線長の予測値に基づいてネットのディレイ予測を行な
っていたため、配線RCディレイが考慮されておらず、
ディレイの予測値には大きな誤差を含んでいた。各ネッ
トのディレイに大きい誤差が含まれていると、パス解析
によって抽出されるパスに関しても、その信用性は疑わ
しい。すなわち、ステップF51におけるパス解析結果
とステップF56におけるパス解析と比較すると、ステ
ップF51では誤って違反でないパスを抽出していた
り、抽出されるべき違反パスを抽出できなかったりする
などの問題が起こる。この問題は、ステップF52のタ
イミング制約生成時にも重大な影響を及ぼし、不要な制
約の生成や必要な制約の欠如といった問題を生ずる。つ
まり、配置処理ステップF53、F54での最適化が不
十分となるため、従来の設計方法においては、配置と配
線を何度も繰り返される恐れがある。大規模データで
は、配線処理に必要な計算時間は膨大であり、全ての結
線を完了するには、CPUで数十時間から数日を要する
ため、与えられた要求時間を満足するレイアウトを完成
させるためには非常に多くの時間が必要となることは明
白である。
In the above-described conventional design procedure in consideration of timing, net delay prediction is performed based on a statistically or empirically predicted value of a wiring length before placement. Therefore, the wiring RC delay is taken into consideration. No
The predicted value of the delay contained a large error. If the delay of each net includes a large error, the reliability of the path extracted by the path analysis is doubtful. That is, when the path analysis result in step F51 is compared with the path analysis in step F56, problems such as incorrect extraction of a path that is not violated in step F51 and failure to extract a violating path to be extracted occur. This problem also has a significant effect when generating timing constraints in step F52, and causes problems such as generation of unnecessary constraints and lack of necessary constraints. That is, the optimization in the placement processing steps F53 and F54 is insufficient, so that the placement and wiring may be repeated many times in the conventional design method. For large-scale data, the calculation time required for wiring processing is enormous, and it takes tens of hours to several days for the CPU to complete all connections, so that a layout that satisfies the given required time is completed. Obviously, this requires a great deal of time.

【0005】特に、サブミクロンのデザインルール下で
のチップレイアウトにあっては、配線RCディレイがセ
ルの内部遅延に匹敵、あるいは、上回るケースさえある
ため、従来の設計方法においては、配置以前のパス解析
の信頼性は極めて低く、レイアウト全体の性能低下につ
ながるという問題がある。
In particular, in a chip layout under a submicron design rule, the wiring RC delay is comparable to or even exceeds the internal delay of a cell. There is a problem that the reliability of the analysis is extremely low, which leads to a decrease in the performance of the entire layout.

【0006】次に、従来のタイミング制約の与え方につ
いて説明する。従来のタイミング制約生成手法として
は、パスを構成するネット連鎖の全体(完全パスとい
う)に対して、パス全体として時間制約を課すやり方が
ある。この方法は例えば Wilm E.Donath et al., "Timi
ng Driven Placement Using Complete Path Delay",Pro
c. of 27th DAC 1990. に開示されており、要求を満足
する解を探索する際の解空間を最も広く取れる制約形態
であるため、解が存在する場合には、その解が得られる
可能性は最も高い。しかし、反面、大規模回路の設計に
際しては、クリティカルパス(信号の伝播時間が最も長
いパス)の数は膨大であることから、全てのクリティカ
ルパスに対して完全パスの形で制約を生成した場合に
は、制約を保持しておくために多くの記憶スペースが必
要となってしまうといった問題点がある。更に、こうし
た制約を考慮して行なうレイアウト処理についても、処
理内での制約違反の評価等に多くの時間を要することと
なるため、最終的に制約を満足する結果に至るまでに非
常に多くの時間を要する。このため、現実の大規模デー
タに適用することは不可能である。
Next, a conventional way of giving a timing constraint will be described. As a conventional timing constraint generation method, there is a method of imposing a time constraint on the entire net chain (a complete path) constituting a path as the entire path. This method is described, for example, in Wilm E. Donath et al., "Timi
ng Driven Placement Using Complete Path Delay ", Pro
c. of 27th DAC 1990. Since this is the most restrictive form of solution space when searching for a solution that satisfies the requirements, if a solution exists, the possibility of obtaining that solution Is the highest. However, on the other hand, when designing a large-scale circuit, the number of critical paths (paths with the longest signal propagation times) is enormous. Has a problem that a large amount of storage space is required to hold the constraints. Further, in the layout processing performed in consideration of such constraints, it takes a lot of time to evaluate a constraint violation in the processing, and therefore, it takes a very large amount of time until the result finally satisfies the constraints. Takes time. For this reason, it is impossible to apply it to actual large-scale data.

【0007】また、別の従来手法として、パスをネット
単位に分解して制約を作成する手法がある。(例えば、
Yasushi Ogawa et al. "Efficient Placement Algorith
ms Optimizing Delay for High-Spced ECL Masterslice
LSI's", Proc. of 23rd DAC1986.参照)。
As another conventional method, there is a method of decomposing a path into net units to create a constraint. (For example,
Yasushi Ogawa et al. "Efficient Placement Algorith
ms Optimizing Delay for High-Spced ECL Masterslice
LSI's ", Proc. Of 23rd DAC1986.).

【0008】この手法は、パスに対する要求時間を適当
な手法で(例えば均等分配などで)、パスを構成する個
々のネットに配分する手法である。この手法では、複数
のパスに登場するネットに対しても、制約の数はただ1
つだけであるので、最大でもネット数程度の制約数で済
むという利点がある。しかし、ネットへのディレイの割
り振り方によっては、必ずしも解が存在し得ない制約と
なる恐れがある。このため、どこか一箇所でも制約値を
満足できないネットがあると、このネットを通過する多
くのパスが制約違反となる。つまり、ネット制約による
タイミング最適化では、制約を分解したために要求を満
足する解の解空間が極端に狭まる可能性が高い。また、
ネット単位の制約であることから、ネットを構成する各
端子間のディレイ制約が定義できないため、配線RCデ
ィレイを考慮した最適化には適用できない。すなわち、
配線RCディレイの問題が顕著に現れる、サブミクロン
のルール下でのLSI設計に適用することはできない。
In this method, a required time for a path is distributed to individual nets constituting a path by an appropriate method (for example, by an even distribution). In this method, the number of constraints is only one for nets that appear in multiple paths.
Since there is only one, there is an advantage that the number of constraints is at most about the number of nets. However, depending on how the delay is allocated to the net, there is a possibility that the constraint may not always exist. Therefore, if any one of the nets cannot satisfy the constraint value, many paths passing through the net violate the constraint. That is, in the timing optimization based on the net constraint, the solution space of the solution satisfying the request is highly likely to be extremely narrowed because the constraint is decomposed. Also,
Since it is a constraint on a net basis, it is not possible to define a delay constraint between terminals constituting the net, so that it cannot be applied to optimization in consideration of the wiring RC delay. That is,
It cannot be applied to the LSI design under the sub-micron rule where the problem of the wiring RC delay appears remarkably.

【0009】上記ネット制約の問題点を解決することを
試みたものとして、本出願人による特願平4−3647
1号がある。これは、パスを全てのパスの分岐点で区切
り、部分的なパスを構成した上で、この部分パスに対し
て制約を生成する手法である。この手法を用いれば、パ
スの分岐点から分岐点に至るまでのネットの部分連鎖を
単位とした制約を生成するため、原理的にはネット制約
に比較して解空間は広くなり、解が得易くなるという利
点がある。しかしながら、通常の回路設計では、パスの
分岐が複雑かつ多いため、ネット制約とほぼ同等の制約
形態となり、ネット制約と同様の問題を生ずる。また、
最もディレイの大きいクリティカルパスに関しても細分
された制約となってしまうため、必ずしも満足しやすい
制約の形態とはならない。すなわち、実効は少なかっ
た。
As an attempt to solve the above-described problem of the net restriction, Japanese Patent Application No. Hei.
There is one. In this method, a path is divided by branch points of all paths, a partial path is formed, and a constraint is generated for the partial path. If this method is used, constraints are generated in units of partial chains of the net from the branch point of the path to the branch point, so in principle the solution space is wider than the net constraint, and the solution is obtained. There is an advantage that it becomes easy. However, in a normal circuit design, the path branches are complicated and many, so that the constraint form is almost the same as the net constraint, and the same problem as the net constraint occurs. Also,
Since the critical path with the longest delay is also a subdivided restriction, the restriction is not always easy to satisfy. That is, the effectiveness was small.

【0010】上述のように、従来のタイミング最適化手
法をサブミクロンのデザインルールを用いた大規模集積
回路の設計に対して用いた場合には、使用メモリやタイ
ミング制約を考慮して行なう配置に要する時間等に困難
な問題があるばかりでなく、制約を満足する解を得るこ
とが難しいなど、不十分な点があった。
As described above, when the conventional timing optimization technique is used for the design of a large-scale integrated circuit using a submicron design rule, the arrangement is performed in consideration of a memory to be used and timing constraints. Not only is there a difficult problem in the time required, etc., but also it is difficult to obtain a solution that satisfies the constraints.

【0011】本発明は上記事情を考慮してなされたもの
であって、処理時間の短縮、使用メモリの軽減を図るこ
とのできる半導体回路装置の設計方法を提供することを
目的とする。
SUMMARY OF THE INVENTION The present invention has been made in consideration of the above circumstances, and has as its object to provide a method of designing a semiconductor circuit device capable of reducing processing time and memory usage.

【0012】[0012]

【課題を解決するための手段】第1の発明の半導体集積
回路装置の設計方法によれば、半導体のチップ上に複数
の論理セルを配置し、各論理セル間を結線することによ
り所望の回路を実現する半導体集積回路装置において、
配置前のセル端子間のネットと呼ばれる接続情報に対し
て、ネットにつながる端子数から1を引いた数毎の平均
的なネットの予測配線長を全てのネットに与えて、回路
中を伝播する信号経路のパス解析を実施し、信号の伝播
時間の要求を満たさない全ての経路に対して、前記要求
を満足せしめる時間的制約を、パスのソースと呼ばれる
信号入力端子からシンクと呼ばれる信号出力端子に至る
まで、または、パスを構成する各ネットのソースからシ
ンクに至るまでに配分して与える第1のステップと設定
された制約を基に、初期的な配置状態を前記時間的制約
を考慮して生成する第2のステップと、前記初期的な配
置状態に対して、個々のセルの配置位置情報から仮想的
な配線を行い、得られた仮想配線情報に基づいて、ディ
レイの計算およびパス解析を行い、抽出されたクリティ
カルパスに対して、要求を満足せしめるタイミング制約
をパスのソースからシンクに至るまで、または、パスを
構成する各ネットのソースからシンクに至るまでに配分
して与える第3のステップと、設定された時間的制約の
下で、セルの逐次移動・交換によって配置状態を改善し
て、全てのタイミング制約を満足する配置状態となす第
4のステップと、を備えていることを特徴とする。
According to the method of designing a semiconductor integrated circuit device of the first invention, a desired circuit is arranged by arranging a plurality of logic cells on a semiconductor chip and connecting between the logic cells. In a semiconductor integrated circuit device that realizes
With respect to connection information called a net between cell terminals before arrangement, an average net predicted wire length for each number obtained by subtracting 1 from the number of terminals connected to the net is given to all nets, and propagated through the circuit. A path analysis of the signal path is performed, and for all paths that do not satisfy the requirement of the signal propagation time, a time constraint that satisfies the requirement is changed from a signal input terminal called a source of the path to a signal output terminal called a sink. , Or based on the first step and the set constraints that are allocated and distributed from the source to the sink of each net constituting the path, and the initial placement state is determined in consideration of the time constraints. And performing virtual wiring from the arrangement position information of each cell with respect to the initial arrangement state, and calculating and calculating delay based on the obtained virtual interconnection information. For the extracted critical path, a timing constraint that satisfies the requirements is distributed and given from the path source to the sink or from the source to the sink of each net constituting the path. A third step, and a fourth step of improving a placement state by successively moving / exchanging cells under a set time constraint so that the placement state satisfies all timing constraints. It is characterized by being.

【0013】また、第2の発明による半導体集積回路装
置の設計方法によれば、半導体のチップ上に複数の論理
セルに配置し、各論理セル間を結線することにより所望
の回路を実現する半導体集積回路装置において、前記チ
ップ上に搭載すべき全てのセルまたはその一部を適当な
手段でチップ上に配置する第1のステップと、前記配置
結果に基づいて仮想的な配線を行い、得られた仮想配線
情報を使って、ディレイの計算およびパス解析を行い、
抽出されたクリティカルパスに対して、信号の伝播時間
の要求を満足せしめる制約をパスのソースからシンクに
至るまで、または、パスを構成する各ネットのソースか
らシンクに至るまでに配分して与える第2のステップ
と、設定された制約に基づいて、初期的な配置状態を前
記制約を考慮して生成する第3のステップと、前記初期
的な配置状態に対して、個々のセルの配置位置情報から
仮想的な配線を行い、得られた仮想配線情報を使って、
ディレイの計算およびパス解析を行い、抽出されたクリ
ティカルパスに対して、要求を満足せしめる制約をパス
のソースからシンクに至るまで、または、パスを構成す
る各ネットのソースからシンクに至るまでに配分して与
える第4のステップと、設定された制約の下で、セルの
逐次移動・交換によって配置状態を改善して、全てのタ
イミング制約を満足する配置状態となす第5のステップ
と、を備えていることを特徴とする。
Further, according to the method of designing a semiconductor integrated circuit device according to the second aspect of the present invention, a semiconductor which arranges a plurality of logic cells on a semiconductor chip and connects each logic cell to realize a desired circuit. In the integrated circuit device, a first step of arranging all cells to be mounted on the chip or a part thereof on the chip by appropriate means, and performing virtual wiring based on the arrangement result, are obtained. Perform delay calculation and path analysis using virtual routing information
For the extracted critical path, a constraint that satisfies the requirement of the signal propagation time is given from the source of the path to the sink or distributed from the source to the sink of each net constituting the path. Step 2, a third step of generating an initial arrangement state based on the set constraints in consideration of the restrictions, and arrangement position information of individual cells with respect to the initial arrangement state. From the virtual wiring, using the obtained virtual wiring information,
Performs delay calculation and path analysis, and distributes constraints that satisfy requirements to the extracted critical path from the source to the sink of the path or from the source to the sink of each net constituting the path And a fifth step of improving the arrangement state by successively moving and exchanging cells under the set constraints to achieve an arrangement state satisfying all timing constraints. It is characterized by having.

【0014】また、第3の発明による半導体集積回路装
置の設計方法によれば、半導体のチップ上に複数の論理
セルを配置し、各論理セル間を結線することにより所望
の回路を実現する半導体集積回路装置において、パス解
析によって得られたクリティカルパス集合に対して、信
号の伝播時間の要求を満足せしめる制約を生成するに際
して、信号伝播上で最も多くの時間を必要とすると予測
されるパス、もしくは、それに準ずるパス、または、デ
ィレイの削減対象として着目しているパスに対して、こ
のパスのソースとシンクに対する制約を完全パスの形で
与え、以下、信号伝播時間の大きいパスから順に、もし
くは、同一のソースとシンクを持つパス、または、着目
しているパスに関連するパスを適当な順番で取り出し、
上位に取り出したパスとの経路上の共通部分を除いたパ
スの部分的な経路に対して、要求を満たすためのタイミ
ング制約を生成する、ことを特徴とする。
According to the third aspect of the present invention, there is provided a semiconductor integrated circuit device designing method, wherein a plurality of logic cells are arranged on a semiconductor chip and a desired circuit is realized by connecting the logic cells. In the integrated circuit device, for generating a constraint that satisfies the signal propagation time requirement for the critical path set obtained by the path analysis, a path that is expected to require the most time in signal propagation, Alternatively, for a path corresponding to the path or a path of interest for which delay is to be reduced, restrictions on the source and sink of the path are given in the form of a complete path. , Take a path with the same source and sink, or a path related to the path of interest, in an appropriate order,
A timing constraint for satisfying a request is generated for a partial path of a path excluding a common part on a path with a path taken out to a higher order.

【0015】また、第4の発明による半導体集積回路装
置の設計方法によれば、半導体のチップ上に複数の論理
セルを配置し、各論理セル間を結線することにより所望
の回路を実現する半導体集積回路装置において、パス解
析によって得られたクリティカルパス集合に対して、信
号の伝播時間の要求を満足せしめる制約を生成するに際
して、各ネットに対して要求時間を配分する場合に、配
置結果に対して仮想的な配線を行ない、この仮想配線経
路情報を基に算出したネットのディレイ、または、配置
改善処理によって改善して達成し得るネットのディレイ
を使って、パス全体のディレイに対する前記ネットの予
測ディレイの比率に応じて、要求時間を各ネットに対し
て割り振る、ことを特徴とする。
According to a fourth aspect of the present invention, there is provided a semiconductor integrated circuit device designing method, comprising arranging a plurality of logic cells on a semiconductor chip and connecting each logic cell to realize a desired circuit. When generating a constraint that satisfies the signal propagation time requirement for a critical path set obtained by path analysis in an integrated circuit device, when allocating the required time to each net, Using the net delay calculated based on the virtual wiring route information or the net delay that can be improved and achieved by the placement improvement process, predicting the net with respect to the delay of the entire path Request time is allocated to each net according to the delay ratio.

【0016】また、第5の発明による半導体集積回路装
置の設計方法によれば、半導体のチップ上に複数の論理
セルを配置し、各論理セル間を結線することにより所望
の回路を実現する半導体集積回路装置において、パス解
析によって得られたクリティカルパスに対して、信号の
伝播時間の要求を満足せしめる制約を生成するに際し
て、パス解析によって抽出されたクリティカルパス集合
を、回路中の各セルの入出力端子をノードとし、入力端
子から出力端子へつながるセル内の信号伝播経路および
ネットをそれぞれエッジとし、各パスのソースを結ぶ始
点ノードと各パスのシンクを結ぶ終点ノードを生成し
て、クリティカルパスが形成する部分回路をグラフ表現
し、各エッジの分岐点ノードからパスの終点ノードに至
るまでのディレイを算出して計算値を個々のエッジに重
みとして持たせ、上記エッジに付けられた重みの大きい
順に全てのエッジを並べ変え、各エッジの順序となし、
最もディレイの長いパス(最長パスと称す)に対して
は、最長パスを構成するエッジ集合に対して、始点から
終点に至るまでのディレイが要求時間以下とする時間制
約を生成し、最長ディレイのパス以外のパスについて
は、パスを構成するエッジについて、前記エッジの順序
が配置処理の前後において保持される様に、各エッジ以
降終点ノードに至るまでのディレイが、上位の順序のエ
ッジのディレイ以下となるように制約を課する、ことを
特徴とする。
Further, according to the method of designing a semiconductor integrated circuit device according to the fifth aspect of the present invention, a plurality of logic cells are arranged on a semiconductor chip and a desired circuit is realized by connecting the logic cells. In the integrated circuit device, when a constraint that satisfies the signal propagation time requirement is generated for the critical path obtained by the path analysis, a set of critical paths extracted by the path analysis is input to each cell in the circuit. The output terminal is a node, the signal propagation path in the cell connected from the input terminal to the output terminal and the net are each an edge, and a start node connecting the source of each path and an end node connecting the sink of each path are generated, and a critical path is generated. Graphically represents the partial circuit formed by, and calculates the delay from the branch point node of each edge to the end node of the path. To to have a calculated value as a weight to each edge, rearrange all edges in descending order of weight attached to the edge, each edge of order and without,
For the path with the longest delay (referred to as the longest path), a time constraint that the delay from the start point to the end point is equal to or less than the required time is generated for the edge set constituting the longest path. For the paths other than the path, the delay from each edge to the end node is equal to or less than the delay of the edge in the higher order so that the order of the edges is maintained before and after the arrangement processing for the edges constituting the path. The constraint is imposed so that

【0017】[0017]

【作用】上述のように構成された第1の発明の設計方法
によれば、配置処理のなかで実行される仮想的な配線情
報を使って高精度なディレイ予測が可能であり、パス解
析やタイミング制約値の精度が飛躍的に高まるので、必
要最小限のパスに対して極めて妥当な制約値が付加さ
れ、配置処理では従来よりも少ない制約数で効率的にタ
イミングの最適化を行うことができるようになる。さら
に、配置改善における制約形態を、達成が難しいパスデ
ィレイが最も長いパス経路に関して、パスのソースから
シンクに至る完全パス形式として解空間を広く採り、解
の探索が容易になされるように工夫されている。これに
よって、配置改善の質的向上や処理時間の短縮、使用メ
モリの軽減が達成できる。
According to the design method of the first invention configured as described above, highly accurate delay prediction can be performed using virtual wiring information executed in the placement processing. Since the accuracy of timing constraint values is dramatically increased, extremely reasonable constraint values are added to the minimum necessary paths, and timing can be optimized more efficiently in the placement process with fewer constraints than in the past. become able to. Furthermore, the restriction form in the placement improvement is devised so that the path path with the longest path delay, which is difficult to achieve, takes a wide solution space as a complete path form from the path source to the sink, making it easy to search for a solution. ing. As a result, it is possible to achieve a qualitative improvement in arrangement improvement, a reduction in processing time, and a reduction in memory used.

【0018】また上述のように構成された第2の発明の
設計方法によれば、タイミングを考慮して初期的な配置
状態を作り出す前に、一時的な配置状態を作成し、この
一時的な配置結果に対して、仮想的な配線を実行し、高
精度なディレイ予測を行うことで、パス解析および制約
生成の精度を高めている。これにより初期的配置状態の
タイミング上の質を向上させることができ、第4のステ
ップおよび第5のステップの処理時間を第1の発明に比
べて更に短縮することができる。
According to the design method of the second aspect of the present invention, a temporary arrangement state is created before an initial arrangement state is created in consideration of timing, and the temporary arrangement state is created. By performing virtual wiring on the placement result and performing highly accurate delay prediction, the accuracy of path analysis and constraint generation is improved. As a result, the timing quality of the initial arrangement state can be improved, and the processing time of the fourth and fifth steps can be further reduced as compared with the first invention.

【0019】また上述のように構成された第3乃至第5
の発明の設計方法によれば、完全パス形式の親パス制約
から分岐する子パスの分岐点以降パスの終点に至るまで
のディレイよりも上記分岐点以降の子パスのディレイが
小さくなるような大小制約を課しているので、全てのパ
スに関してタイミング要求を満足させ得ることが可能と
なり、処理時間の短縮、使用メモリの軽減を達成するこ
とができる。
Further, the third to fifth components configured as described above are used.
According to the design method of the invention, the delay of the child path after the branch point is smaller than the delay from the branch point of the child path branching to the end point of the path from the parent path constraint in the complete path format. Since restrictions are imposed, it is possible to satisfy timing requirements for all paths, and it is possible to achieve a reduction in processing time and a reduction in memory used.

【0020】[0020]

【実施例】本発明による半導体集積回路装置の設計方法
の第1の実施例を図1乃至図7を参照して説明する。図
1は第1の実施例の設計手順を示すフローチャートであ
る。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A first embodiment of a method for designing a semiconductor integrated circuit device according to the present invention will be described with reference to FIGS. FIG. 1 is a flowchart showing the design procedure of the first embodiment.

【0021】ステップF1は配置実行前のクリティカル
パス解析処理であり、ステップF2はステップF1のパ
ス解析によって得られたクリティカルパスに対してタイ
ミング制約を生成する処理であって、ステップF3は初
期的な配置状態を作り出す初期配置処理である。ステッ
プF4は、ステップF3によって作られた初期配置結果
に対するクリティカルパス解析処理であり、ステップF
5は上記初期的な配置結果に対するタイミング制約生成
処理である。ステップF6は初期的な配置結果を改善す
るための配置改善処理である。ステップF7は配置改善
後のパス解析結果の検証で、ステップF7で要求時間に
対する違反パスが検出されなければ、設計段階は配線処
理F8へと移行させる。一方、違反パスが検出された場
合には、ステップF4に戻って、再びパス解析、制約の
追加(ステップF5参照)を行なって、配置改善処理
(ステップF6参照)を実行する。
Step F1 is a critical path analysis process before the placement is executed, step F2 is a process of generating a timing constraint on the critical path obtained by the path analysis of step F1, and step F3 is an initial process. This is an initial arrangement process for creating an arrangement state. Step F4 is a critical path analysis process for the initial placement result created in step F3.
Reference numeral 5 denotes a timing constraint generation process for the initial placement result. Step F6 is a placement improvement process for improving the initial placement result. Step F7 is a verification of the path analysis result after the placement improvement. If no violation path with respect to the required time is detected in step F7, the design stage shifts to wiring processing F8. On the other hand, if a violating path is detected, the process returns to step F4, performs path analysis and adds a constraint again (see step F5), and executes a placement improvement process (see step F6).

【0022】次に、個々のステップの処理内容を詳細に
説明する。まず、ステップF1では、回路全体の接続情
報が記述されたファイル(ネットリストという)に基づ
いてパス解析を行い、違反パスの抽出を行なう。この際
に、使用するライブラリ(デザインルール、母体規模)
から統計的、或いは経験的に得られるファンアウト毎の
配線容量の予測値を各ネットに対して与え、配線容積負
荷を考慮したネットのディレイを用いる。
Next, the processing contents of each step will be described in detail. First, in step F1, a path analysis is performed based on a file (called a netlist) in which connection information of the entire circuit is described, and a violation path is extracted. At this time, the library to be used (design rules, maternal scale)
For each net, a predicted value of the wiring capacity for each fan-out obtained statistically or empirically is given to each net, and a net delay in consideration of the wiring volume load is used.

【0023】次に、ステップF2では、抽出された全て
のパスが制約を満たす、ネット単位のタイミング制約を
生成する。ここで、従来のネット制約と本発明のネット
単位の制約との違いを明確化しておく。
Next, in step F2, a timing constraint is generated for each net, in which all the extracted paths satisfy the constraint. Here, the difference between the conventional net constraint and the constraint in the unit of net of the present invention will be clarified.

【0024】図2は、従来のネット制約と本発明のネッ
ト単位の制約との違いを示してある。従来のネット制約
は、ネットの配線によるディレイを配線容量のみを考え
て扱ってきた。この場合、ネットの入力端子Sから各フ
ァンアウト端子OA、OBへ信号が伝わるのに要する時
間を区別して扱うことはなく、全て同じ時間でSからO
A,OBへ信号が伝わると仮定してきた。これは、各ネ
ットに配線長としての制約を課するものと全く同等であ
る。しかし、配線抵抗を考慮してディレイの算出をした
場合には、ディレイS→OAとS→OBは同じではな
い。従って、前述したように配線抵抗に起因するディレ
イが重要となるサブミクロン世代のLSI設計に従来の
ネット制約を適用したのでは大きな誤差を生ずる。そこ
で、本発明では同一ネット内であっても端子の組毎に制
約を区別して扱う。つまり、同じネット内の制約であっ
ても、S→OAとS→OBは別のものとして取り扱う。
従来のネット制約と本発明のネット単位の制約を区別す
るために、以下では本発明におけるネット単位の制約を
ネット内端子間制約と呼ぶことにする。
FIG. 2 shows the difference between the conventional net constraint and the net-based constraint of the present invention. The conventional net constraint has dealt with the delay caused by the wiring of the net considering only the wiring capacitance. In this case, the time required for a signal to be transmitted from the input terminal S of the net to each of the fan-out terminals OA and OB is not treated separately.
It has been assumed that a signal is transmitted to A and OB. This is exactly the same as imposing a restriction on the wiring length for each net. However, when the delay is calculated in consideration of the wiring resistance, the delays S → OA and S → OB are not the same. Therefore, if a conventional net constraint is applied to a submicron generation LSI design in which delay due to wiring resistance is important as described above, a large error occurs. Therefore, in the present invention, restrictions are distinguished and handled for each set of terminals even in the same net. In other words, S → OA and S → OB are treated as different even if they are in the same net.
In order to distinguish the conventional net constraint from the net-based constraint according to the present invention, the net-based constraint according to the present invention will be hereinafter referred to as an intra-net terminal-to-terminal constraint.

【0025】ステップF2におけるセル配置前のネット
内端子間制約の生成は、パスを構成する各ネットの信号
入力となるセルの駆動力(ゲート抵抗)、ファンアウト
数、配置において達成できるディレイの削減量等を考慮
して決定する。まず、前述のパス解析時に計算したネッ
トのディレイを数十パーセント程度(例えば20%)削
減した値を目標ネットディレイとし、この目標ネットデ
ィレイを、パスを構成するネットについて足し合わせた
ものを目標パスディレイとする。ネットに対する要求時
間の配分率は、 目標ネットディレイ/目標パスディレイ で与え、ネット内端子間制約は、 (目標ネットディレイ/目標パスディレイ)・要求時間 と決定する。これで、1つのパスを取りだしたときに、
そのパスの要求時間を満足せしめるネット内端子間制約
を生成することができた。次に、全てのパスが制約を満
たすようなネット内端子間制約を生成する。これは、全
てのパスについてネット内端子間制約を求め、それぞれ
のネット内の端子間経路について、最小のネット内端子
制約を上記ネットの内の端子間経路の時間制約として採
用すればよい。
The generation of the intra-net terminal constraint before the cell placement in step F2 is achieved by reducing the delay that can be achieved in the driving force (gate resistance), the number of fan-outs, and the placement of the cells as the signal input of each net constituting the path. Determined in consideration of the amount and the like. First, a value obtained by reducing the delay of the net calculated at the time of the above-mentioned path analysis by about several tens of percent (for example, 20%) is set as a target net delay, and the target net delay is added to the nets constituting the path to obtain a target path. Delay. The distribution ratio of the required time to the net is given by target net delay / target path delay, and the constraint between terminals in the net is determined as (target net delay / target path delay) · required time. Now, when you take one pass,
The constraint between the terminals in the net satisfying the required time of the path can be generated. Next, an intra-net terminal constraint is generated such that all paths satisfy the constraint. In this case, the intra-net terminal constraints are obtained for all paths, and the minimum intra-net terminal constraint for each inter-terminal route in each net may be adopted as the time constraint of the inter-terminal route in the net.

【0026】図3は、ネット内端子間制約を作成する過
程を示したものである。いま、図3(a)で示すよう
に、要求時間5を超える2つのパス、PATH1とPA
TH2が抽出されたとする。そうすると、PATH1、
PATH2のそれぞれに対して作成したネット内端子間
制約は、図3(b)に示すように計算され、PATH1
とPATH2の共通部分AB間のネット内端子間制約と
しては図3(c)に示すように最小値1を採用する。経
路AB間に関しては、ここまででネット内端子間制約が
確定し、その他の部分に関しては、要求時間5から確定
したAB間のネット内端子間制約を差し引いた値4をB
C,CD,BE,EF間に再配分する。図3(d)は、
全ての経路に対してネット内端子間制約を作成した様子
を示している。
FIG. 3 shows a process of creating a constraint between terminals in a net. Now, as shown in FIG. 3A, two paths, PATH1 and PA, exceeding the required time 5
It is assumed that TH2 is extracted. Then, PATH1,
The constraint between the terminals in the net created for each of PATH2 is calculated as shown in FIG.
As shown in FIG. 3 (c), a minimum value of 1 is adopted as a restriction between terminals in the net between the common parts AB of the PATH2 and PATH2. For the route AB, the intra-net terminal constraint has been determined so far, and for the other parts, the value 4 obtained by subtracting the determined intra-net terminal terminal constraint between the AB from the required time 5 is B.
Redistribute among C, CD, BE and EF. FIG. 3 (d)
A state is shown in which restrictions between terminals within a net are created for all routes.

【0027】上述のように作成されたタイミング制約を
使って、ステップF3では初期配置処理を実行する。初
期配置処理としては、min−cut手法や配線長最小
化手法等を利用すれば良い。また、概略配置を行なう際
には、タイミング制約を長さの単位(ネットの半周囲長
等)に変換して扱っても良い。初期配置処理後にはタイ
ミング制約違反が存在していても構わずに処理は次段へ
と移行する。
Using the timing constraints created as described above, an initial placement process is executed in step F3. As the initial arrangement processing, a min-cut method, a wiring length minimizing method, or the like may be used. Further, when performing the rough placement, the timing constraint may be handled after being converted into a unit of length (such as a half circumference of the net). After the initial placement process, the process proceeds to the next stage regardless of the timing constraint violation.

【0028】ステップF4では、得られた初期配置結果
を基に、再びパス解析を行なう。この時、各ネットのデ
ィレイは、セルおよび端子の配置位置について、仮想的
な配線を実行し、この仮想配線経路に従って、高精度な
ディレイ計算を行ったものを用いる。ただし、ステップ
F4における仮想配線は、配置処理終了後の配線と同じ
である必要はなく、異なるネットの配線が重なり(ショ
ート)を生じていたり、チップ上で配線敷設可能本数を
超えて結線されていたりしても許される。ここでは、一
時的な配線処理であって、極めて高速に実行される。
In step F4, a path analysis is performed again based on the obtained initial arrangement result. At this time, the delay of each net is obtained by executing virtual wiring for the arrangement positions of cells and terminals and performing highly accurate delay calculation according to the virtual wiring path. However, the virtual wiring in step F4 does not need to be the same as the wiring after the completion of the placement processing. Wiring of different nets may overlap (short) or may exceed the number of wirings that can be laid on the chip. Is allowed. Here, it is a temporary wiring process, and is executed at extremely high speed.

【0029】図4は、仮想的な配線経路作成手順を説明
したものである。まず、近接する端子をグループ化して
幾つかの端子集合(クラスタとよぶ)を作る。クラスタ
リングの核になるセル(シードと呼ぶ)は、たとえば、
ネット矩形の中心から、遠いセルを幾つか選択する。シ
ードとグループ化させるセルは、シードの近傍に位置し
ているセルを選択する。近傍にあるかどうかの判定は、
シードからの距離を用いる。本発明では、グループ化の
基準としてネットの最小矩形の1/4と等価な面積の円
を用いた。図4(a)でC1,C2,C3,C4は、上
述の手順で作成されたクラスタである。次に、各クラス
タに関して、仮想配線を行なう。仮想配線は、クラスタ
内の端子間にSingle Trunk Steiner Tree を張ることで
作成する。図4(b)のW1,W2,W3,W4は、そ
れぞれ、クラスタC1,C2,C3,C4に関するクラ
スタ内配線経路である。次に、図4(c)に示すよう
に、各クラスタ間にSingle Trunk Steiner Tree を張っ
てネットの配線予測経路を完成させる。このとき、クラ
スタの接続点は、クラスタ内の配線経路上で、ネットの
矩形の中心Gに最も中心に近い点を選択することで、冗
長な配線経路を生ずることはなく配線経路が作成でき
る。上記の構成で分かるように、本実施例で用いている
仮想的な配線経路の作成手順にあっては、まず近接する
端子間を結線して部分的な配線予測経路を作り、次に、
各部分的な配線経路間を接続する配線経路を作成するの
で、冗長な配線経路は少なく、通常の最短経路探索を行
なって配線する手法に近い結果を実現することができ
る。このため、本実施例の配線予測経路を用いてネット
のRCディレイを計算すれば、高い精度のディレイの予
測値が得られる。
FIG. 4 explains a procedure for creating a virtual wiring route. First, adjacent terminals are grouped to form some terminal sets (called clusters). The cells that are the core of clustering (called seeds)
Select some cells far from the center of the net rectangle. As the cells to be grouped with the seed, a cell located near the seed is selected. The determination of whether it is in the vicinity is
Use the distance from the seed. In the present invention, a circle having an area equivalent to 1/4 of the minimum rectangle of a net is used as a criterion for grouping. In FIG. 4A, C1, C2, C3, and C4 are clusters created by the above procedure. Next, virtual wiring is performed for each cluster. Virtual wiring is created by extending a Single Trunk Steiner Tree between terminals in a cluster. W1, W2, W3, and W4 in FIG. 4B are intra-cluster wiring paths for the clusters C1, C2, C3, and C4, respectively. Next, as shown in FIG. 4 (c), a Single Trunk Steiner Tree is extended between the clusters to complete the net wiring prediction path. At this time, by selecting a point closest to the center G of the rectangular shape of the net as a connection point of the cluster on the wiring route in the cluster, a wiring route can be created without generating a redundant wiring route. As can be seen from the above configuration, in the procedure for creating a virtual wiring path used in the present embodiment, first, a connection is made between adjacent terminals to form a partial wiring predicted path, and then,
Since the wiring paths connecting the respective partial wiring paths are created, the number of redundant wiring paths is small, and a result similar to the usual shortest path search and wiring method can be realized. For this reason, if the RC delay of the net is calculated using the wiring prediction route of the present embodiment, a highly accurate predicted value of the delay can be obtained.

【0030】図5は、本実施例で用いた配線経路予測手
法(MTST法と呼ぶ)と従来の配線長予測手法(Half
Perimeter法:HP)および配線経路の予測手法(Sing
le Trunk Steiner Tree 法:STST法)を用いたとき
の、パスディレイに関する予測精度を比較したグラフで
ある。配置結果に対してディレイ予測・パス解析を行
い、それを詳細配線後の結果と比較した。横軸はパスの
系統を示していて、それぞれが異なるソースとシンクを
持つ系統のパスである。実験では、各系統のパスの中か
ら最長ディレイのパスを抽出した。縦軸は、詳細配線後
のそれぞれの系統の最長パスを真として、これに対する
誤差の絶対値を示している。この実験によると、従来の
予測手法にあっては、セル配置時点でのパスディレイ予
測誤差は最大で35%もあったが、本実施例で用いた手
法では、最大で6%と非常に小さく高精度であることが
分かる。
FIG. 5 shows a wiring route prediction method (called the MTST method) used in the present embodiment and a conventional wiring length prediction method (Half method).
Perimeter method: HP) and wiring route prediction method (Sing
5 is a graph comparing the prediction accuracy of the path delay when using the Le Trunk Steiner Tree method (STST method). We performed delay prediction and path analysis on the placement results, and compared them with the results after detailed routing. The horizontal axis shows the path system, which is a system path having different sources and sinks. In the experiment, the path with the longest delay was extracted from the paths of each system. The vertical axis indicates the absolute value of the error with respect to the longest path of each system after the detailed wiring, which is assumed to be true. According to this experiment, in the conventional prediction method, the path delay prediction error at the time of cell arrangement was 35% at the maximum, but in the method used in the present embodiment, it was extremely small at 6% at the maximum. It can be seen that the accuracy is high.

【0031】次にステップF5では、上記抽出されたパ
スに対してタイミング制約を生成する処理である。図6
は、本実施例の制約生成過程を説明するものである。図
6(a)はパス解析の対象となるネットワークを図示し
たもので、白抜きの丸が端子(ノード)に相当し、ノー
ド間を結ぶ直線が配線、または、1個のセル内の信号経
路を示す。図6(b)は、図6(a)のネットワークに
対してパス解析を行って、抽出されたパスの様子を示し
たものである。図6(b)では、PATH1、PATH
2、PATH3の3本の違反パスが抽出された様子を示
す。違反パスを構成する全てのネットに対して、全ての
違反パスが要求時間を満足するようなネット内端子間制
約を算出する。本発明では、パス解析によって抽出され
たパスをパスディレイの大きいものから順に取り出し
て、上位抽出パスとの経路上の共通部分を除いた部分パ
スに対して制約を生成する。例えば、パスディレイが、 PATH1 > PATH2 > PATH3 の関係にあるとする。このとき、最初に抽出されるのは
PATH1で、PATH1に関しては自身よりもディレ
イの大きいパス(上位パス)がないので、PATH1が
そのまま制約の単位となる。そして、PATH1のソー
スからシンクに至る経路全体に対して要求時間を制約値
にもつタイミング制約を生成する。図6(b)における
制約1が、PATH1に対するタイミング制約である。
PATH2に関しては、上位パスとしてPATH1が存
在するから、PATH1との共通部分を除いたその他の
部分に関して制約を生成する。すなわち、PATH2に
対する制約は、図6(c)の制約2である。このとき制
約の値としては、PATH1との共通部分を除いた各ネ
ット内端子間制約の総和とする。さらに、PATH3に
関しては、上位パスとしてPATH1とPATH2が存
在するから、これら上位パスとの共通部分を除いた部分
パスに対して制約を生成する。すなわち、制約3がPA
TH3のタイミング制約である。
Next, step F5 is a process for generating a timing constraint on the extracted path. FIG.
9 illustrates the constraint generation process of this embodiment. FIG. 6A shows a network to be subjected to path analysis, in which a white circle corresponds to a terminal (node), and a straight line connecting the nodes is a wiring or a signal path in one cell. Is shown. FIG. 6B shows a state of a path extracted by performing a path analysis on the network of FIG. 6A. In FIG. 6B, PATH1, PATH
2 shows a state where three violation paths of PATH3 are extracted. For all the nets that constitute the violation path, a constraint between the terminals in the net is calculated such that all the violation paths satisfy the required time. According to the present invention, paths extracted by path analysis are sequentially extracted in descending order of path delay, and a constraint is generated for a partial path excluding a common part on a path with a higher-order extracted path. For example, it is assumed that the path delays have a relationship of PATH1>PATH2> PATH3. At this time, PATH1 is firstly extracted, and since there is no path (upper path) with a delay larger than itself, PATH1 is the unit of the restriction as it is. Then, a timing constraint having a required time as a constraint value is generated for the entire path from the source to the sink of PATH1. 6B is a timing constraint on PATH1.
As for PATH2, PATH1 exists as an upper path, so that a constraint is generated for other parts except for the common part with PATH1. That is, the restriction on PATH2 is restriction 2 in FIG. At this time, the value of the constraint is the sum of the constraints between the terminals in each net excluding the common part with PATH1. Further, for PATH3, since PATH1 and PATH2 exist as upper paths, a constraint is generated for a partial path excluding a common part with these upper paths. That is, if constraint 3 is PA
This is the timing constraint of TH3.

【0032】ただし、このときのネット内端子間制約
は、ステップF2の未配置のときの算出方法とは異な
り、新たに配線RCディレイを加味する。たとえば、算
出したネットのディレイに対して、配線容量の予測値と
配線RCディレイをそれぞれ数十パーセント程度(例え
ば20%)削減した値を目標ネットディレイと置き、こ
の目標ネットディレイをパスを構成するネットについて
たし合わせたものを目標パスディレイとする。パス全体
のディレイを再計算して目標パスディレイとする。ネッ
トに対する要求時間の配分率は、 目標ネットディレイ/目標パスディレイ で与え、ネット内端子間制約は、 (目標ネットディレイ/目標パスディレイ)・要求時間 と決定する。これで、1つのパスを取りだしたときに、
そのパスの要求時間を満足せしめるネット内端子間制約
を生成する事ができた。次に、全てのパスが制約を満た
すようなネット内端子間制約を生成する。これは、全て
のパスについてネット内端子間制約を求め、それぞれの
ネット内の端子間経路について、最小のネット内端子間
制約を該ネットの内の端子間経路の時間制約として採用
すればよい。上述の様にしてネット毎に決定されたネッ
ト内端子間制約を用いて、図6で説明したパスまたは部
分パスに対する制約生成が実行される。
However, the restriction between the terminals in the net at this time is different from the calculation method when no arrangement is made in step F2, and a wiring RC delay is newly added. For example, with respect to the calculated net delay, a value obtained by reducing the predicted value of the wiring capacity and the wiring RC delay by about several tens percent (for example, 20%) is set as a target net delay, and the target net delay constitutes a path. The sum of the nets is defined as a target path delay. The delay of the entire path is recalculated to be the target path delay. The distribution ratio of the required time to the net is given by target net delay / target path delay, and the constraint between terminals in the net is determined as (target net delay / target path delay) · required time. Now, when you take one pass,
The constraint between the terminals in the net that satisfies the required time of the path can be generated. Next, an intra-net terminal constraint is generated such that all paths satisfy the constraint. In this case, the intra-net terminal constraint is obtained for all paths, and the minimum intra-net terminal constraint for each inter-terminal route in each net may be adopted as the time constraint of the inter-terminal route in the net. The constraint generation for the path or the partial path described with reference to FIG. 6 is executed by using the intra-net terminal constraint determined for each net as described above.

【0033】図7は、配置改善実施後に、配置改善結果
を基に再度パス解析を行い、制約を再構成する場合の制
約の形を示したものである。この時、既存の制約は削除
せず、新たに抽出されたパス経路に対して、パス制約ま
たは部分パス制約を追加する。図7では、新たに抽出さ
れたパスとしてPATH4が示されている。PATH4
は既に抽出済みのパスPATH1,PATH2,PAT
H3と共通部分を持つので、PATH4に対するタイミ
ング制約はこの共通部分を除いた部分パスに対して生成
される。すなわち、PATH4に対して生成されるタイ
ミング制約は、制約4である。
FIG. 7 shows the form of a constraint when the path is analyzed again based on the result of the placement improvement after the placement improvement and the constraint is reconstructed. At this time, the existing constraint is not deleted, and a path constraint or a partial path constraint is added to the newly extracted path route. In FIG. 7, PATH4 is shown as a newly extracted path. PATH4
Are already extracted paths PATH1, PATH2, and PAT.
Since it has a common part with H3, a timing constraint on PATH4 is generated for a partial path excluding this common part. That is, the timing constraint generated for PATH4 is constraint 4.

【0034】次に本発明による半導体集積回路装置の設
計方法の第2の実施例を図8を参照して説明する。図8
は第2の実施例の設計手順を示すフローチャートであ
る。図8において、ステップF21は一時的な配置状態
を作成するための配置処理であり、ステップF22はこ
の配置結果に対するクリティカルパス解析処理であり、
ステップF23はステップF22のパス解析によって得
られたクリティカルパスに対してタイミング制約を生成
する処理であり、ステップF24は初期的な配置状態を
作り出す初期配置処理であり、ステップF25は初期配
置状態に対するクリティカルパス解析処理であり、ステ
ップF26は初期的な配置状態に対するタイミング制約
生成処理であり、ステップF27は初期的な配置状態を
改善するための配置改善処理である。ステップF28は
配置改善後のパス解析結果の検証で、ステップF29で
要求時間に対する違反パスが検出されなければ、設計段
階は配線処理(ステップF30)へと処理を移行させ
る。一方、違反パスが検出された場合には、ステップF
25に戻って、再びパス解析(ステップF25参照)、
制約の追加(ステップF26参照)を行って、配置改善
処理(ステップF27参照)を実行する。本実施例で
は、タイミングを考慮して初期的な配置状態を作り出す
前に、一時的な配置状態を作成し、この一時的な配置結
果に対して、仮想的な配線を実行し、高精度なディレイ
予測を行なうことで、パス解析(ステップF22参照)
および制約生成(ステップF23参照)の精度を高めて
いる。
Next, a second embodiment of the method for designing a semiconductor integrated circuit device according to the present invention will be described with reference to FIG. FIG.
9 is a flowchart showing a design procedure of the second embodiment. In FIG. 8, step F21 is a placement process for creating a temporary placement state, and step F22 is a critical path analysis process for this placement result.
Step F23 is processing for generating a timing constraint on the critical path obtained by the path analysis in step F22, step F24 is initial placement processing for creating an initial placement state, and step F25 is critical processing for the initial placement state. In the path analysis processing, step F26 is a timing constraint generation processing for the initial arrangement state, and step F27 is an arrangement improvement processing for improving the initial arrangement state. Step F28 is a verification of the path analysis result after the placement improvement. If no violation path for the required time is detected in step F29, the process proceeds to the wiring process (step F30) in the design stage. On the other hand, if a violating path is detected, step F
25, the path is analyzed again (see step F25),
A constraint is added (see step F26), and a placement improvement process (see step F27) is executed. In the present embodiment, a temporary placement state is created before an initial placement state is created in consideration of timing, and virtual wiring is performed on the temporary placement result, thereby achieving high-precision placement. Path analysis is performed by performing delay prediction (see step F22).
And the accuracy of constraint generation (see step F23).

【0035】これによって、初期的配置状態のタイミン
グ上の質を向上させることができ、次段のステップF2
5からステップF29までの処理の繰り返し回数を減ら
すことができる。すなわち、タイミングを考慮したレイ
アウト全体の設計期間をさらに短縮することが可能とな
る。その他、フローを構成する各処理の詳細に関して
は、第1の実施例の設計手順の内容に準ずるものであ
る。
As a result, the timing quality of the initial arrangement state can be improved.
The number of repetitions of the processing from Step 5 to Step F29 can be reduced. That is, it is possible to further reduce the design period of the entire layout in consideration of the timing. In addition, the details of each process constituting the flow conform to the contents of the design procedure of the first embodiment.

【0036】この第2の実施例の設計方法、従来のネッ
トウェイト法、および従来のネットディレイ制約法の各
々を用いて、ゲートアレイを実際に設計し、製作した場
合の、レジスタ間ワーストパスディレイを表1に示す。
The worst-path delay between registers when a gate array is actually designed and manufactured using each of the design method of the second embodiment, the conventional net weight method, and the conventional net delay constraint method. Are shown in Table 1.

【0037】[0037]

【表1】 この実験結果から、本実施例では、従来のネット毎の配
線容量制約手法や、ネット毎に重みを付加するネットウ
ェイト手法と比較して、格段にパスディレイを少なくす
ることが可能であることが分かる。
[Table 1] From this experimental result, it can be seen that in the present embodiment, the path delay can be significantly reduced in comparison with the conventional wiring capacity constraint method for each net and the net weight method for adding a weight for each net. I understand.

【0038】以上説明したように第1もしくは第2の実
施例の設計方法によれば、初期的な配置状態を生成する
際、または、初期的な配置状態を改善してなる最終的な
配置状態を作成する際に、配置結果に対して仮想的な配
線処理を実行しているので、仮想配線情報を使った高精
度なディレイ予測が可能であり、パス解析の精度および
制約値の妥当性が飛躍的に高まるので、配置結果の高品
質化が期待できる。また、必要最小限のパスに対して制
約が付加されることが明かであり、配置改善での制約と
しては、信号伝播時間が最も長いパスには、パスのソー
スからシンクに至る完全パス形式で、その他のパスに
は、パスの経路上共通部分のない部分制約とすることで
解の探索が容易になるような工夫がなされている。この
ため、従来よりも少ない制約数で、要求を満足する配置
結果を高速に得ることができ、使用メモリの軽減も同時
に達成できる。
As described above, according to the design method of the first or second embodiment, when the initial arrangement state is generated, or when the initial arrangement state is improved, the final arrangement state is improved. Since the virtual routing process is performed on the placement results when creating a layout result, highly accurate delay prediction using virtual routing information is possible, and the accuracy of path analysis and the validity of constraint values are Since it is dramatically increased, higher quality of the arrangement result can be expected. In addition, it is clear that constraints are added to the minimum necessary paths. As a restriction in improving the layout, the path with the longest signal propagation time is a complete path from the path source to the sink. The other paths are devised so as to facilitate the search for a solution by using a partial constraint having no common part on the path of the path. For this reason, an arrangement result that satisfies the request can be obtained at a high speed with a smaller number of constraints than in the past, and the reduction of the memory used can be achieved at the same time.

【0039】次に、第1の実施例のステップF4(図1
参照)、および第2の実施例のステップF25(図8参
照)における制約の生成処理の他の具体例を図9を参照
して説明する。
Next, step F4 of the first embodiment (FIG. 1)
Another specific example of the constraint generation processing in step F25 (see FIG. 8) of the second embodiment will be described with reference to FIG.

【0040】まず、パス解析(ステップF4またはステ
ップF25参照)によって抽出されたパスを構成してい
る端子やネットを全体の回路中から抽出し、図9(a)
に示す様に部分回路を構成する。図9(a)で白抜きの
丸は端子を示し、直線は端子間を結ぶ配線またはセル内
の信号伝播経路である。さらに図9(a)をグラフ表現
した図が図9(b)であ。る図9(b)では、端子をノ
ードとし、セル内の信号伝播経路またはネットをエッジ
として表現されている。また、グラフの信号入口側端点
には、全てのパスに共通な始点ノードをつくり、各パス
のソースとの間にエッジを張る。同様に、グラフの信号
出口側端点には、全てのパスに共通な終点ノードもつく
り、各パスのシンクとの間にエッジを張る。このグラフ
に対して、各ノードから終点ノードに至るまでの全ての
経路についてディレイの最大値を求める。これは、上記
ノードを始点とする最長パスを抽出することと同じなの
で、ステップF1またはF22のクリティカルパスを算
出するのと同じ方法で実現可能である。図9(b)にお
けるTi,j は、PATHiのノードjから終点ノードに
至るまでのディレイの最大値を示している。次に、上記
抽出されたノードから分岐しているエッジを、このエッ
ジを信号が伝播するに要するディレイと、上記エッジの
行き先のノードから終点までの最大ディレイとの和が大
きい順に並べ変える。任意のパスから見て、このパスの
次にディレイの大きいパスは、このパスの親パスと呼
び、親パスから見たこのパスは子パスと呼ばれる。上述
の並べ変えによって、パスの親子関係がグラフ表現さ
れ、親パスから分岐している全てのパスを、ディレイの
大きいパスから順に並べ変えることができる。
First, terminals and nets constituting a path extracted by the path analysis (see step F4 or step F25) are extracted from the entire circuit, and FIG.
The partial circuit is configured as shown in FIG. In FIG. 9A, white circles indicate terminals, and straight lines indicate wirings connecting the terminals or signal propagation paths in the cell. FIG. 9B is a diagram showing FIG. 9A as a graph. In FIG. 9B, the terminal is represented as a node, and the signal propagation path or net in the cell is represented as an edge. In addition, a start node common to all paths is created at the signal entry side end point of the graph, and an edge is set between the source node of each path. Similarly, an end point node common to all paths is also created at the signal exit side end point of the graph, and an edge is set between the end point node and the sink of each path. For this graph, the maximum value of the delay is determined for all the paths from each node to the end node. Since this is the same as extracting the longest path starting from the node, it can be realized by the same method as calculating the critical path in step F1 or F22. T i, j in FIG. 9B indicates the maximum value of the delay from the node j of PATHi to the end node. Next, the edges branching from the extracted node are rearranged in descending order of the sum of the delay required for a signal to propagate through the edge and the maximum delay from the destination node to the end point of the edge. When viewed from an arbitrary path, a path having the next largest delay after this path is called a parent path of this path, and this path viewed from the parent path is called a child path. By the above-described rearrangement, the parent-child relationship of the paths is represented in a graph, and all the paths branching from the parent path can be rearranged in order from the path with the largest delay.

【0041】次に、最も長いパスに対して、完全パスの
形でパスの始点から終点に至るまで要求時間以下となる
ようなタイミング制約を課し、それ以外のパスには、各
エッジに付けられた順序関係が保持される様に、分岐点
以降のエッジのディレイの総和が、親パスの分岐点以降
のエッジのディレイの総和以下となるような大小関係を
規定した制約を生成する。例えば、パスディレイが、 PATH1 > PATH2 > PATH3 の関係にあるとする。図9(c)では、最長ディレイの
パスPATH1に対しては、要求時間をそのまま時間制
約として生成する。PATH1の次にディレイの大きい
PATH2に対しては、PATH1の分岐点ノードに対
して、大小制約、 T2,2 ≦ T1,2 を生成する。これは、PATH2のノード2から終点ノ
ードに至るまでの最大ディレイがPATH1のノード2
から終点ノードに至るまでの最大ディレイより小さくな
ければならないことを意味している。同様にして、PA
TH3に対してはPATH3の上位パスからの分岐点以
降の最大ディレイに関して、 T3,3 ≦ T2,3 という大小制約を生成する。なお、上記のように作成さ
れたエッジの大小制約は、図9(d)が示すような、制
約グラフで表現しておくと、配置処理内で効率的に参照
可能である。
Next, a timing constraint is imposed on the longest path such that the required time is less than the required time from the start point to the end point of the path in the form of a complete path, and other paths are attached to each edge. A constraint that defines a magnitude relation such that the sum of the delays of the edges after the branch point is equal to or less than the sum of the delays of the edges after the branch point of the parent path is generated so that the obtained order relation is maintained. For example, it is assumed that the path delays have a relationship of PATH1>PATH2> PATH3. In FIG. 9C, the required time is directly generated as a time constraint for the path PATH1 having the longest delay. For PATH2 having the second largest delay after PATH1, a magnitude constraint, T 2,2 ≦ T 1,2, is generated for the branch point node of PATH 1 . This is because the maximum delay from the node 2 of PATH2 to the destination node is the node 2 of PATH1.
This means that it must be less than the maximum delay from to the destination node. Similarly, PA
For TH3, a magnitude constraint of T 3,3 ≦ T 2,3 is generated for the maximum delay after the branch point from the upper path of PATH3. It should be noted that the size constraints of the edges created as described above can be efficiently referred to in the arrangement processing if they are represented by a constraint graph as shown in FIG. 9D.

【0042】最終的に、最長パスのディレイが要求を満
足していて、かつ、最長パスの全ての子パスがディレイ
の大小制約を満足していれば、全てのパスは要求時間以
下であることが保証されるので、回路動作は保証されて
いる。
Finally, if the delay of the longest path satisfies the requirement and all the child paths of the longest path satisfy the delay constraint, all paths must be shorter than the required time. Is guaranteed, so that the circuit operation is guaranteed.

【0043】以上説明したように上述の具体例によれ
ば、完全パス形式の親パス制約から分岐する子パスに関
して、必ず親パスの分岐点移行パスの終点に至るまでの
ディレイよりもこの分岐点以降の子パスのディレイが小
さくなるように大小制約を規定しているので、全てのパ
スに関してタイミング上の要求を満足させることがで
き、回路動作を保証することが可能である。
As described above, according to the above-described specific example, regarding the child path branched from the parent path constraint of the complete path format, the branch point of the parent path must always be greater than the delay of reaching the end point of the branch point transition path. Since the size constraint is defined so that the delay of the subsequent child paths is reduced, timing requirements can be satisfied for all paths, and circuit operation can be guaranteed.

【0044】[0044]

【発明の効果】以上説明したように本発明によれば、配
置処理におけるクリティカルパス解析、タイミング制約
生成等でのディレイ予測精度を高かめることが可能にな
るとともに、配置処理におけるタイミング最適化を容易
にすることが可能となり、処理時間の短縮、使用メモリ
の軽減を図ることができる。
As described above, according to the present invention, it is possible to increase the accuracy of delay prediction in critical path analysis and timing constraint generation in placement processing, and to easily optimize timing in placement processing. It is possible to reduce the processing time and the memory used.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明による設計方法の第1の実施例の処理手
順を示すフローチャート。
FIG. 1 is a flowchart showing a processing procedure of a first embodiment of a design method according to the present invention.

【図2】本発明にかかるネット内端子制約と従来のネッ
ト制約を説明する模式図。
FIG. 2 is a schematic diagram illustrating terminal restrictions in a net according to the present invention and conventional net restrictions.

【図3】本発明にかかるネット内端子間制約を作成する
過程を説明する模式図。
FIG. 3 is a schematic diagram illustrating a process of creating a constraint between terminals in a net according to the present invention.

【図4】本発明にかかる仮想配線処理を説明する模式
図。
FIG. 4 is a schematic diagram illustrating virtual wiring processing according to the present invention.

【図5】本発明にかかる仮想配線処理に基づいてディレ
イ予測を行った場合のパスディレイ予測精度を示すグラ
フ。
FIG. 5 is a graph showing path delay prediction accuracy when delay prediction is performed based on virtual wiring processing according to the present invention.

【図6】本発明にかかる制約生成処理の第1の具体例を
説明する模式図。
FIG. 6 is a schematic diagram illustrating a first specific example of a constraint generation process according to the present invention.

【図7】本発明にかかる制約生成処理の第2の具体例を
説明する模式図。
FIG. 7 is a schematic diagram illustrating a second specific example of the constraint generation processing according to the present invention.

【図8】本発明による設計方法の第2の実施例の処理手
順を示すフローチャート。
FIG. 8 is a flowchart showing a processing procedure of a second embodiment of the design method according to the present invention.

【図9】本発明にかかる制約生成処理の第3の具体例を
説明する模式図。
FIG. 9 is a schematic diagram illustrating a third specific example of the constraint generation processing according to the present invention.

【図10】従来の設計方法の処理手順を説明するフロー
チャート。
FIG. 10 is a flowchart illustrating a processing procedure of a conventional design method.

───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平5−343522(JP,A) 特開 平6−232263(JP,A) 特開 平6−76020(JP,A) 特開 平5−151303(JP,A) 特開 平5−120378(JP,A) (58)調査した分野(Int.Cl.7,DB名) G06F 17/50 H01L 21/82 ────────────────────────────────────────────────── ─── Continuation of the front page (56) References JP-A-5-343522 (JP, A) JP-A-6-232263 (JP, A) JP-A-6-76020 (JP, A) 151303 (JP, A) JP-A-5-120378 (JP, A) (58) Fields investigated (Int. Cl. 7 , DB name) G06F 17/50 H01L 21/82

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】半導体のチップ上に複数の論理セルを配置
し、各論理セル間を結線することにより所望の回路を実
現する半導体集積回路装置において、 配置前のセル端子間のネットと呼ばれる接続情報に対し
て、ネットのファンアウト数と呼ばれるネットにつなが
る端子数から1を引いた数毎の平均的なネットの予測配
線長を全てのネットに与えて、回路中を伝播する信号経
路のパス解析を実施し、信号の伝播時間の要求を満たさ
ない全ての経路に対して、前記要求を満足せしめる時間
的制約を、パスのソースと呼ばれる信号入力端子からシ
ンクと呼ばれる信号出力端子に至るまで、または、パス
を構成する各ネットのソースからシンクに至るまでに配
分して与える第1のステップと設定された制約を基に、
初期的な配置状態を前記時間的制約を考慮して生成する
第2のステップと、 前記初期的な配置状態に対して、個々のセルの配置位置
情報から仮想的な配線を行い、得られた仮想配線情報に
基づいて、ディレイの計算およびパス解析を行い、抽出
されたクリティカルパスに対して、要求を満足せしめる
タイミング制約をパスのソースからシンクに至るまで、
または、パスを構成する各ネットのソースからシンクに
至るまでに配分して与える第3のステップと、 設定された時間的制約の下で、セルの逐次移動・交換に
よって配置状態を改善して、全てのタイミング制約を満
足する配置状態となす第4のステップと、 を備えていることを特徴とする半導体集積回路装置の設
計方法。
In a semiconductor integrated circuit device in which a plurality of logic cells are arranged on a semiconductor chip and a desired circuit is realized by connecting the logic cells, a connection called a net between cell terminals before arrangement is provided. For information, the average wiring length of each net obtained by subtracting 1 from the number of terminals connected to the net, called the fanout number of the net, is given to all nets, and the path of the signal path propagating through the circuit is given. The analysis is performed, and for all paths that do not satisfy the requirement of the signal propagation time, the time constraint that satisfies the requirement is set from the signal input terminal called the source of the path to the signal output terminal called the sink of the path. Alternatively, based on the first step to be distributed and provided from the source to the sink of each net constituting the path and the set constraints,
A second step of generating an initial arrangement state in consideration of the time constraint; and performing virtual wiring from the arrangement position information of each cell with respect to the initial arrangement state. Based on virtual routing information, delay calculation and path analysis are performed, and for the extracted critical path, timing constraints that satisfy the requirements from the path source to the sink are
Alternatively, the third step of allocating and providing from the source to the sink of each net constituting the path, and, under the set time constraint, improving the arrangement state by successively moving and replacing cells, A fourth step of setting an arrangement state that satisfies all timing constraints. A method for designing a semiconductor integrated circuit device, comprising:
【請求項2】半導体のチップ上に複数の論理セルに配置
し、各論理セル間を結線することにより所望の回路を実
現する半導体集積回路装置において、 前記チップ上に搭載すべき全てのセルまたはその一部を
適当な手段でチップ上に配置する第1のステップと、 前記配置結果に基づいて仮想的な配線を行い、得られた
仮想配線情報を使って、ディレイの計算およびパス解析
を行い、抽出されたクリティカルパスに対して、信号の
伝播時間の要求を満足せしめる制約をパスのソースから
シンクに至るまで、または、パスを構成する各ネットの
ソースからシンクに至るまでに配分して与える第2のス
テップと、 設定された制約に基づいて、初期的な配置状態を前記制
約を考慮して生成する第3のステップと、 前記初期的な配置状態に対して、個々のセルの配置位置
情報から仮想的な配線を行い、得られた仮想配線情報を
使って、ディレイの計算およびパス解析を行い、抽出さ
れたクリティカルパスに対して、要求を満足せしめる制
約をパスのソースからシンクに至るまで、または、パス
を構成する各ネットのソースからシンクに至るまでに配
分して与える第4のステップと、 設定された制約の下で、セルの逐次移動・交換によって
配置状態を改善して、全てのタイミング制約を満足する
配置状態となす第5のステップと、 を備えていることを特徴とする半導体集積回路装置の設
計方法。
2. A semiconductor integrated circuit device in which a plurality of logic cells are arranged on a semiconductor chip and a desired circuit is realized by connecting the logic cells to each other. A first step of arranging a part thereof on a chip by appropriate means, performing virtual wiring based on the placement result, and performing delay calculation and path analysis using the obtained virtual wiring information. For the extracted critical path, a constraint that satisfies the requirement of the signal propagation time is given from the source of the path to the sink or distributed from the source to the sink of each net constituting the path. A second step, a third step of generating, based on the set constraints, an initial arrangement state in consideration of the constraints, Virtual routing is performed based on the cell placement position information, delay calculation and path analysis are performed using the obtained virtual routing information, and constraints that satisfy the requirements for the extracted critical paths are defined for the paths. A fourth step of providing a distribution from the source to the sink or from the source to the sink of each net constituting the path, and a placement state by successively moving and exchanging cells under set constraints. And a fifth step of improving the above condition so that the arrangement state satisfies all timing constraints. The method for designing a semiconductor integrated circuit device, comprising:
【請求項3】半導体のチップ上に複数の論理セルを配置
し、各論理セル間を結線することにより所望の回路を実
現する半導体集積回路装置において、 パス解析によって得られたクリティカルパス集合に対し
て、信号の伝播時間の要求を満足せしめる制約を生成す
るに際して、 各ネットに対して要求時間を配分する場合に、配置結果
に対して仮想的な配線を行ない、 この仮想配線経路情報を基に算出したネットのディレ
イ、または、配置改善処理によって改善して達成し得る
ネットのディレイを使って、 パス全体のディレイに対する前記ネットの予測ディレイ
の比率に応じて、要求時間を各ネットに対して割り振
る、 ことを特徴とする半導体集積回路装置の設計手法。
3. A semiconductor integrated circuit device in which a plurality of logic cells are arranged on a semiconductor chip and a desired circuit is realized by connecting the logic cells to each other. When generating a constraint that satisfies the requirement for signal propagation time, when allocating the required time to each net, virtual routing is performed on the placement result, and based on this virtual routing information. Using the calculated net delay or the net delay that can be improved and achieved by the placement improvement processing, a required time is allocated to each net according to the ratio of the predicted delay of the net to the delay of the entire path. A method of designing a semiconductor integrated circuit device.
【請求項4】半導体のチップ上に複数の論理セルを配置
し、各論理セル間を結線することにより所望の回路を実
現する半導体集積回路装置において、 パス解析によって得られたクリティカルパスに対して、
信号の伝播時間の要求を満足せしめる制約を生成するに
際して、 パス解析によって抽出されたクリティカルパス集合を、
回路中の各セルの入出力端子をノードとし、入力端子か
ら出力端子へつながるセル内の信号伝播経路およびネッ
トをそれぞれエッジとし、各パスのソースを結ぶ始点ノ
ードと各パスのシンクを結ぶ終点ノードを生成して、ク
リティカルパスが形成する部分回路をグラフ表現し、 各エッジの分岐点ノードからパスの終点ノードに至るま
でのディレイを算出して計算値を個々のエッジに重みと
して持たせ、 上記エッジに付けられた重みの大きい順に全てのエッジ
を並べ変え、各エッジの順序となし、 最もディレイの長いパス(最長パスと称す)に対して
は、最長パスを構成するエッジ集合に対して、始点から
終点に至るまでのディレイが要求時間以下とする時間制
約を生成し、 最長ディレイのパス以外のパスについては、パスを構成
するエッジについて、前記エッジの順序が配置処理の前
後において保持される様に、各エッジ以降終点ノードに
至るまでのディレイが、上位の順序のエッジのディレイ
以下となるように制約を課する、 ことを特徴とする半導体集積回路装置の設計手法。
4. A semiconductor integrated circuit device in which a plurality of logic cells are arranged on a semiconductor chip and a desired circuit is realized by connecting the logic cells to each other. ,
When generating constraints that satisfy the requirements for signal propagation time, the critical path set extracted by path analysis is
The input / output terminal of each cell in the circuit is a node, the signal propagation path and the net in the cell from the input terminal to the output terminal are each an edge, and the start node that connects the source of each path and the end node that connects the sink of each path Is generated, a partial circuit formed by the critical path is represented in a graph, a delay from the branch point node of each edge to the end node of the path is calculated, and the calculated value is assigned to each edge as a weight, All the edges are rearranged in the order of the weight given to the edge, and the order of each edge is determined. For the path with the longest delay (called the longest path), for the edge set constituting the longest path, Generates a time constraint that the delay from the start point to the end point is equal to or less than the required time. For paths other than the longest delay path, the edges that make up the path The constraint is imposed so that the delay from each edge to the end node is less than or equal to the delay of the edge in the higher order so that the order of the edges is maintained before and after the placement processing. Design method for semiconductor integrated circuit devices.
JP21630094A 1994-09-09 1994-09-09 Design method of semiconductor integrated circuit device Expired - Fee Related JP3234723B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP21630094A JP3234723B2 (en) 1994-09-09 1994-09-09 Design method of semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP21630094A JP3234723B2 (en) 1994-09-09 1994-09-09 Design method of semiconductor integrated circuit device

Publications (2)

Publication Number Publication Date
JPH0877219A JPH0877219A (en) 1996-03-22
JP3234723B2 true JP3234723B2 (en) 2001-12-04

Family

ID=16686373

Family Applications (1)

Application Number Title Priority Date Filing Date
JP21630094A Expired - Fee Related JP3234723B2 (en) 1994-09-09 1994-09-09 Design method of semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP3234723B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112115667A (en) * 2020-08-05 2020-12-22 深圳市紫光同创电子有限公司 FPGA layout method, device, electronic equipment and computer readable medium

Also Published As

Publication number Publication date
JPH0877219A (en) 1996-03-22

Similar Documents

Publication Publication Date Title
US5666289A (en) Flexible design system
US6543043B1 (en) Inter-region constraint-based router for use in electronic design automation
US7676780B2 (en) Techniques for super fast buffer insertion
KR100413861B1 (en) Method and apparatus to distribute spare cells within a standard cell region of an integrated circuit
JP3737104B2 (en) Timing driven method of placing user circuitry in a programmable integrated circuit device
Burstein et al. Timing influenced layout design
US6080201A (en) Integrated placement and synthesis for timing closure of microprocessors
Das et al. Design tools for 3-D integrated circuits
US7484199B2 (en) Buffer insertion to reduce wirelength in VLSI circuits
US6415430B1 (en) Method and apparatus for SAT solver architecture with very low synthesis and layout overhead
US7890905B2 (en) Slew constrained minimum cost buffering
US6066178A (en) Automated design method and system for synthesizing digital multipliers
US20020144227A1 (en) Datapath design methodology and routing apparatus
JPH10163330A (en) Apparatus and method for optimizing delay in taking layout in consideration
US6480996B1 (en) System and method for transposing wires in a circuit design
Chang et al. Layout driven logic synthesis for FPGAs
Chang et al. Postlayout logic restructuring using alternative wires
Shih et al. High variation-tolerant obstacle-avoiding clock mesh synthesis with symmetrical driving trees
US6006023A (en) Method of optimizing a logic circuit
JP2001338006A (en) Method for supporting logic automatic design and device for the same
JP3234723B2 (en) Design method of semiconductor integrated circuit device
US6000038A (en) Parallel processing of Integrated circuit pin arrival times
Cho et al. A buffer distribution algorithm for high-performance clock net optimization
US6516453B1 (en) Method for timing analysis during automatic scheduling of operations in the high-level synthesis of digital systems
Xiang et al. Logical and physical restructuring of fan-in trees

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070921

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080921

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080921

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090921

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090921

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100921

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110921

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees