JP3219619B2 - X-ray mask, manufacturing method of the mask, and device manufacturing method using the mask - Google Patents

X-ray mask, manufacturing method of the mask, and device manufacturing method using the mask

Info

Publication number
JP3219619B2
JP3219619B2 JP31054694A JP31054694A JP3219619B2 JP 3219619 B2 JP3219619 B2 JP 3219619B2 JP 31054694 A JP31054694 A JP 31054694A JP 31054694 A JP31054694 A JP 31054694A JP 3219619 B2 JP3219619 B2 JP 3219619B2
Authority
JP
Japan
Prior art keywords
mask
ray
film
alloy
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP31054694A
Other languages
Japanese (ja)
Other versions
JPH08167555A (en
Inventor
日出夫 加藤
正夫 菅田
広 前原
啓子 千葉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP31054694A priority Critical patent/JP3219619B2/en
Priority to US08/570,686 priority patent/US5733688A/en
Priority to KR1019950049108A priority patent/KR100211012B1/en
Publication of JPH08167555A publication Critical patent/JPH08167555A/en
Application granted granted Critical
Publication of JP3219619B2 publication Critical patent/JP3219619B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明はX線リソグラフィに好適
なマスクやこれを用いたデバイス製造方法に関する。
The present invention relates to a mask suitable for X-ray lithography and a device manufacturing method using the same.

【0002】[0002]

【従来の技術】リソグラフィ技術を用いて被加工材表面
を部分的に変質せしめることにより各種製品を製造する
ことは工業上、特に電子工業の分野において広く利用さ
れており、この方法によれば、同一パターンを有する製
品を大量に製造することができる。被加工材の表面変質
は各種エネルギ線の照射により行われ、この際のパター
ン形成のため、部分的に遮断材を配置してなるマスクが
用いられる。このようなマスクとしては、照射エネルギ
が可視光や紫外光の場合には、ガラス又は石英等の透明
基板上に銀やクロム等の黒色の不透明パターンを設けた
ものが一般的である。
2. Description of the Related Art The manufacture of various products by partially altering the surface of a workpiece using lithography technology is widely used in industry, particularly in the field of the electronics industry. A large number of products having the same pattern can be manufactured. The surface deterioration of the workpiece is performed by irradiation of various energy rays, and a mask in which a blocking material is partially disposed is used for pattern formation at this time. When the irradiation energy is visible light or ultraviolet light, a mask provided with a black opaque pattern such as silver or chrome on a transparent substrate such as glass or quartz is generally used as such a mask.

【0003】しかし近年、より微細なパターン形成が求
められ、さらにより短時間でのリソグラフィ加工が求め
られるにつれて、照射エネルギ線として電子線やイオン
線等の粒子線、あるいはX線が注目されるようになって
きた。
However, in recent years, as finer pattern formation is required and lithography processing is required in a shorter time, particle beams such as electron beams and ion beams, or X-rays, have attracted attention as irradiation energy rays. It has become

【0004】これらのエネルギ線は、可視光や紫外光の
ためのマスク基板部材として用いられてきたガラスや石
英板ではエネルギ線を通過せしめることができず、マス
クの基板材料としては適切ではない。そこで、特にX線
を用いるリソグラフィにおいては、各種の無機薄膜、例
えばシリコン、窒化シリコン、炭化シリコン等のセラミ
ックス薄膜、あるいはポリイミド、ポリアミド、ポリエ
ステル等の有機高分子薄膜、更にはこれらの積層薄膜を
エネルギ線透過体として用い、これらの膜面上に金、白
金、タングステン等の金属をエネルギ線吸収体としてパ
ターン状に形成してマスクを構成している。このマスク
は通常、自己保存性が無いため保持体に保持する必要が
あり、保持体としては一般に環状の保持基板が用いられ
る。すなわちエネルギ線吸収性のマスク材のパターンを
片面に形成したエネルギ線透過性の保持薄膜の周辺部
を、環状保持基板の一端面に接着せしめることによりX
線用マスク構造体が構成されている。
[0004] These energy rays cannot be passed through glass or quartz plates that have been used as mask substrate members for visible light or ultraviolet light, and are not suitable as mask substrate materials. In particular, in lithography using X-rays, various inorganic thin films, for example, ceramic thin films such as silicon, silicon nitride, and silicon carbide, or organic polymer thin films such as polyimide, polyamide, and polyester, and a laminated thin film of these materials are used. A mask is formed by forming a metal such as gold, platinum, or tungsten on the surface of the film as an energy ray absorber in a pattern. Since this mask usually has no self-preserving property, it needs to be held on a holder, and an annular holding substrate is generally used as the holder. That is, the peripheral portion of the energy ray transmitting holding thin film having the energy ray absorbing mask material pattern formed on one side is bonded to one end face of the annular holding substrate.
A line mask structure is configured.

【0005】ところで、上記のごときX線用マスク構造
体を使用してリソグラフィを行うには、従来はX線源と
してパラジウム、ロジウム等の金属ターゲットに電子線
を作用させて発生せしめるいわゆる管球X線源が主流と
考えられてきたが、近年シンクロトロンリングから発生
する放射光を利用するSORリソグラフィが注目されて
いる。
In order to perform lithography using an X-ray mask structure as described above, a so-called tube X which is conventionally generated by applying an electron beam to a metal target such as palladium or rhodium as an X-ray source. Although a radiation source has been considered to be the mainstream, SOR lithography utilizing radiation emitted from a synchrotron ring has recently attracted attention.

【0006】[0006]

【発明が解決しようとしている課題】ところがSORリ
ソグラフィを採用しようとすると、従来考えられなかっ
た問題が浮かび上がってきた。すなわちX線の波長が4
Åから10Åに変わったことや、照射強度が二桁以上高
まりそれに伴って発生する熱の上昇などであり、これら
の問題に対応するために種々の対策が必要となってき
た。例えば、エネルギ線透過体としてポリイミド等の有
機膜から、熱伝導性に優れ熱膨張係数の小さいSi,S
iN,SiC等の無機膜へ、また膜厚は8μmから2μ
m程度に、またシンクロトロン照射光には平行性がある
ので転写の線幅が0.8μmから0.2μm以下への期
待が寄せられている。一方、X線吸収体に関しても同様
に対応を求められてきており、それは低熱膨張、低応力
の材料、そしてプロセス安定性などである。
However, when employing SOR lithography, a problem which has not been considered before has emerged. That is, if the wavelength of the X-ray is 4
The change from {} to 10}, the increase in irradiation intensity by more than two orders of magnitude, and the increase in heat generated as a result thereof have required various countermeasures to cope with these problems. For example, from an organic film such as polyimide as an energy beam transmitting body, Si, S having excellent thermal conductivity and a small thermal expansion coefficient is used.
For inorganic films such as iN, SiC, etc., and the film thickness is from 8 μm to 2 μm.
m, and since the synchrotron irradiation light has parallelism, the transfer line width is expected to be from 0.8 μm to 0.2 μm or less. On the other hand, X-ray absorbers have also been required to respond, such as low thermal expansion, low stress materials, and process stability.

【0007】本発明は上記の条件満たすべく、X線吸収
体材料として高いX線吸収性を備え、しかも低熱膨張率
のドライエッチング加工に優れた材料を選定することに
より、経時およびプロセス安定性に優れたX線リソグラ
フィ用マスクを提供することを目的とするものである。
さらにはこのX線マスクの製造方法や、該マスクを用い
た微小デバイスの生産方法などを提供することを目的と
する。
According to the present invention, in order to satisfy the above conditions, by selecting a material having high X-ray absorptivity as an X-ray absorber material and having a low coefficient of thermal expansion and excellent in dry etching, the aging and process stability can be improved. An object of the present invention is to provide an excellent mask for X-ray lithography.
It is another object of the present invention to provide a method for manufacturing the X-ray mask, a method for producing a micro device using the mask, and the like.

【0008】[0008]

【課題を解決するための手段】我々はかかる目的に向け
て、SiおよびX線透過体として好適なSiN,Si
C,AlN,C等のセラミックスにできるだけ熱膨張係
数が近い値が得られ、しかも低応力のさらに表面状態の
良好なX線吸収体パターン材料を見いだすための検討を
行なったところ、WとMoを含む合金を見いだした。
For this purpose, we have developed SiN and Si suitable as Si and X-ray transmitting bodies.
A study was conducted to find an X-ray absorber pattern material having a coefficient of thermal expansion as close as possible to that of ceramics such as C, AlN, and C, and having a low stress and a good surface state. Alloys were found.

【0009】即ち本発明のX線マスクは、マスクメンブ
レン上に形成される吸収体パターンが、タングステン
(W)とモリブデン(Mo)を含む合金であり、前記W
−Mo合金の結晶の優先配向が{110}であることを特
徴とする。
That is, in the X-ray mask of the present invention, the absorber pattern formed on the mask membrane is an alloy containing tungsten (W) and molybdenum (Mo).
The preferred orientation of the crystal of the -Mo alloy is {110}.

【0010】ここで、前記合金が、全体に対して含有さ
れるモリブデンの割合が0.1から50wt%であるこ
とが好ましい。
Here, it is preferable that the ratio of molybdenum contained in the whole alloy is 0.1 to 50% by weight.

【0011】本発明のX線マスクの製造方法は、マスク
メンブレン上に形成される吸収体パターンが、タングス
テン(W)とモリブデン(Mo)を含む合金であるX線
マスクの製造方法であって、フッ化カーボン系もしくは
フッ化硫黄系ガスを主体とするガスプラズマでドライエ
ッチングすることによって前記合金の吸収体パターンを
形成する工程を有する事を特徴とする。
The method of manufacturing an X-ray mask of the present invention is a method of manufacturing an X-ray mask, wherein the absorber pattern formed on the mask membrane is an alloy containing tungsten (W) and molybdenum (Mo). A step of forming an absorber pattern of the alloy by dry etching with a gas plasma mainly containing a carbon fluoride-based or sulfur fluoride-based gas.

【0012】本発明のX線マスクの製造方法の別の形態
は、マスクメンブレン上に形成される吸収体パターン
が、タングステン(W)とモリブデン(Mo)を含む合
金であるX線マスクの製造方法であって、不活性ガスを
用いたスパッタ蒸着法によって前記合金の吸収体パター
ンを形成する工程を有する事を特徴とする。
Another embodiment of the method of manufacturing an X-ray mask according to the present invention is a method of manufacturing an X-ray mask in which an absorber pattern formed on a mask membrane is an alloy containing tungsten (W) and molybdenum (Mo). A step of forming an absorber pattern of the alloy by a sputter deposition method using an inert gas.

【0013】本発明のデバイス生産方法は、上記X線マ
スクを用いて基板にパターンを露光転写することによっ
てデバイスを生産することを特徴とするものである。
A device production method according to the present invention is characterized in that a device is produced by exposing and transferring a pattern on a substrate using the X-ray mask.

【0014】[0014]

【実施例】以下、本発明の好ましい実施態様を説明す
る。図1はX線マスク構造体の断面図であり、1は保持
枠、2はメンブレンであるX線支持膜、3はX線吸収体
パターン、4はフレームである。なお、さらにX線吸収
体の保護膜、導電膜、アライメント光の反射防止膜など
を設けることが好ましい。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Preferred embodiments of the present invention will be described below. FIG. 1 is a cross-sectional view of an X-ray mask structure, wherein 1 is a holding frame, 2 is an X-ray support film as a membrane, 3 is an X-ray absorber pattern, and 4 is a frame. Note that it is preferable to further provide a protective film, a conductive film, an antireflection film for alignment light, and the like of the X-ray absorber.

【0015】X線支持膜2を保持するための保持枠1
は、単結晶Siによって構成される。この保持枠1には
補強体であるフレーム4が接合され、フレーム4の材質
としては、耐熱ガラス、Siセラミックスなどが用いら
れる。 X線支持膜2はX線に対して充分な透過率を有
し、かつセルフスタンドするだけの強度が必要である。
材質としては、例えばSi,SiO2,SiN,Si
C,SiCN,BN,AlN等の無機膜、ポリイミド等
の耐放射線有機膜、これらの複合膜などのが使用され、
厚さは1〜10μmの範囲内とする。また、X線吸収体
3はX線を十分に吸収し、かつ被加工性が良いことが必
要である。材質はW(タングステン)とMo(モリブデ
ン)の合金とし、厚さは0.1〜1.0μmとする。
A holding frame 1 for holding the X-ray support film 2
Is composed of single-crystal Si. A frame 4 as a reinforcing member is joined to the holding frame 1, and as a material of the frame 4, heat-resistant glass, Si ceramics, or the like is used. The X-ray supporting film 2 needs to have a sufficient transmittance for X-rays and a strength enough to be self-standing.
As a material, for example, Si, SiO 2 , SiN, Si
Inorganic films such as C, SiCN, BN, and AlN, radiation-resistant organic films such as polyimide, and composite films thereof are used.
The thickness is in the range of 1 to 10 μm. Further, it is necessary that the X-ray absorber 3 absorbs X-rays sufficiently and has good workability. The material is an alloy of W (tungsten) and Mo (molybdenum), and the thickness is 0.1 to 1.0 μm.

【0016】次に、X線吸収体3の作成方法を説明す
る。成膜装置としてスパッタ蒸着装置使用し、スパッタ
ターゲットにはWとMoがそれぞれ99〜90wt%、1
〜10wt%の合金体を用いる。合金の組成比はMoの量
を最大50wt%程度まで使用できるが、X線吸収能から
考えて密度の高めの条件を選定する。使用ガスはAr
(アルゴン)である。
Next, a method for producing the X-ray absorber 3 will be described. A sputter deposition apparatus was used as a film forming apparatus, and W and Mo were 99 to 90 wt%,
An alloy body of 10 wt% to 10 wt% is used. As for the composition ratio of the alloy, the amount of Mo can be used up to a maximum of about 50 wt%, but a condition for increasing the density is selected in consideration of the X-ray absorbing ability. The gas used is Ar
(Argon).

【0017】図2はグラフ図は、予めWのみのターゲッ
トを用いたときの、ガス圧に対する膜応力の変化を表し
ている。ガス圧に対して直線的に応力(圧縮)が低下し
ている。また図3のグラフ図は、W−Moターゲットを
用いた時の変化を表している。図3から分かるように、
ガス圧が2Pa付近で極端に変化し、応力(圧縮)が低
下している。このことは高真空の成膜条件で低応力のX
線吸収体膜を形成できることを示している。一般に低真
空で成膜すれば、膜内にガスの取り込みが多くなり応力
が低下することが知られているが、これは密度を低下さ
せ、また経時変化を大きくさせてしまうため好ましくは
ない。このことからも、W−Moのこの現象はX線吸収
体膜の成膜、そしてマスク構造体の作成に極めて有効で
あることが明らかである。WとMoとの組成比、スパッ
タ装置の種類によってガス圧の条件が多少シフトするこ
とがあるが、変異点が存在することには変わりはない。
FIG. 2 is a graph showing a change in film stress with respect to gas pressure when a target containing only W is used in advance. Stress (compression) decreases linearly with gas pressure. Further, the graph of FIG. 3 shows a change when a W-Mo target is used. As can be seen from FIG.
The gas pressure changes extremely around 2 Pa, and the stress (compression) decreases. This means that a low stress X
This shows that a line absorber film can be formed. In general, it is known that when a film is formed in a low vacuum, the amount of gas taken up in the film increases and the stress decreases, but this is not preferable because it lowers the density and increases the change with time. From this, it is clear that this phenomenon of W-Mo is extremely effective in forming an X-ray absorber film and forming a mask structure. Depending on the composition ratio of W and Mo and the type of sputtering apparatus, the conditions of the gas pressure may shift slightly, but the presence of a variation point remains unchanged.

【0018】図3において、変異点よりも高真空で形成
された膜の結晶状態はX線解析分析の結果、優先配向は
{211}であったのに対して、変異点および低真空域
で形成された膜の優先配向は{110}であった。この
ことはWとMoの合金膜が成膜条件(スパッタガス圧)
により結晶状態を変えることが可能であり、変異点付近
では取り込みガスの少ない高密度の低応力の膜が得られ
ることを示している。よってX線吸収体3としては、優
先配向が{110}のもの、更には変異点付近の膜を用
いるのが好ましい。
In FIG. 3, as a result of X-ray analysis analysis, the crystal orientation of the film formed at a higher vacuum than the mutation point was {211}, whereas at the mutation point and the low vacuum region The preferred orientation of the formed film was {110}. This means that the alloy film of W and Mo is formed under the conditions (sputter gas pressure).
Indicates that the crystal state can be changed, and a high-density, low-stress film with little incorporated gas can be obtained near the transition point. Therefore, as the X-ray absorber 3, it is preferable to use a film having a preferred orientation of {110}, and more preferably a film near the mutation point.

【0019】以上、我々の提案するマスクが優れている
点は、均一な組成の表面性の良い高密度なX線吸収膜が
スパッタ蒸着法により低応力で形成できることが挙げら
れる。その理由としては、 (1)両金属の性質が近いので、均質なスパッタターゲ
ットを用いることができる。 (2)両金属のスパッタ率が同等なので、均質な蒸着膜
が得られる。 (3)低応力のスパッタ膜が、高真空域で成膜可能(高
密度) (4)SF6,CF4等のエッチングガスに対して均質
なエッチング特性を有する。 などを挙げることができる。
As described above, the point that our proposed mask is excellent is that a high-density X-ray absorbing film having a uniform composition and good surface properties can be formed with a low stress by a sputter deposition method. The reasons are as follows: (1) Since the properties of both metals are close, a uniform sputter target can be used. (2) Since the sputtering rates of both metals are equal, a uniform deposited film can be obtained. (3) A low-stress sputtered film can be formed in a high vacuum region (high density). (4) It has a uniform etching characteristic with respect to an etching gas such as SF6 and CF4. And the like.

【0020】次に、より具体的ないくつかの実施例を説
明する。
Next, some more specific embodiments will be described.

【0021】<実施例1>X線リソグラフィ用のマスク
構造体を作成する目的で、X線保持枠となる3インチ
φ、厚さ2mmのシリコン基板上に、CVD法によりX
線支持膜となるSiNメンブレン膜を2μm成膜してマ
スクブランクスを作成した。なお、このSi基板の裏面
のSiN膜にはマスクを用いて予め20×20mmの大
きさの、バックエッチング用の窓を設けた。メンブレン
膜の応力は、4×108dyne/cm2の引張応力の値を示し
た。一方、X線吸収体の成膜装置としてスパッタ蒸着装
置を用いた。ターゲットにはWとMoをそれぞれ90wt
%、10wt%含有する合金体を用いた。スパッタガスと
してはArガスを用いた。ガス圧は1.5Pa、RFパ
ワーは75Wである。成膜時間は80分で0.9μmで
あった。この膜の応力は2×107dyne/cm2と、僅かな
引張応力であった。
<Example 1> For the purpose of producing a mask structure for X-ray lithography, X-rays were deposited on a 3-inch φ, 2 mm-thick silicon substrate serving as an X-ray holding frame by CVD.
A mask blank was formed by forming a 2 μm-thick SiN membrane film as a line support film. The SiN film on the back surface of the Si substrate was previously provided with a window for back etching having a size of 20 × 20 mm using a mask. The stress of the membrane film showed a tensile stress value of 4 × 10 8 dyne / cm 2 . On the other hand, a sputter deposition apparatus was used as a film forming apparatus for the X-ray absorber. 90wt each of W and Mo as targets
%, An alloy body containing 10% by weight. Ar gas was used as a sputtering gas. The gas pressure is 1.5 Pa and the RF power is 75 W. The film formation time was 0.9 μm for 80 minutes. The stress of this film was 2 × 10 7 dyne / cm 2 , a slight tensile stress.

【0022】次に上記構造体を用いて吸収体パターンを
形成した。まず、EB蒸着装置を用いて、Cr膜を0.
05μm、W−Mo膜上に積層した。さらに、PMMA
系レジストをスピンコート法で0.5μm積層した。所
定のプリベーク処理の後、EB描画装置を用いて、0.
30μmのパターンを描画した。所定の現像プロセスを
経て0.30μmのレジストパターンを形成した。続い
てドライエッチング装置にエッチングガスとしてCF4
を用いて、レジストパターンをマスクに0.3μmのC
rパターンを形成した。続いて、O2ガスプラズマにて
レジストを除去した後、SF6ガスプラズマにてエッチ
ングを行い、W−Mo合金の0.30μmの吸収体パタ
ーンを形成した。
Next, an absorber pattern was formed using the above structure. First, the chromium film was removed to a thickness of 0.1 mm using an EB evaporation apparatus.
05 μm, laminated on the W-Mo film. In addition, PMMA
A 0.5 μm layer of a system resist was laminated by spin coating. After a predetermined pre-bake process, the EB drawing apparatus is used to set the value of 0.
A 30 μm pattern was drawn. After a predetermined development process, a 0.30 μm resist pattern was formed. Subsequently, CF4 was used as an etching gas in a dry etching apparatus.
Using a resist pattern as a mask, 0.3 μm C
An r pattern was formed. Subsequently, after removing the resist with O 2 gas plasma, etching was performed with SF 6 gas plasma to form a 0.30 μm absorber pattern of a W—Mo alloy.

【0023】次に、Si基板の裏面のバックエッチング
を行った。予め前もって設けてあるSiN膜の窓の部分
にKNOの30wt%、110℃の溶液を作用させて、エ
ッチングを行った。なお、表面の吸収体パターン部分は
エッチング液が作用しないように完全にシールドを行っ
た。2mm圧のSi基板をエッチングするのに約6時間
を要した。最後に3インチφ、厚さ8mmのドーナツ状
の補強体をフレーム4としてエポキシ系接着剤を用いて
接着し、これによって極めて良好なX線リソグラフィ用
マスク構造体を得ることができた。
Next, back etching of the back surface of the Si substrate was performed. Etching was performed by applying a solution of 30 wt% of KNO and a temperature of 110 ° C. to the window portion of the SiN film provided in advance. The absorber pattern on the surface was completely shielded so that the etchant did not act. It took about 6 hours to etch a 2 mm pressure Si substrate. Finally, a doughnut-shaped reinforcing member having a diameter of 3 inches and a thickness of 8 mm was adhered to the frame 4 using an epoxy-based adhesive, whereby an extremely good mask structure for X-ray lithography could be obtained.

【0024】<実施例2>X線リソグラフィ用マスク構
造体を作成する目的で、3インチφ、厚さ2mmのシリ
コン基板上にCVD法によりX線支持膜となるSiCメ
ンブレン膜を2μm成膜し、マスクブランクスを作成し
た。なお、このSi基板の裏面のSiC膜にはマスクを
用いて予め20×20mmの大きさのバックエッチング
用の窓を設けた。メンブレン膜の応力は1×109dyne/
cm2の引張応力の値を示した。一方、X線吸収体膜の成
膜装置としてスパッタ蒸着装置を用いた。ターゲットに
はWとMoをそれぞれ95wt%、5wt%含有する合金体
を用いた。スパッタガスとしてはArを用いた。ガス圧
は2Pa、RFパワーは100Wである。成膜時間は6
0分で0.8μmであった。この膜の応力は−2×10
7dyne/cm2と僅かな圧縮応力であった。後の工程は上記
実施例と同様である。これによって極めて良好なX線リ
ソグラフィ用マスク構造体を得ることができた。
Example 2 For the purpose of producing a mask structure for X-ray lithography, a 2 μm-thick SiC membrane film serving as an X-ray supporting film was formed on a silicon substrate having a diameter of 3 inches and a thickness of 2 mm by a CVD method. And a mask blank was prepared. Note that a window for back etching having a size of 20 × 20 mm was provided in advance on the SiC film on the back surface of the Si substrate using a mask. The stress of the membrane is 1 × 10 9 dyne /
The value of the tensile stress in cm 2 is shown. On the other hand, a sputter deposition apparatus was used as an apparatus for forming an X-ray absorber film. An alloy containing 95 wt% and 5 wt% of W and Mo, respectively, was used as a target. Ar was used as a sputtering gas. The gas pressure is 2 Pa and the RF power is 100 W. Film formation time is 6
It was 0.8 μm at 0 minutes. The stress of this film is -2 × 10
The compressive stress was as small as 7 dyne / cm 2 . Subsequent steps are the same as in the above embodiment. As a result, a very good mask structure for X-ray lithography could be obtained.

【0025】<実施例3>上記の実施例1において、W
−Mo吸収体パターンのエッチングに用いるガスとし
て、SF6に変えてCF4とO2の混合ガスを用いたと
ころ、極めて良好なエッチングパターンを有するマスク
を得ることができた。
<Embodiment 3> In the above-described embodiment 1, W
As the gas used for etching of the -Mo absorber pattern, when using a mixed gas of varied CF4 and O 2 as SF6, it was possible to obtain a mask having a very good etched pattern.

【0026】<実施例4>次に上記のようにして作成し
たX線マスクを用いたX線露光装置の実施例を説明す
る。図4はX線露光装置の全体図であり、図中、シンク
ロトロン放射源10の発光点11から放射されたシート
ビーム形状のシンクロトロン放射光12は、僅かな曲率
を有する凸面ミラー13によって放射光軌道面に対して
垂直な方向に拡大される。拡大された放射光は移動シャ
ッタ14によって照射領域内で露光量が均一となるよう
に調整し、シャッタ14を経た放射光はX線マスク15
に導かれる。X線マスク15は上記説明した実施例のい
ずれかで説明した方法によって作成されたものである。
ウエハ16はスピンコート法によって1μm厚のレジス
トを塗布し、既定の条件でプリベークを行ったもので、
X線マスク15とは30μm程度の近接した間隔で配置
されている。ステッピング露光によって、ウエハ16の
複数のショット領域にマスクパターンを並べて露光転写
したら、ウエハを回収し、現像処理を行う。これによっ
て線幅30μm、高さ1μmのネガ型のレジストパター
ンを得た。
<Embodiment 4> Next, an embodiment of an X-ray exposure apparatus using the X-ray mask prepared as described above will be described. FIG. 4 is an overall view of the X-ray exposure apparatus. In the figure, a synchrotron radiation light 12 in the form of a sheet beam emitted from a light emitting point 11 of a synchrotron radiation source 10 is radiated by a convex mirror 13 having a slight curvature. It is enlarged in a direction perpendicular to the optical orbit plane. The expanded radiated light is adjusted by the moving shutter 14 so that the exposure amount becomes uniform within the irradiation area, and the radiated light that has passed through the shutter 14 is adjusted by the X-ray mask 15.
It is led to. The X-ray mask 15 is created by the method described in any of the embodiments described above.
The wafer 16 is obtained by applying a 1 μm-thick resist by a spin coat method and performing a pre-bake under predetermined conditions.
The X-ray mask 15 is arranged at a close distance of about 30 μm. After mask patterns are arranged and transferred on a plurality of shot areas of the wafer 16 by stepping exposure, the wafer is collected and developed. As a result, a negative resist pattern having a line width of 30 μm and a height of 1 μm was obtained.

【0027】<実施例5>次に上記X線マスクおよび上
記X線露光装置を用いた微小デバイスの生産方法につい
て説明する。ここでいう微小デバイスとはいICやLS
I等の半導体チップ、液晶デバイス、マイクロマシン、
薄膜磁気ヘッドなどが挙げられる。以下は半導体デバイ
スの例を示す。
<Embodiment 5> Next, a method for producing a micro device using the X-ray mask and the X-ray exposure apparatus will be described. IC and LS
I and other semiconductor chips, liquid crystal devices, micromachines,
And a thin-film magnetic head. The following shows an example of a semiconductor device.

【0028】図5は半導体デバイスの生産の全体フロー
を示す。ステップ1(回路設計)では半導体デバイスの
回路設計を行なう。ステップ2(マスク製作)では設計
した回路パターンを形成したマスクを製作する。一方、
ステップ3(ウエハ製造)ではシリコン等の材料を用い
てウエハを製造する。ステップ4(ウエハプロセス)は
前工程と呼ばれ、上記用意したX線マスクとウエハを用
いて、リソグラフィ技術によってウエハ上に実際の回路
を形成する。次のステップ5(組み立て)は後工程と呼
ばれ、ステップ4によって作製されたウエハを用いて半
導体チップ化する工程であり、アッセンブリ工程(ダイ
シング、ボンディング)、パッケージング工程(チップ
封入)等の工程を含む。ステップ6(検査)ではステッ
プ5で作製された半導体デバイスの動作確認テスト、耐
久性テスト等の検査を行なう。こうした工程を経て半導
体デバイスが完成し、これが出荷(ステップ7)され
る。
FIG. 5 shows an overall flow of semiconductor device production. In step 1 (circuit design), the circuit of the semiconductor device is designed. Step 2 is a process for making a mask on the basis of the circuit pattern design. on the other hand,
In step 3 (wafer manufacture), a wafer is manufactured using a material such as silicon. Step 4 (wafer process) is called a pre-process, and an actual circuit is formed on the wafer by lithography using the prepared X-ray mask and wafer. The next step 5 (assembly) is called a post-process, and is a process of forming a semiconductor chip using the wafer produced in step 4, and includes processes such as an assembly process (dicing and bonding) and a packaging process (chip encapsulation). including. In step 6 (inspection), inspections such as an operation confirmation test and a durability test of the semiconductor device manufactured in step 5 are performed. Through these steps, a semiconductor device is completed and shipped (step 7).

【0029】図6は上記ウエハプロセスの詳細なフロー
を示す。ステップ11(酸化)ではウエハの表面を酸化
させる。ステップ12(CVD)ではウエハ表面に絶縁
膜を形成する。ステップ13(電極形成)ではウエハ上
に電極を蒸着によって形成する。ステップ14(イオン
打込み)ではウエハにイオンを打ち込む。ステップ15
(レジスト処理)ではウエハに感光剤を塗布する。ステ
ップ16(露光)では上記説明した露光装置によってマ
スクの回路パターンをウエハに焼付露光する。ステップ
17(現像)では露光したウエハを現像する。この工程
では予め化学増幅型レジストに特有なPEB(Post Expo
sure Bake)工程を含む。ステップ18(エッチング)で
は現像したレジスト像以外の部分を削り取る。ステップ
19(レジスト剥離)ではエッチングが済んで不要とな
ったレジストを取り除く。これらのステップを繰り返し
行なうことによって、ウエハ上に多重に回路パターンが
形成される。本実施例の生産方法を用いれば、従来は難
しかった高集積度の半導体デバイスを生産することがで
きる。
FIG. 6 shows a detailed flow of the wafer process. Step 11 (oxidation) oxidizes the wafer's surface. Step 12 (CVD) forms an insulating film on the wafer surface. Step 13 (electrode formation) forms electrodes on the wafer by vapor deposition. Step 14 (ion implantation) implants ions into the wafer. Step 15
In (resist processing), a photosensitive agent is applied to the wafer. Step 16 (exposure) uses the above-described exposure apparatus to print and expose the circuit pattern of the mask onto the wafer. Step 17 (development) develops the exposed wafer. In this step, PEB (Post Expo
sure Bake) process. In step 18 (etching), portions other than the developed resist image are removed. In step 19 (resist stripping), unnecessary resist after etching is removed. By repeating these steps, multiple circuit patterns are formed on the wafer. By using the production method of this embodiment, it is possible to produce a highly integrated semiconductor device which has been difficult in the past.

【0030】[0030]

【発明の効果】以上本発明によれば、WとMoを含む合
金をX線吸収体として用いることにより、均一な組成の
表面性の良い高密度なX線吸収体を低応力で形成するこ
とができ、高精度なX線マスクを提供することができ
る。このX線マスクを用いれば高精度な露光転写が可能
な露光装置やデバイス生産方法を提供することができ
る。
According to the present invention, a high-density X-ray absorber having a uniform composition and good surface properties can be formed with low stress by using an alloy containing W and Mo as an X-ray absorber. Thus, a highly accurate X-ray mask can be provided. By using this X-ray mask, it is possible to provide an exposure apparatus and a device production method capable of performing exposure transfer with high precision.

【図面の簡単な説明】[Brief description of the drawings]

【図1】X線マスク構造体の実施例の断面図である。FIG. 1 is a cross-sectional view of an embodiment of an X-ray mask structure.

【図2】W膜の成膜条件による応力の変化を表すグラフ
図である。
FIG. 2 is a graph showing a change in stress depending on a film formation condition of a W film.

【図3】W−Moの成膜条件による応力の変化を表すグ
ラフ図である。
FIG. 3 is a graph showing a change in stress depending on a film formation condition of W-Mo.

【図4】X線露光装置の実施例の全体構成図である。FIG. 4 is an overall configuration diagram of an embodiment of an X-ray exposure apparatus.

【図5】半導体デバイス生産の全体フローを示す図であ
る。
FIG. 5 is a diagram showing an overall flow of semiconductor device production.

【図6】ウエハプロセスの詳細なフローを示す図であ
る。
FIG. 6 is a diagram showing a detailed flow of a wafer process.

【符号の説明】[Explanation of symbols]

1 支持枠 2 X線支持膜 3 X線吸収体パターン 4 フレーム DESCRIPTION OF SYMBOLS 1 Support frame 2 X-ray support film 3 X-ray absorber pattern 4 Frame

フロントページの続き (72)発明者 千葉 啓子 東京都大田区下丸子3丁目30番2号キヤ ノン株式会社内 (56)参考文献 特開 昭56−112727(JP,A) 特開 昭63−155618(JP,A) 特開 昭60−61750(JP,A) 特開 昭63−51632(JP,A) 特開 平2−94421(JP,A) 特開 平5−299326(JP,A) 特開 平5−326380(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/027 G03F 1/16 Continuation of the front page (72) Inventor Keiko Chiba 3-30-2 Shimomaruko, Ota-ku, Tokyo Inside Canon Inc. (56) References JP-A-56-112727 (JP, A) JP-A-63-155618 ( JP, A) JP-A-60-61750 (JP, A) JP-A-63-51632 (JP, A) JP-A-2-94421 (JP, A) JP-A-5-299326 (JP, A) Hei 5-326380 (JP, A) (58) Field surveyed (Int. Cl. 7 , DB name) H01L 21/027 G03F 1/16

Claims (5)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 マスクメンブレン上に形成される吸収体
パターンが、タングステン(W)とモリブデン(Mo)
を含む合金であり、前記W−Mo合金の結晶の優先配向
が{110}であることを特徴とするX線マスク。
An absorber pattern formed on a mask membrane is made of tungsten (W) and molybdenum (Mo).
An X-ray mask, wherein the preferred orientation of the crystal of the W-Mo alloy is {110}.
【請求項2】 前記合金が、全体に対して含有されるモ
リブデンの割合が0.1から50wt%であることを特
徴とする請求項1記載のX線マスク。
2. The X-ray mask according to claim 1, wherein a ratio of molybdenum contained in the alloy is 0.1 to 50 wt% with respect to the whole.
【請求項3】 マスクメンブレン上に形成される吸収体
パターンが、タングステン(W)とモリブデン(Mo)
を含む合金であるX線マスクの製造方法であって、フッ
化カーボン系もしくはフッ化硫黄系ガスを主体とするガ
スプラズマでドライエッチングすることによって前記合
金の吸収体パターンを形成する工程を有する事を特徴と
するX線マスクの製造方法。
3. An absorber pattern formed on a mask membrane is made of tungsten (W) and molybdenum (Mo).
A method for producing an X-ray mask which is an alloy containing: a step of forming an absorber pattern of the alloy by dry etching with a gas plasma mainly composed of a carbon fluoride-based or sulfur fluoride-based gas. A method for manufacturing an X-ray mask, comprising:
【請求項4】 マスクメンブレン上に形成される吸収体
パターンが、タングステン(W)とモリブデン(Mo)
を含む合金であるX線マスクの製造方法であって、不活
性ガスを用いたスパッタ蒸着法によって前記合金の吸収
体パターンを形成する工程を有する事を特徴とするX線
マスクの製造方法。
4. An absorber pattern formed on a mask membrane is made of tungsten (W) and molybdenum (Mo).
A method of manufacturing an X-ray mask which is an alloy containing, comprising a step of forming an absorber pattern of the alloy by a sputter deposition method using an inert gas.
【請求項5】 請求項1乃至2のいずれか記載のX線マ
スクを用いて基板にパターンを露光転写することによっ
てデバイスを生産することを特徴とするデバイス製造方
法。
5. A device manufacturing method for producing a device by exposing and transferring a pattern on a substrate using the X-ray mask according to claim 1.
JP31054694A 1994-12-14 1994-12-14 X-ray mask, manufacturing method of the mask, and device manufacturing method using the mask Expired - Fee Related JP3219619B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP31054694A JP3219619B2 (en) 1994-12-14 1994-12-14 X-ray mask, manufacturing method of the mask, and device manufacturing method using the mask
US08/570,686 US5733688A (en) 1994-12-14 1995-12-11 Lithographic mask structure and method of producing the same comprising W and molybdenum alloy absorber
KR1019950049108A KR100211012B1 (en) 1994-12-14 1995-12-13 Lithographic mask structure and method of producing the same and manufacturing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP31054694A JP3219619B2 (en) 1994-12-14 1994-12-14 X-ray mask, manufacturing method of the mask, and device manufacturing method using the mask

Publications (2)

Publication Number Publication Date
JPH08167555A JPH08167555A (en) 1996-06-25
JP3219619B2 true JP3219619B2 (en) 2001-10-15

Family

ID=18006544

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31054694A Expired - Fee Related JP3219619B2 (en) 1994-12-14 1994-12-14 X-ray mask, manufacturing method of the mask, and device manufacturing method using the mask

Country Status (1)

Country Link
JP (1) JP3219619B2 (en)

Also Published As

Publication number Publication date
JPH08167555A (en) 1996-06-25

Similar Documents

Publication Publication Date Title
US5155749A (en) Variable magnification mask for X-ray lithography
JPH0864524A (en) Preparation of x-ray absorption mask
US5012500A (en) X-ray mask support member, X-ray mask, and X-ray exposure process using the X-ray mask
JPH0720293A (en) X-ray mirror, x-ray aligner employing it and fabrication of device
JP3210143B2 (en) X-ray mask structure, method for manufacturing the same, X-ray exposure method using the X-ray mask structure, and device manufacturing method using the X-ray mask structure
JP2001100395A (en) Mask for exposure and method for manufacturing the same
US5733688A (en) Lithographic mask structure and method of producing the same comprising W and molybdenum alloy absorber
US5882826A (en) Membrane and mask, and exposure apparatus using the mask, and device producing method using the mask
EP0119310B1 (en) Method of fabricating a pellicle cover for projection printing system
JPH11274075A (en) Structure of improved x-ray mask
JP3219619B2 (en) X-ray mask, manufacturing method of the mask, and device manufacturing method using the mask
JPH08167556A (en) X-ray mask, manufacture thereof, and producing method for device using x-ray mask
JP3599461B2 (en) Mask structure, exposure method using the same, exposure apparatus and device manufacturing method
JPH09306807A (en) Manufacture of x-ray exposing mask structure
JPH0430737B2 (en)
JPH0936016A (en) Membrane, mask, aligner using them, and device manufacturing method
JPH0316116A (en) Mask structure for x-ray lithography and x-ray exposure using mask structure
JP2712447B2 (en) Exposure mask
JPH08236425A (en) Radiation take-out window and exposure apparatus having the same
JPH0562888A (en) X-ray mask and transferring method for pattern using the same
JP2004186369A (en) Transfer mask blank, transfer mask, and transfer method using the same
JPH1050578A (en) X-ray lithographic mask structure, manufacture thereof and semiconductor device using this structure
Brors X-ray mask fabrication
JPS61198722A (en) X-ray exposure mask and manufacture thereof
JPS63115332A (en) Mask for x-ray exposure

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010724

LAPS Cancellation because of no payment of annual fees