JP3091568B2 - Layout design method - Google Patents

Layout design method

Info

Publication number
JP3091568B2
JP3091568B2 JP04145627A JP14562792A JP3091568B2 JP 3091568 B2 JP3091568 B2 JP 3091568B2 JP 04145627 A JP04145627 A JP 04145627A JP 14562792 A JP14562792 A JP 14562792A JP 3091568 B2 JP3091568 B2 JP 3091568B2
Authority
JP
Japan
Prior art keywords
path
net
slack
delay
cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP04145627A
Other languages
Japanese (ja)
Other versions
JPH05343522A (en
Inventor
真佐子 室伏
正美 村方
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP04145627A priority Critical patent/JP3091568B2/en
Publication of JPH05343522A publication Critical patent/JPH05343522A/en
Application granted granted Critical
Publication of JP3091568B2 publication Critical patent/JP3091568B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、タイミング及び電気的
特性を考慮したレイアウト設計に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a layout design considering timing and electrical characteristics.

【0002】[0002]

【従来の技術】回路が大規模・微細化するに従い、配線
容量に起因する信号の伝搬遅延による回路の誤動作が問
題となる。特にタイミング的にクリティカルな配線の遅
延に対する考慮は重要である。一般に、タイミング的に
クリティカルな配線に対しては遅延時間の上限制約が課
せられ、レイアウト処理ではこの制約を満足するように
処理する。ここで、タイミング的にクリティカルな配線
とは、フリップフロップ間を接続するセルとネットの連
鎖からなるパスが一般的である。
2. Description of the Related Art As circuits become larger and smaller, malfunction of the circuits due to signal propagation delay caused by wiring capacitance becomes a problem. In particular, it is important to consider the delay of wiring that is critical in timing. Generally, an upper limit of the delay time is imposed on a wiring that is critical in terms of timing, and layout processing is performed so as to satisfy this restriction. Here, the wiring that is critical in terms of timing is generally a path formed by a chain of cells and nets connecting between flip-flops.

【0003】従来のパスに対する遅延制約の設定方法と
しては、パスの始点から終点までの信号の伝搬遅延時間
の上限制約を設ける方法、パスを構成する各ネット毎に
遅延時間の上限制約を設ける方法、パス全体に対して要
求される遅延時間と実際に信号が伝搬するのに要する時
間との差であるスラック値を求め、これをゼロ以上にす
るという制約を課す方法、およびパスに対するスラック
値をパスを構成する各ネットに割り当てる方法等であっ
た。
Conventional methods for setting delay constraints on paths include a method of setting an upper limit on the propagation delay time of a signal from the start point to the end point of the path, and a method of setting an upper limit on the delay time for each net constituting the path. A method of obtaining a slack value that is a difference between a delay time required for the entire path and a time required for the signal to actually propagate, and a method of imposing a constraint that the value is set to zero or more, and a slack value for the path It is a method of assigning to each net constituting a path.

【0004】パス全体に対して遅延時間の上限制約を設
定する方法では、同制約を満足するためにパスのどの部
分の遅延を最適化するのがパス全体の遅延短縮に効果的
かが表現出来なかった。従って、レイアウト処理で効率
良くタイミングの最適化を図るのが難しく、必要以上に
処理時間が掛かる、あるいは要求される遅延時間制約を
満足出来ない場合がある等の問題があった。
[0004] In the method of setting an upper limit of the delay time for the entire path, it is possible to express which part of the path to optimize the delay in order to satisfy the constraint is effective for reducing the delay of the entire path. Did not. Therefore, it is difficult to efficiently optimize the timing in the layout processing, and there is a problem that processing time is unnecessarily long or a required delay time constraint cannot be satisfied.

【0005】パスを構成するネット毎に遅延時間の上限
制約を設定する方法も、どのネットがパス全体で見た場
合に遅延時間的に問題かが特定できないという問題があ
り、効率よくタイミングの最適化が図りにくいという問
題があった。
The method of setting the upper limit constraint of the delay time for each net constituting the path also has a problem that it is not possible to specify which net has a problem in terms of the delay time when the entire path is viewed. There was a problem that it was difficult to achieve the conversion.

【0006】パスに対するスラック値を用いる方法は、
タイミング的にクリティカルなパスの認識は容易である
が、パスのスラック値を改善するためにパスのどの部分
の遅延を改善するとパス全体の遅延改善に効果的かが難
しいと言う問題があった。
A method of using slack value for a path is as follows.
Although it is easy to recognize a path that is critical in terms of timing, there is a problem that it is difficult to improve the delay of any part of the path to improve the slack value of the path, which is effective in improving the delay of the entire path.

【0007】レイアウト状態が変化する度にタイミング
解析を実行する必要があり、処理時間的にも問題であっ
た。パスを構成するネット毎にスラック値を設定する方
法も、基本的にはパスに対するスラック値をパスを構成
する各ネットに割り振る方法なので、レイアウト処理を
施す度にネットスラック値の更新処理が必要となり、パ
ススラック法と同様に処理時間的な問題があった。
[0007] It is necessary to execute timing analysis every time the layout state changes, which is also a problem in processing time. The method of setting the slack value for each net constituting the path is basically a method of allocating the slack value for the path to each net constituting the path, so it is necessary to update the net slack value every time layout processing is performed. As with the pass slack method, there is a problem in processing time.

【0008】一方、近年のVLSIの微細化技術の進歩
により、大規模集積回路の実現が可能になってきた。そ
れにともないチップ面積の最小化、処理時間の短縮化、
電気的特性を満足することを目的とした種々の自動レイ
アウトシステムが開発されている。
On the other hand, recent advances in VLSI miniaturization technology have enabled the realization of large-scale integrated circuits. Along with that, minimizing chip area, shortening processing time,
Various automatic layout systems aiming at satisfying electrical characteristics have been developed.

【0009】従来までのレイアウト設計では、最大遅延
時間の要求仕様に対する遅延時間余裕度の少ない信号経
路(以下クリティカルパスという)の遅延時間を短くす
るために、自動配置において、ネットウエイト手法、パ
スに関する制約を、配線長に関して2次または1次の形
式で制約して最適問題として解く方法、パスを整形する
ための力を定義して最適化をはかる方法などが提案され
ている。
In the conventional layout design, in order to shorten the delay time of a signal path (hereinafter referred to as a critical path) having a small delay time margin with respect to a required specification of a maximum delay time, a net weight method and a path in automatic placement are required. There have been proposed a method of solving the constraint as an optimal problem by restricting the constraint in a quadratic or primary form with respect to the wiring length, and a method of defining a force for shaping a path and optimizing the path.

【0010】ネットウエイト法は、ネット(論理セル間
の同一電位となる配線網)に対する重み付けを用いる方
法であり、その文献としてBurstein,M.,and Youssef,
M.,"Timing Influenced Layout Design",Proc. 22nd D
esign Automation Conf.,IEEE,pp.124-130,1985 (文献
1)がある。
The net weight method is a method using weighting for a net (a wiring network having the same potential between logic cells), and is described in Burstein, M., and Youssef,
M., "Timing Influenced Layout Design", Proc. 22nd D
esign Automation Conf., IEEE, pp. 124-130, 1985 (Reference 1).

【0011】この方法は、各配置処理に対してクリティ
カルネットを検出し、そのスラック値(要求される到達
時間−実際の(仮想的な)到達時間)を算出し、そのス
ラック値に応じたネットウエイトをそのネットに対して
付けることを特徴としている。
According to this method, a critical net is detected for each placement process, its slack value (required arrival time-actual (virtual) arrival time) is calculated, and a net corresponding to the slack value is calculated. It is characterized in that a weight is attached to the net.

【0012】パスに関する制約を2次または1次の最適
化問題として解く方法としては、Jackson,M.,Srinivasa
n,A.,and Kuh,E.,”A Fast Algorithm for Performance
-Driven Placement ”,Proc. International Conferenc
e on Computer-Aided Design’90.,IEEE,pp.328-331,19
90、Jackson,M.,and Kuh,E.,”Performance-Driven Pla
cement of Cell Based IC's ”,Proc. 26th Design Aut
omation Conf.,IEEE,pp.370-375,1989(文献2)があ
る。
[0012] As a method of solving a constraint related to a path as a second-order or first-order optimization problem, Jackson, M., Srinivasa
n, A., and Kuh, E., ”A Fast Algorithm for Performance
-Driven Placement ”, Proc. International Conferenc
e on Computer-Aided Design'90., IEEE, pp.328-331,19
90, Jackson, M., and Kuh, E., ”Performance-Driven Pla
cement of Cell Based IC's ”, Proc. 26th Design Aut
omation Conf., IEEE, pp. 370-375, 1989 (Reference 2).

【0013】この方法では、クリティカルパスはあらか
じめ抽出しておき、各パス制約はネットディレイの連鎖
として与えられている。
In this method, a critical path is extracted in advance, and each path constraint is given as a chain of net delays.

【0014】パスの形状を整形するため力の緩和法を用
いるものには、Igarashi,M.,Murakata,M.,and Murofush
i,M., ”Timing Driven Placement by the Path Delay
Relaxation Force Method ”,Proc. Custom Integrated
Circuit Conf. ,IEEE,1992toappear(文献3)があ
る。
Igarashi, M., Murakata, M., and Murofush, which use a force relaxation method to shape the shape of a path.
i, M., ”Timing Driven Placement by the Path Delay
Relaxation Force Method ”, Proc. Custom Integrated
Circuit Conf., IEEE, 1992toappear (Reference 3).

【0015】簡単に説明すると、クリティカルパスを構
成するセルに仮想的な力を作用させ、ディレイが小さく
なるようにパス形状を整形していく。この方法も、あら
かじめクリティカルパスを抽出しておく必要があり、各
パス制約は、ネットディレイの連鎖として与えられる。
Briefly, a virtual force is applied to the cells constituting the critical path to shape the path so as to reduce the delay. In this method as well, it is necessary to extract a critical path in advance, and each path constraint is given as a chain of net delays.

【0016】これらのような方法でレイアウト設計が実
行されれば、指定されたネット(パス)を短縮すること
は可能である。
If the layout design is executed by such a method, it is possible to shorten the designated net (path).

【0017】しかしながら、これらの方法では、指定さ
れたネット(パス)以外のネット(パス)がクリティカ
ルになることが多く、レイアウトのやり直しに多大な時
間が必要である。また、クリティカルになるパス、ネッ
トが現れるたびに制約を付けていく方法では、チップ面
積が大きくなる傾向がある。
However, in these methods, nets (paths) other than the designated net (path) often become critical, and a lot of time is required to redo the layout. In addition, in a method in which a constraint is applied each time a path or a net that becomes critical appears, the chip area tends to increase.

【0018】さらに、これらの方法で用いられているパ
ス制約はパス同志の関連性が陽に表現されておらず、ま
た、そういう情報を配置処理内で用いていないので、ど
のセルを動かせばチップ全体としてのディレイの最適化
が行えるかを知ることが困難である。
Further, in the path constraints used in these methods, the relationship between the paths is not explicitly expressed, and such information is not used in the placement processing. It is difficult to know whether the delay as a whole can be optimized.

【0019】論理設計では、クリティカルパスの抽出方
法としてブランチスラック法が用いられている。これに
は、Ju,Y.,and Saleh,R., ”Incremental Techniques f
or the Identificaltionof Statically Sensitizable C
ritical Paths ”,Proc. 28th Design Automation Con
f.,IEEE,pp.541-546,1991(文献4)がある。
In logic design, a branch slack method is used as a method for extracting a critical path. This includes Ju, Y., and Saleh, R., “Incremental Techniques f
or the Identificaltionof Statically Sensitizable C
ritical Paths ”, Proc. 28th Design Automation Con
f., IEEE, pp. 541-546, 1991 (Reference 4).

【0020】このブランチスラック法は、遅延時間余裕
の少ない上位k(kは任意の正数)個のクリティカルパ
スを抽出しやすい、セルとネットの接続関係からなるグ
ラフの表現方法である。また、この方法は、セルをより
駆動能力の高いものに換えるなどの変化があった場合
(セルとネットの接続関係がかわらないときに限る)
に、容易に新たな上位k個のパスを抽出できる。主に、
クリティカルパスの遅延時間が小さくなったかを確認す
るために用いられている。
The branch slack method is a method for expressing a graph composed of a connection relationship between cells and nets, in which it is easy to extract the top k (k is an arbitrary positive number) critical paths having a small delay time margin. In addition, this method is used when there is a change such as replacing the cell with a cell having a higher driving capability (only when the connection relationship between the cell and the net does not change).
In addition, new top k paths can be easily extracted. mainly,
It is used to check whether the delay time of the critical path has been reduced.

【0021】レイアウト設計において、ブランチスラッ
ク法を応用してクリティカルパスを構成するセルを取り
換えることにより遅延時間を削減する方法も提案されて
いるが、ブランチスラック法が直接レイアウト設計に適
用された例はない。
In a layout design, there has been proposed a method of reducing a delay time by replacing cells constituting a critical path by applying a branch slack method. However, an example in which the branch slack method is directly applied to a layout design is as follows. Absent.

【0022】[0022]

【発明が解決しようとする課題】従来のパス遅延制約の
設定方法では、レイアウトの各処理過程で、タイミング
的に最もクリティカルなパスの抽出が難しいという問題
があり、その結果タイミングの最適化が十分に図れない
場合がある、あるいは最適化を図るのに非常にレイアウ
ト処理時間が掛かる等の問題があった。
The conventional method of setting a path delay constraint has a problem that it is difficult to extract the most critical path in terms of timing in each processing step of the layout, and as a result, timing optimization is not sufficient. In some cases, there is a problem that the layout processing takes a very long time to achieve the optimization.

【0023】また、従来のパス遅延制約の設定方法で
は、パスのどの部分の遅延を改善すればパス遅延の改善
に効果的かが容易に判断出来ないという問題もあり、さ
らにパス遅延の状況を把握するためにレイアウト処理を
施す度にタイミング解析を実行する必要があり、処理時
間が掛かる等の問題があった。
Further, the conventional method of setting a path delay constraint has a problem that it is not easy to determine which part of a path should be improved to improve the path delay. In order to ascertain, it is necessary to execute timing analysis every time layout processing is performed, and there is a problem that processing time is required.

【0024】一方、従来のレイアウト設計方法では、配
置の段階であまり電気的特性が考慮されていなかった。
このため、大規模回路を扱う場合、制約の付けられたネ
ット、パスの遅延時間は抑えられるが、それ以外のネッ
トやパスの遅延時間が長くなるという傾向があり、処理
時間、チップ面積ともに悪化するという問題があった。
On the other hand, in the conventional layout design method, electric characteristics are not taken into consideration at the stage of arrangement.
For this reason, when dealing with large-scale circuits, the delay time of restricted nets and paths can be reduced, but the delay time of other nets and paths tends to be long, and both processing time and chip area deteriorate. There was a problem of doing.

【0025】さらに、従来のレイアウト設計方法では、
クリティカルパスを容易に抽出できるブランチスラック
法が適用されていなかった。
Further, in the conventional layout design method,
The branch slack method that can easily extract a critical path has not been applied.

【0026】本発明は、上述した問題点に鑑み、第1の
発明の目的は、レイアウトの各処理過程においてタイミ
ング的にクリティカルなパスの抽出を容易とするパス遅
延制約を設定することができるレイアウト設計方法を提
供することにある。
The present invention has been made in view of the above-described problems, and a first object of the present invention is to provide a layout capable of setting a path delay constraint for facilitating extraction of a timing-critical path in each layout processing process. It is to provide a design method.

【0027】第2の発明の目的は、レイアウト設計にブ
ランチスラック法を適用することにより、セル配置の際
にクリティカルパスに対する配線長を短縮させて電気的
特性を十分に考慮すると共に、レイアウト設計時間を短
縮し、かつチップ面積の増大を防ぐことができるレイア
ウト設計方法を提供することにある。
A second object of the present invention is to apply a branch slack method to a layout design to shorten a wiring length for a critical path when arranging cells, to sufficiently consider electrical characteristics, and to take layout design time into consideration. Is to provide a layout design method that can reduce the chip size and prevent the chip area from increasing.

【0028】[0028]

【課題を解決するための手段】上述した目的を達成する
ために、第1の発明は、半導体集積回路のレイアウト設
計の際に、フリップフロップ間およびフリップフロップ
とチップのI/O間を結ぶセルとネットの連鎖からなる
パスに対して要求される遅延時間を、パスを構成する各
ネットに割り当て、これを各ネットに対する要求時間と
し、このネットに対する要求時間と当該ネットの予想配
線長から見積もった遅延時間との差を当該ネットのスラ
ック値とし、前記パスをパスの分岐・合流点で分割して
サブパスを構成し、各サブパスに対して同サブパスを構
成するネットのスラック値の和を同サブパスに対するス
ラック値とし、前記パスに分岐・合流点がある場合、分
岐・合流点を共有するサブパス間のスラック値の差をΔ
サブパススラックとし、分岐・合流点を共有するサブパ
スの中の最小スラック値を各サブパスに対する遅延制約
として設定する手段を備えている。
According to a first aspect of the present invention, there is provided a cell for connecting between flip-flops and between a flip-flop and I / O of a chip in a layout design of a semiconductor integrated circuit. The delay time required for a path consisting of a chain of nets is allocated to each net constituting the path, and this is set as the required time for each net, and estimated from the required time for this net and the expected wiring length of the net. The difference from the delay time is defined as the slack value of the net, and the path is divided at the branch / junction point of the path to form sub-paths. For each sub-path, the sum of the slack values of the nets constituting the sub-path is calculated as the sub-path. If there is a branch / junction point in the path, the difference in slack value between subpaths sharing the branch / junction point is Δ
There is provided a means for setting a minimum slack value among subpaths sharing a branching / merging point as a delay constraint for each subpath.

【0029】また、第2の発明は、半導体集積回路のレ
イアウト設計の際に、半導体集積回路を構成する論理セ
ル間の接続関係、各セルの電気的特性、各セルを接続す
る配線の電気的特性、及び信号伝搬遅延時間の上限値を
入力して各配線の配線長を見積もり、各セルをノードと
し、セル間の接続関係をエッジとするグラフを形成し、
エッジに配線ディレイを、ノードにそのセルから出た信
号が記憶素子に到達するまでの時間を、1つのノードか
ら出るエッジの間に、信号が各エッジを伝搬して記憶素
子に到達するのにかかる時間の差を情報としてもたせ、
前記グラフをもとに最長遅延の信号経路を求め、求めら
れた信号経路上のエッジ間に与えられた信号伝搬遅延時
間の差が大きい部分経路を抽出し、抽出された部分経路
から優先的にセルを配置し直し、セルを配置し直すにつ
れてグラフの各情報を更新し、更新されたグラフをもと
に信号伝搬遅延時間を算出し、算出された信号伝搬遅延
時間が入力された前記上限値を満たしているかどうかを
判定する手段を備えている。
According to a second aspect of the present invention, in designing a layout of a semiconductor integrated circuit, a connection relation between logic cells constituting the semiconductor integrated circuit, an electric characteristic of each cell, and an electric connection of a wiring connecting each cell. Characteristics, and input the upper limit value of the signal propagation delay time, estimate the wiring length of each wiring, form a graph with each cell as a node and the connection relationship between cells as an edge,
A wire delay is applied to the edge, and the time required for a signal from the cell to reach the storage element at the node is determined by the time required for a signal to propagate through each edge and reach the storage element between edges output from one node. Give this time difference as information,
The signal path with the longest delay is obtained based on the graph, a partial path having a large difference in signal propagation delay time given between edges on the obtained signal path is extracted, and the extracted partial path is preferentially extracted. The cells are rearranged, each information of the graph is updated as the cells are rearranged, the signal propagation delay time is calculated based on the updated graph, and the calculated signal propagation delay time is the upper limit value input. Means for determining whether or not the condition is satisfied.

【0030】[0030]

【作用】上記手段により、第1の発明は、クリティカル
パスに対して要求される遅延時間を、このクリティカル
パスを構成する各ネットに割り当てて各ネットに対する
要求時間とする。この要求時間と各ネットの予想配線長
から見積もった遅延時間との差を各ネットのスラック値
とする。
According to the first aspect of the present invention, the delay time required for the critical path is assigned to each net constituting the critical path, and is set as the required time for each net. The difference between the required time and the delay time estimated from the expected wiring length of each net is defined as the slack value of each net.

【0031】そして、クリティカルパスを分岐・合流点
で分割してサブパスを構成し、各サブパスを構成するネ
ットのスラック値の和をこのサブパスに対するスラック
値とする。分岐・合流点を共有するサブパス間のスラッ
ク値の差をΔサブパススラックとし、これをどのサブパ
スの遅延時間が大きいかを判断するための指標とする。
Then, the critical path is divided at the branch / junction points to form subpaths, and the sum of the slack values of the nets constituting each subpath is defined as the slack value for this subpath. The difference between the slack values of the subpaths sharing the branching / converging point is defined as Δsubpath slack, which is used as an index for determining which subpath has a longer delay time.

【0032】さらに、分岐・合流点を共有するサブパス
の中の最小スラック値を各サブパスに対する遅延制約と
して設定する。
Further, the minimum slack value in the subpaths sharing the branch / join point is set as a delay constraint for each subpath.

【0033】また、第2の発明は、入力されたセル間の
情報、各セルの電気的特性、配線の電気的特性を用い
て、ブランチスラック法によるセルとネットのグラフ表
現を求める。グラフの各エッジには、従来のように、そ
のネットによる配線遅延、各ノードにはそのセルから記
憶素子への最大信号伝搬時間、同じノードから出ている
エッジの間には、各エッジをとおったときの最大信号伝
搬時間の差(ブランチスラック)を格納する。
According to the second invention, a graph representation of a cell and a net by the branch slack method is obtained using input information between cells, electric characteristics of each cell, and electric characteristics of a wiring. As before, each edge of the graph has a wiring delay due to the net, each node has a maximum signal propagation time from the cell to the storage element, and each edge passes between edges coming out of the same node. The difference (branch slack) of the maximum signal propagation time at the time of occurrence is stored.

【0034】それを用いてしきい値以上のブランチスラ
ックを持つ部分経路を求めることにより、他のパスをク
リティカルにせず、また、上記グラフの更新を複雑にし
ないセル移動の優先順位を付け、それに従ってセルを移
動していくことにより、クリティカルパスのディレイを
小さくしていく。
By using this to determine a partial path having a branch slack equal to or greater than the threshold value, priorities of cell movements that do not make other paths critical and do not complicate the updating of the graph are assigned. , The delay of the critical path is reduced.

【0035】[0035]

【実施例】以下で、本発明の実施例を図を用いて説明す
る。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Embodiments of the present invention will be described below with reference to the drawings.

【0036】第1の発明 図1は、第1の発明の処理手順を示すフローチャートで
ある。
First Invention FIG. 1 is a flowchart showing a processing procedure of the first invention.

【0037】まず、レイアウト処理の対象とするネット
リストとタイミングの要求情報を入力する(ステップS
1)。タイミングの要求情報としては、一般にフリップ
フロップ間のセルとネットの連鎖からなるパスに対する
遅延時間の上限制約である。
First, a net list to be subjected to layout processing and timing request information are input (step S).
1). The timing requirement information generally includes an upper limit constraint on the delay time for a path formed by a chain of cells and nets between flip-flops.

【0038】これらの情報を基に、タイミング最適化処
理の対象となるフリップフロップ間のパスを抽出する。
図2は、パスの例を表しており、点X,Yに分岐を持つ
3つのパスT1,T2,T3を表している。ここで、パ
ス遅延はセル(丸印)の内部遅延と配線遅延の和であ
り、一般にパス遅延とはこの2つの遅延の和で与える。
Based on this information, a path between flip-flops to be subjected to timing optimization processing is extracted.
FIG. 2 illustrates an example of a path, and illustrates three paths T1, T2, and T3 having branches at points X and Y. Here, the path delay is the sum of the internal delay of the cell (circled) and the wiring delay, and the path delay is generally given by the sum of these two delays.

【0039】ここでは、このパス遅延からセル内部遅延
分を減じたものをパスを構成するネットによる遅延時間
要求と考え、それぞれRT1,RT2,RT3とする。
Here, it is assumed that a value obtained by subtracting the cell internal delay from the path delay is a delay time requirement by a net constituting the path, and is referred to as RT1, RT2 and RT3, respectively.

【0040】次に、抽出したパスのネット成分に対する
遅延時間の要求をパスを構成する各ネットに割り当てネ
ット毎に要求時間を設定する(以上、ステップS2)。
Next, the request for the delay time for the net component of the extracted path is allocated to each net constituting the path, and the required time is set for each net (step S2).

【0041】遅延時間の各ネットへの割り当ては、例え
ばネットのファンアウトに応じて比例配分する等の方法
で行う。図3を例にネットへの遅延時間の割り当て方の
例を説明する。
The assignment of the delay time to each net is performed by, for example, a method of proportionally distributing the delay time according to the fan-out of the net. An example of how to assign a delay time to a net will be described with reference to FIG.

【0042】この例のパスは3つのネットからなり、ネ
ット1はファンアウト3、ネット2はファンアウト1、
ネット3はファンアウト4であり、このパスに対する要
求時間が8だとすると、各ネットへはファンアウトに応
じてそれぞれ3、1、4なる時間を割り当てる。
The path in this example is composed of three nets, net 1 is fan-out 3, net 2 is fan-out 1,
Assuming that the net 3 has a fan-out 4 and the required time for this path is 8, time 3, 1 and 4 are allocated to each net according to the fan-out.

【0043】各ネット毎に仮想配線長を見積もり、見積
もった配線長から予想される遅延時間を求める(ステッ
プS3)。ネットの仮想配線長は、ネットのファンアウ
トとチップサイズの関数として見積もる事が出来、仮想
配線長Lは、例えば下記式により求める事が出来る。
The virtual wiring length is estimated for each net, and the expected delay time is obtained from the estimated wiring length (step S3). The virtual wiring length of the net can be estimated as a function of the fanout of the net and the chip size, and the virtual wiring length L can be obtained by the following equation, for example.

【0044】 L=a*b a=α+β・√チップサイズ b=γ+ネットのファンアウト数 求めた仮想配線長に単位長さ当たりの配線遅延を乗じる
ことで同ネットの予想される配線遅延を算出する。
L = a * ba a = α + β · √chip size b = γ + number of fan-outs of the net The expected wiring delay of the net is calculated by multiplying the obtained virtual wiring length by the wiring delay per unit length. I do.

【0045】パスを構成する各ネット毎に要求時間と予
想遅延時間との差であるスラック値を算出し、これをネ
ットスラックとする(ステップS4)。ネットスラック
を各ネットに割り当てた例を図4に示す。図中のΔt1
〜Δt10がネットスラックである。
A slack value, which is a difference between the required time and the expected delay time, is calculated for each net constituting the path, and is set as a net slack (step S4). FIG. 4 shows an example in which net slack is assigned to each net. Δt1 in the figure
~ Δt10 is the net slack.

【0046】パスの分岐・合流点X,Yでパスを部分パ
ス、すなはちサブパスに分解する(ステップS5)。
The path is broken down into partial paths, that is, sub-paths at the branch / merging points X and Y (step S5).

【0047】さらに、各サブパス毎に、同サブパスを構
成するネットのスラック値の和から、同サブパスのサブ
パススラックを求める(ステップS6)。
Further, for each sub-path, a sub-path slack of the same sub-path is determined from the sum of the slack values of the nets constituting the same sub-path (step S6).

【0048】分岐・合流点X,Yを共有するサブパス間
の該サブパススラックの差をΔサブパススラックとして
求める。そして、分岐・合流点X,Yを共有するサブパ
スの中で最小サブパススラックを関係するサブパスに対
する制約として設定する(ステップS7)。
The difference of the subpath slack between the subpaths sharing the branching / converging points X and Y is determined as Δsubpath slack. Then, the minimum subpath slack among the subpaths sharing the branch / confluence points X and Y is set as a constraint on the related subpath (step S7).

【0049】分岐・合流点がないサブパスは、同サブパ
スに対するサブパススラックを最小サブパススラックと
し、Δサブパススラックはゼロとする。図5にサブパス
スラックおよびΔサブパススラックの設定例を示す。
For a subpath having no branch / merge point, the subpath slack for the same subpath is set to the minimum subpath slack, and the Δ subpath slack is set to zero. FIG. 5 shows a setting example of the subpath slack and the Δsubpath slack.

【0050】図中、ΔT1=Δt6+Δt7+Δt8,
ΔT2=Δt4+Δt5,ΔT3=Δt9+Δt10,
ΔT4=Δt1+Δt2,Δt3が、サブパススラック
である。また、Δa=ΔT1−{Δt3+min (ΔT
2,ΔT3)},Δb=ΔT2−ΔT3,Δc=0が、
Δサブパススラックとなる。
In the figure, ΔT1 = Δt6 + Δt7 + Δt8,
ΔT2 = Δt4 + Δt5, ΔT3 = Δt9 + Δt10,
ΔT4 = Δt1 + Δt2, Δt3 is a subpath slack. Δa = ΔT1− {Δt3 + min (ΔT
2, ΔT3)}, Δb = ΔT2-ΔT3, Δc = 0,
It becomes Δ sub-path slack.

【0051】この様な、パス遅延制約の設定方法を用い
ると、サブパススラックが最小のサブパス、すなわち遅
延時間の余裕が最小のパスが容易に認識出来る。
By using such a path delay constraint setting method, it is possible to easily recognize a subpath having a minimum subpath slack, that is, a path having a minimum delay time margin.

【0052】また、分岐・合流点X,Yがある場合に
は、Δサブパススラックが最も大きい部分に着目すれ
ば、効率よくタイミングの最適化を図る事が出来る。こ
のことから、Δサブパススラックは、どのサブパスの遅
延時間が大きいかを判断するための指標といえる。
When there are branch points X and Y, the timing can be efficiently optimized by focusing on the portion where the Δ subpath slack is the largest. From this, it can be said that the Δ subpath slack is an index for determining which subpath has a longer delay time.

【0053】従って、サブパススラックが最も小さいサ
ブパスを優先的に処理を行い、どれも同じ値であった場
合、Δサブパススラックが最も大きなサブパスから処理
を施せば、効率よくタイミングを最適とするレイアウト
結果を得る事が出来る。
Therefore, the sub-path with the smallest sub-path slack is preferentially processed, and if all the sub-paths have the same value, the processing is performed from the sub-path with the largest Δ sub-path slack, and the layout result that optimizes the timing efficiently is obtained. Can be obtained.

【0054】第2の発明 図6,7は、第2の発明の処理手順を示すフローチャー
トである。
Second Invention FIGS. 6 and 7 are flowcharts showing the processing procedure of the second invention.

【0055】まず、電気的特性を考慮するセル配置に必
要な情報を入力する(ステップS11)。これは、セル
同士の接続関係、各セル・各配線の電気的特性、信号伝
搬遅延の上限値(タイミングに関する要求仕様)であ
る。各セルの電気的特性とは、セルの内部遅延、出力抵
抗、入力容量であり、配線の電気的特性とは、配線単位
長さ当たりのシート容量(これは、配線層、配線幅など
によって違う)である。
First, information necessary for cell arrangement in consideration of electrical characteristics is input (step S11). This is the connection relationship between cells, the electrical characteristics of each cell and each wiring, and the upper limit value of signal propagation delay (required specifications regarding timing). The electrical characteristics of each cell are the internal delay, output resistance, and input capacitance of the cell, and the electrical characteristics of the wiring are the sheet capacitance per wiring unit length (this depends on the wiring layer, wiring width, etc. ).

【0056】信号伝搬遅延時間は以下のように算出され
る。
The signal propagation delay time is calculated as follows.

【0057】[0057]

【数1】 この式について、図8(A),(B)を用いて説明す
る。図(A)に示すF.F.(フリップフロップ/記憶
素子)からF.F.までのパスが、算出される信号伝搬
遅延時間の対象となるパスであり、(B)に示す回路が
(A)のパスを構成するネットである。(B)におい
て、入力容量がCi、シート容量・配線長がCL 、出力
抵抗がRoであり、2NORによる遅延が内部遅延とな
る。
(Equation 1) This equation will be described with reference to FIGS. F. shown in FIG. F. (Flip-flop / storage element) to F.R. F. The path up to is the target path for the calculated signal propagation delay time, and the circuit shown in (B) is the net constituting the path in (A). In (B), the input capacitance is Ci, the sheet capacitance / wiring length is C L , the output resistance is Ro, and the delay due to 2NOR is the internal delay.

【0058】このような各ネット及び各セルによるC
i,CL ,Ro、内部遅延の総和から(A)のパスの信
号伝搬遅延時間を算出する。
C by such nets and cells
The signal propagation delay time of the path (A) is calculated from the sum of i, C L , Ro, and the internal delay.

【0059】この信号伝搬時間と、与えられた遅延の上
限値をステップS16で比較して、電気的特性を満足し
ているかどうかを確認する。
The signal propagation time is compared with the given upper limit value of the delay in step S16 to confirm whether or not the electrical characteristics are satisfied.

【0060】初期配置(ステップS12)は、各セルの
位置を初期的に与えるものであり、一般的にはセルをラ
ンダムに並べることも多い。もし、初期配置が与えられ
ていなければ、以降の処理で、最大遅延を持つクリティ
カルパスのブランチスラックが大きい部分(の都合を最
優先に)から配置していく。
The initial arrangement (step S12) initially gives the position of each cell. Generally, cells are often arranged at random. If the initial arrangement has not been given, in the subsequent processing, the critical path having the maximum delay is arranged from a portion where the branch slack is large (the highest priority is given to convenience).

【0061】初期配置が与えられていても、いなくて
も、何らかの方法で、配線長を見積もる(ステップS1
3)。正確な配線長は配線処理を行わないとわからない
が、通常はネットに接続するセルの最外矩形により見積
ることが多い。
Whether or not the initial layout is given, the wiring length is estimated by some method (step S1).
3). Although the exact wiring length cannot be known without performing wiring processing, it is usually estimated by the outermost rectangle of the cell connected to the net.

【0062】次に、ブランチスラック法によるグラフ表
現を行う(ステップS14)。
Next, a graph is represented by the branch slack method (step S14).

【0063】図9(B)は(A)のクリティカルパス
を、ブランチスラック法でグラフ表現した例である(た
だし、実際はより複雑なネットワーク状になってい
る)。
FIG. 9B shows an example in which the critical path of FIG. 9A is represented by a graph using the branch slack method (however, the network is actually more complicated).

【0064】(B)において、グラフの各エッジ(直線
矢印)には、そのネットによる配線遅延を、各ノード
(丸印)にはそのセルからフリップフロップへの最大信
号伝搬時間を、同じノードから分岐している2本のエッ
ジの間には各エッジをとおったときの最大信号伝搬時間
の差(ブランチスラック)をラベリングしてある。図9
(B)における最大信号伝搬時間は、30である。
In (B), each edge (straight arrow) of the graph indicates the wiring delay due to the net, each node (circle) indicates the maximum signal propagation time from the cell to the flip-flop, and The difference (branch slack) between the maximum signal propagation times when passing through each edge is labeled between the two branched edges. FIG.
The maximum signal propagation time in (B) is 30.

【0065】このグラフを作るためには、フリップフロ
ップから信号の流れとは逆にネットをたどり、あるセル
からそのフリップフロップまでの遅延時間を順次格納し
ていく。それが終わったところで、各セルから出ている
エッジの間のブランチスラックを求め、そのエッジをと
おったときのディレイの大きい順にエッジを並べてお
く。
In order to create this graph, a net is traced in the opposite direction to the flow of a signal from a flip-flop, and delay times from a certain cell to the flip-flop are sequentially stored. At the end of this, branch slack between edges protruding from each cell is obtained, and the edges are arranged in descending order of delay when passing through the edge.

【0066】最大ディレイのパスP1(図9(A)のパ
ス)は、各セルの中で、フリップフロップまでの信号伝
搬時間のいちばん大きなものから始まり、それをとおっ
たときのディレイのいちばん大きなエッジを順にフリッ
プフロップまでたどることで求められる(ステップS1
5)。
The path P1 of the maximum delay (the path in FIG. 9A) starts with the largest signal propagation time to the flip-flop in each cell, and has the largest edge of the delay when passing through it. Are sequentially traced to the flip-flop (step S1).
5).

【0067】この後、信号伝搬遅延の要求仕様を満たす
かどうかをチェックし(ステップS16)、満たしてい
なかったらセルの移動処理を行う(ステップS17)。
パスP1についての移動処理を、図7のフローチャート
を参照に説明する。
Thereafter, it is checked whether or not the required specification of the signal propagation delay is satisfied (step S16). If not, the cell is moved (step S17).
The movement process for the path P1 will be described with reference to the flowchart in FIG.

【0068】まず、P1内の各エッジにブランチスラッ
クの大小により”大”、”小”のラベルを付ける(ステ
ップS171)。例えば、あるしきい値から上であるか
どうかにより、ラベルを付ける。
First, labels "large" and "small" are attached to each edge in P1 according to the magnitude of branch slack (step S171). For example, a label is given depending on whether the value is above a certain threshold.

【0069】パスのソース側から探索して、”大”のラ
ベルのついているネットの部分パスを抽出する(ステッ
プS172)。
A search is made from the source side of the path to extract a partial path of the net labeled "large" (step S172).

【0070】抽出された部分パスのネットのうち、ブラ
ンチスラックが大きい順に、それにつながるセルを移動
していく(ステップS173)。移動方向は、パスを整
形する方向であり(文献3参照)、配線ディレイを小さ
くする方向である。ステップS173の処理を1つの部
分パスの各セルについて繰り返し(loop2)。
In the extracted partial path nets, cells connected to the branch slack are moved in descending order of branch slack (step S173). The moving direction is a direction for shaping the path (see Document 3), and is a direction for reducing the wiring delay. The process of step S173 is repeated for each cell of one partial path (loop 2).

【0071】1つの部分パスについてのセルの移動処理
が終わったところで、P1をさらに探索して”大”のラ
ベルのついているネットのシーケンスを抽出し、処理を
繰り返す(loop1)。
When the cell moving process for one partial path is completed, P1 is further searched to extract a sequence of nets labeled "large", and the process is repeated (loop1).

【0072】もうこれ以上P1が探索できなければ、グ
ラフの各値を更新し(ステップS18)、最大ディレイ
のパスP1を求めなおし、処理を進める。
If P1 cannot be searched any longer, each value of the graph is updated (step S18), the path P1 of the maximum delay is obtained again, and the process proceeds.

【0073】以上のように、第2の発明は、クリティカ
ルパスをグラフの形で表現し、次にクリティカルになる
パスとの関係も容易に表現できるので、パス解析を行い
ながら配置処理を行うのと同じこととなり、配置後に、
新たなクリティカルパスが出てくることがない。
As described above, according to the second invention, the critical path is expressed in the form of a graph, and the relationship with the next critical path can be easily expressed. Is the same as
There is no new critical path.

【0074】セルの位置の移動により、グラフを更新す
る場合でも、グラフの構造は変えることなく、グラフの
要素についているラベル(値)を更新するだけなので、
処理時間が短い。
Even when the graph is updated by moving the cell position, the labels (values) of the graph elements are updated without changing the graph structure.
Processing time is short.

【0075】さらに、ブランチスラックが大きい部分か
らセルの移動を行っているので、より更新の手間が少な
くてすむ。また、いたずらに、パス制約を増やすのでは
なく、常にいちばんクリティカルなパスを処理対象とし
ているため、処理時間を短縮でき、チップ面積を増大さ
せることがない。
Further, since the cell is moved from the portion where the branch slack is large, the trouble of updating is further reduced. In addition, since the most critical path is always processed, instead of unnecessarily increasing the path constraint, the processing time can be reduced and the chip area does not increase.

【0076】[0076]

【発明の効果】第1の発明によるレイアウト設計方法に
よれば、タイミング的に最もクリティカルな部分パスが
容易に抽出出来、タイミング最適化が効率よく実行可能
である。また、レイアウト処理を施す事によりネット遅
延が変化した場合には、逐次Δサブパススラックを更新
する事で、常にタイミング的に最もクリティカルな部分
パスの抽出が可能である。
According to the layout design method of the first invention, the most critical partial path in terms of timing can be easily extracted, and the timing can be optimized efficiently. In addition, when the net delay changes due to the layout processing, the Δsubpath slack is successively updated, so that the most critical partial path in terms of timing can always be extracted.

【0077】また、第2の発明のレイアウト方法によれ
ば、ブランチスラック法を適用したので、配置処理の際
にクリティカルパスに対する配線長を短縮させて電気的
特性を十分に考慮できると共に、レイアウト設計時間を
短縮でき、かつチップ面積の増大を防ぐことができる。
According to the layout method of the second aspect of the present invention, since the branch slack method is applied, the wiring length for the critical path can be shortened during the placement process, and the electrical characteristics can be sufficiently taken into consideration. The time can be reduced, and an increase in chip area can be prevented.

【図面の簡単な説明】[Brief description of the drawings]

【図1】第1の発明の処理手順を示すフローチャート。FIG. 1 is a flowchart showing a processing procedure of the first invention.

【図2】分岐・合流点があるパスの例。FIG. 2 is an example of a path having a branch / merge point.

【図3】ネットへの遅延時間の割り当て方を説明するた
めのパスの例。
FIG. 3 is an example of a path for explaining how to assign a delay time to a net.

【図4】ネットスラックを各ネットに割り当てたパスの
例。
FIG. 4 is an example of a path in which net slack is assigned to each net.

【図5】サブパススラックの設定例を示すパスの例。FIG. 5 is an example of a path showing an example of setting a sub path slack.

【図6】第2の発明の処理手順を示すフローチャート。FIG. 6 is a flowchart showing a processing procedure according to the second invention.

【図7】セルの移動処理の処理手順を示すフローチャー
ト。
FIG. 7 is a flowchart showing a procedure of a cell moving process.

【図8】パスの信号伝搬時間を説明するための回路図。FIG. 8 is a circuit diagram for explaining a signal propagation time of a path.

【図9】ブランチスラック法によるパスのグラフ表現の
例。
FIG. 9 is an example of a graph representation of a path by a branch slack method.

【符号の説明】[Explanation of symbols]

T1,T2,T3 クリティカルパス X,Y 分岐・合流点 Δt1〜Δt10 ネットスラック ΔT1,ΔT2,ΔT3,ΔT4,Δt3 サブパスス
ラック Δa,Δb,Δc Δサブパススラック
T1, T2, T3 Critical path X, Y Branching / merging point Δt1 to Δt10 Net slack ΔT1, ΔT2, ΔT3, ΔT4, Δt3 Sub path slack Δa, Δb, Δc Δ sub path slack

───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平3−123056(JP,A) 特開 平3−108358(JP,A) 特開 平5−151317(JP,A) 特開 平5−109896(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/82 H01L 27/118 ────────────────────────────────────────────────── ─── Continuation of the front page (56) References JP-A-3-123056 (JP, A) JP-A-3-108358 (JP, A) JP-A-5-151317 (JP, A) JP-A-5-151317 109896 (JP, A) (58) Field surveyed (Int. Cl. 7 , DB name) H01L 21/82 H01L 27/118

Claims (2)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 半導体集積回路のレイアウト設計の際
に、 フリップフロップ間およびフリップフロップとチップの
I/O間を結ぶセルとネットの連鎖からなるパスに対し
て要求される遅延時間を、パスを構成する各ネットに割
り当て、これを各ネットに対する要求時間とし、 このネットに対する要求時間と当該ネットの予想配線長
から見積もった遅延時間との差を当該ネットのスラック
値とし、 前記パスをパスの分岐・合流点で分割してサブパスを構
成し、 各サブパスに対して同サブパスを構成するネットのスラ
ック値の和を同サブパスに対するスラック値とし、 前記パスに分岐・合流点がある場合、分岐・合流点を共
有するサブパス間のスラック値の差をΔサブパススラッ
クとし、 分岐・合流点を共有するサブパスの中の最小スラック値
を各サブパスに対する遅延制約として設定することを特
徴とするレイアウト設計方法。
In a layout design of a semiconductor integrated circuit, a delay time required for a path consisting of a chain of cells and a net connecting between flip-flops and between a flip-flop and an I / O of a chip is defined as a path. Allocated to each of the constituent nets, this is the required time for each net, the difference between the required time for this net and the delay time estimated from the expected wiring length of the net is the slack value of the net, and the path is a branch of the path. -Divide at the junction to form sub-paths, and for each sub-path, sum the slack value of the nets that make up the sub-path as the slack value for the same sub-path. If the path has a branch / merge, branch / merge The difference of the slack value between the subpaths sharing a point is defined as Δ subpath slack, and the minimum slack value in the subpath sharing the branch / merge point Layout design method and sets the delay constraints for each subpath.
【請求項2】 半導体集積回路のレイアウト設計の際
に、 半導体集積回路を構成する論理セル間の接続関係、各セ
ルの電気的特性、各セルを接続する配線の電気的特性、
及び信号伝搬遅延時間の上限値を入力して各配線の配線
長を見積もり、 各セルをノードとし、セル間の接続関係をエッジとする
グラフを形成し、エッジに配線ディレイを、ノードにそ
のセルから出た信号が記憶素子に到達するまでの時間
を、1つのノードから出るエッジの間に、信号が各エッ
ジを伝搬して記憶素子に到達するのにかかる時間の差を
情報としてもたせ、 前記グラフをもとに最長遅延の信号経路を求め、求めら
れた信号経路上のエッジ間に与えられた信号伝搬遅延時
間の差が大きい部分経路を抽出し、 抽出された部分経路から優先的にセルを配置し直し、セ
ルを配置し直すにつれてグラフの各情報を更新し、 更新されたグラフをもとに信号伝搬遅延時間を算出し、
算出された信号伝搬遅延時間が入力された前記上限値を
満たしているかどうかを判定することを特徴とするレイ
アウト設計方法。
2. A layout design of a semiconductor integrated circuit, comprising: a connection relation between logic cells constituting the semiconductor integrated circuit; an electric characteristic of each cell; an electric characteristic of a wiring connecting each cell;
And input the upper limit value of the signal propagation delay time, estimate the wiring length of each wiring, form a graph with each cell as a node, and the connection relation between cells as an edge, a wiring delay at the edge, and a cell at the node. The time required for the signal from the node to reach the storage element is represented by the difference between the time it takes for the signal to propagate through each edge and reach the storage element between the edges leaving one node, Find the signal path with the longest delay based on the graph, extract the partial path with a large difference in the signal propagation delay time given between the edges on the determined signal path, and give priority to the cell from the extracted partial path. , And as the cells are rearranged, each information in the graph is updated. Based on the updated graph, the signal propagation delay time is calculated,
A layout design method, comprising: determining whether the calculated signal propagation delay time satisfies the input upper limit.
JP04145627A 1992-06-05 1992-06-05 Layout design method Expired - Fee Related JP3091568B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP04145627A JP3091568B2 (en) 1992-06-05 1992-06-05 Layout design method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP04145627A JP3091568B2 (en) 1992-06-05 1992-06-05 Layout design method

Publications (2)

Publication Number Publication Date
JPH05343522A JPH05343522A (en) 1993-12-24
JP3091568B2 true JP3091568B2 (en) 2000-09-25

Family

ID=15389386

Family Applications (1)

Application Number Title Priority Date Filing Date
JP04145627A Expired - Fee Related JP3091568B2 (en) 1992-06-05 1992-06-05 Layout design method

Country Status (1)

Country Link
JP (1) JP3091568B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160104B2 (en) 2003-08-08 2007-01-09 Nec Corporation Portable electronic equipment with integrated lighter

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2776402B2 (en) * 1994-08-05 1998-07-16 日本電気株式会社 Wiring route display method
JP3092664B2 (en) 1998-06-24 2000-09-25 日本電気株式会社 Design support method
JP5401376B2 (en) * 2010-03-29 2014-01-29 ルネサスエレクトロニクス株式会社 Method for designing semiconductor integrated circuit device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7160104B2 (en) 2003-08-08 2007-01-09 Nec Corporation Portable electronic equipment with integrated lighter

Also Published As

Publication number Publication date
JPH05343522A (en) 1993-12-24

Similar Documents

Publication Publication Date Title
US6651235B2 (en) Scalable, partitioning integrated circuit layout system
US6557145B2 (en) Method for design optimization using logical and physical information
US8015522B2 (en) System for implementing post-silicon IC design changes
US6480991B1 (en) Timing-driven global placement based on geometry-aware timing budgets
JP2874628B2 (en) Apparatus and method for optimizing logic circuit
US6701505B1 (en) Circuit optimization for minimum path timing violations
US5751596A (en) Automated system and method for identifying critical timing paths in integrated circuit layouts for use with automated circuit layout system
US20020184607A1 (en) Practical methodology for early buffer and wire resource allocation
US5553000A (en) Eliminating retiming bottlenecks to improve performance of synchronous sequential VLSI circuits
WO2008106347A1 (en) System and method for sign-off timing closure of a vlsi chip
US20040107411A1 (en) Adaptive power routing and shield sharing to reduce shield count
US8839173B1 (en) Engineering change order hold time fixing method
US20110185334A1 (en) Zone-based leakage power optimization
US7930668B1 (en) Placement and routing using inhibited overlap of expanded areas
US5452228A (en) Path delay allocation method in the physical hierarchy
US6543032B1 (en) Method and apparatus for local resynthesis of logic trees with multiple cost functions
US5978572A (en) LSI wire length estimation and area estimation
US6510542B1 (en) Method of placing a repeater cell in an electricalcircuit
US10891411B2 (en) Hierarchy-driven logical and physical synthesis co-optimization
JP3091568B2 (en) Layout design method
CN116738925B (en) FPGA detailed layout method and system
Ajami et al. Post-layout timing-driven cell placement using an accurate net length model with movable steiner points
JP3251686B2 (en) Automatic wiring method for integrated circuits
US6637011B1 (en) Method and apparatus for quick search for identities applicable to specified formula
US5825659A (en) Method for local rip-up and reroute of signal paths in an IC design

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080721

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090721

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090721

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100721

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110721

Year of fee payment: 11

LAPS Cancellation because of no payment of annual fees