US20040107411A1 - Adaptive power routing and shield sharing to reduce shield count - Google Patents

Adaptive power routing and shield sharing to reduce shield count Download PDF

Info

Publication number
US20040107411A1
US20040107411A1 US10/610,830 US61083003A US2004107411A1 US 20040107411 A1 US20040107411 A1 US 20040107411A1 US 61083003 A US61083003 A US 61083003A US 2004107411 A1 US2004107411 A1 US 2004107411A1
Authority
US
United States
Prior art keywords
lines
power
shield
line
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US10/610,830
Other versions
US7197736B2 (en
Inventor
Prashant Saxena
Satyanarayan Gupta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/610,830 priority Critical patent/US7197736B2/en
Publication of US20040107411A1 publication Critical patent/US20040107411A1/en
Application granted granted Critical
Publication of US7197736B2 publication Critical patent/US7197736B2/en
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the invention relates to integrated circuit layout. More particularly, the invention relates to area-efficient techniques for placing and routing signal nets and power and ground supply lines in an integrated device layout to control electrical cross-coupling between adjacent signal lines.
  • Vcc power supply
  • Vss ground lines
  • Shielding can be accomplished by placing sensitive signal nets adjacent to pre-existing power lines or by adding power lines adjacent to the sensitive signal lines for the purpose of shielding.
  • the He Paper discloses a technique for integrated shielding and signal net ordering.
  • the He Paper focuses on signal net ordering and shield insertion primarily for inductive noise under a simplistic model and is not well suited to layouts containing may prerouted signal nets and shields, which is common.
  • the He Paper does not address either shield sharing or power grid perturbation.
  • FIG. 1 is one embodiment of a flow diagram for power supply and signal net routing.
  • FIG. 2 illustrates a block diagram of one embodiment of an electronic system.
  • FIG. 3 a illustrates a sample routing of signal nets and power lines using traditional routing methodologies.
  • FIG. 3 b illustrates the routing of the signal nets and of power lines using adaptive power routing and shield sharing to reduce shield count.
  • FIG. 4 illustrates adaptive power routing in an integrated circuit design block.
  • Adaptive Power Routing and “Shield Sharing To Reduce Shield Count,” that allow power routing and signal routing to be integrated in a manner to provide more efficient and compact layout of design blocks as compared to traditional techniques.
  • Adaptive power routing refers to a technique that allows the completion of power routing to be postponed to the signal routing phase, at which time signal shielding requirements are also used to complete the power routing along with predefined power delivery constraints.
  • design block refers to a collection of circuit elements.
  • Shield sharing to reduce shield count refers to a technique to use previously routed power lines more efficiently and to insert a reduced number of additional power lines so as to satisfy the shielding requirements of delay- and/or noise-sensitive signals. In one embodiment, this can be accomplished efficiently in a gridless routing environment. This technique along with adaptive power routing allows routing in regions containing sensitive signals (e.g., dynamic logic circuits) to be completed using less die area than would be required with traditional routing methodologies and algorithms.
  • sensitive signals e.g., dynamic logic circuits
  • FIG. 1 is a flow diagram of one embodiment of a technique for power supply and signal net routing. If a local region has insufficient shields subsequent to signal routing, additional power lines can be inserted as part of the adaptive power routing in order to maintain power delivery integrity.
  • the timing and noise characteristics of the circuit are analyzed to determine wire and device sizes as well as the shielding requirements for signal nets, 100 .
  • Signal nets are ordered by the degree of freedom in their placement and by their shielding requirements, 110 .
  • the degree of freedom of a signal net is determined by the number of available tracks within the cumulative span of its driver(s) and by the number of shields required by the signal net.
  • the most constrained signal net is routed gridlessly along with any associated shields, 120 .
  • each signal net is placed so as to reuse previously routed shields as much as possible.
  • the ordering of the signal nets is dynamically updated, 110 , with the most constrained remaining signal net and shields being routed at each stage, 120 .
  • the reordering and placement of signal nets and associated shields, if any, is repeated until all signal nets are routed, 130 .
  • the polarity of the shields is unassigned.
  • the polarity of the existing power lines as well as existing and newly inserted shield lines is assigned in a manner to satisfy the power pitch, 140 .
  • the power pitch is the maximum allowable distance between adjacent power lines of opposite polarities for a particular manufacturing process.
  • the power pitch is a predetermined value that is known prior to layout of signal nets and power lines. If assigning of polarities to the shield lines does not provide enough power lines to satisfy the power pitch, new power lines are inserted, 150 .
  • the region as defined by a previous power line and the routing track one power pitch beyond that power line is iteratively searched for shields starting from the far end of the region and moving toward the existing power line. If a shield is found, that shield is treated as part of the power grid and assigned a polarity opposite of the previous power line.
  • the separation between the existing power line and the new power line is no greater than the power pitch in this case, thus ensuring power delivery integrity. More significantly, no new power line needs to be added in this case in contrast to traditional routing methodologies.
  • a new power line is explicitly added, 160 , only if no shield exists within the power pitch region.
  • the techniques described herein provide more efficient routing completion than traditional techniques by allowing the power lines to be assigned to any unused track over a region of equal width to the power pitch.
  • traditional non-adaptive power routing requires that the power line be added to a considerably smaller region.
  • the number of power lines in the layout is less than a corresponding layout using traditional methodologies, which results in a more compact layout. 1
  • the shields that have not had polarities assigned are assigned, 170 .
  • these shields are assigned Vss; however, these shields can also be assigned Vcc.
  • FIG. 4 illustrates an integrated circuit design block.
  • Integrated design block 400 includes multiple signal nets and their associated shield lines that are not illustrated for reasons of simplicity of description.
  • a power grid is extracted from the existing shield lines as much as possible with additional power lines being explicitly added only where necessary to satisfy power delivery requirements.
  • extraction of a power grid from shield lines placed in integrated circuit design block 400 starts at boundary 460 .
  • Any boundary can be used as a starting point and non-boundary starting points can also be used.
  • a first region is defined with respect to boundary 460 . In one embodiment, the first region is one half of a power pitch ( ⁇ 2 ) ;
  • the first region can be defined in another manner.
  • the first region is searched starting at boundary (dashed line) 420 opposite starting boundary 460 .
  • the dashed lines of FIG. 4 are for illustration purposes only and are not part of the integrated circuit design block layout.
  • the tracks of the first region are searched for a shield line that is the greatest distance from boundary 460 .
  • shield line 410 is the shield line within the first region that is the greatest distance from boundary 460 .
  • Shield line 410 is used as part of the power grid and assigned a polarity (either Vcc or Vss).
  • a second region of design block 400 is defined based on shield/power line 410 as the starting boundary.
  • regions beyond the first region are defined as a power pitch ( ⁇ ) or the boundary of the design block, whichever is smaller.
  • the second region is defined by shield/power line 410 and dashed line 440 .
  • the second region is searched from dashed line 440 toward shield/power line 410 to find a shield line that is the greatest distance from shield/power line 410 , if any.
  • shield line 430 is the shield line in the second region that is the greatest distance from shield/power line 410 .
  • Shield line 430 is used as part of the power grid and assigned a polarity opposite of shield/power line 410 .
  • a third region of design block 400 is defined based on shield/power line 430 as the starting boundary. Using a power pitch distance, the third region is defined by shield/power line 430 and dashed line 450 . As described above, the third region is searched from dashed line 450 to shield/power line 430 to find a shield line that is the greatest distance from shield/power line 430 . In the example of FIG. 4, no shield line exists in the third region. If no usable shield line exists, a power line (not shown in FIG. 4) is explicitly added to design block 400 in a vacant track in the third region that is farthest from shield/power line 430 in order to satisfy power delivery requirements.
  • FIG. 2 is a block diagram of one embodiment of an electronic system.
  • the electronic system illustrated in FIG. 2 is intended to represent a range of electronic systems, for example, computer systems, network access devices, etc. Alternative electronic systems can include more, fewer and/or different components.
  • Electronic system 200 includes bus 201 or other communication device to communicate information, and processor 202 coupled to bus 201 to process information. While electronic system 200 is illustrated with a single processor, electronic system 200 can include multiple processors and/or co-processors. Electronic system 200 further includes random access memory (RAM) or other dynamic storage device 204 (referred to as memory), coupled to bus 201 to store information and instructions to be executed by processor 202 . Memory 204 also can be used to store temporary variables or other intermediate information during execution of instructions by processor 202 .
  • RAM random access memory
  • memory 204 also can be used to store temporary variables or other intermediate information during execution of instructions by processor 202 .
  • Electronic system 200 also includes read only memory (ROM) and/or other static storage device 206 coupled to bus 201 to store static information and instructions for processor 202 .
  • Data storage device 207 is coupled to bus 201 to store information and instructions.
  • Data storage device 207 such as a magnetic disk or optical disc and corresponding drive can be coupled to electronic system 200 .
  • Electronic system 200 can also be coupled via bus 201 to display device 221 , such as a cathode ray tube (CRT) or liquid crystal display (LCD), to display information to a computer user.
  • display device 221 such as a cathode ray tube (CRT) or liquid crystal display (LCD)
  • Alphanumeric input device 222 is typically coupled to bus 201 to communicate information and command selections to processor 202 .
  • cursor control 223 is Another type of user input device, such as a mouse, a trackball, or cursor direction keys to communicate direction information and command selections to processor 202 and to control cursor movement on display 221 .
  • Electronic system 200 further includes network interface 230 to provide access to a network, such as a local area network.
  • Instructions are provided to memory from a storage device, such as magnetic disk, a read-only memory (ROM) integrated circuit, CD-ROM, DVD, via a remote connection (e.g., over a network via network interface 230 ) that is either wired or wireless providing access to one or more electronically-accessible media, etc.
  • a storage device such as magnetic disk, a read-only memory (ROM) integrated circuit, CD-ROM, DVD
  • a remote connection e.g., over a network via network interface 230
  • hard-wired circuitry can be used in place of or in combination with software instructions.
  • execution of sequences of instructions is not limited to any specific combination of hardware circuitry and software instructions.
  • An electronically-accessible medium includes any mechanism that provides (i.e., stores and/or transmits) content (e.g., computer executable instructions) in a form readable by an electronic device (e.g., a computer, a personal digital assistant, a design blockular telephone).
  • a machine-accessible medium includes read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals); etc.
  • FIGS. 3 a and 3 b illustrate signal net routing and power routing using traditional techniques and using adaptive power routing and shield sharing, respectively.
  • the solid lines represent signal nets (labeled Ni), with the numbers in parenthesis indicating the number of shields required by the associated signal nets.
  • the dotted lines represent the power lines, with the heavy dotted lines representing the power tracks assigned during the power routing phase prior to signal routing.
  • the technique described herein results in a layout like the one in FIG. 3 b .
  • the signal nets to be routed in this region are reordered so as to share as many shields as possible (e.g., between signal nets N 2 and N 4 ).
  • additional power lines are often not required (as in the lower portion of FIG. 3 b ) because power can be adequately delivered through the shields that have been routed during signal net routing.
  • an additional power track as represented by the heavy dotted line in FIG. 3 b is assigned to be Vcc or Vss, with polarity assigned as needed, to meet power delivery constraints.
  • the layout produced using the adaptive power routing and shield sharing techniques results in a more compact layout than that using traditional techniques.
  • Adaptive power routing and shield sharing thus satisfy signal routing, signal shielding and power delivery constraints in a more efficient manner than traditional techniques.
  • the signal net and power delivery routing techniques described herein are most effective when used on middle metal layers.
  • the technique is less effective when used on upper metal layers where long range matching of power networks is a stringent requirement.
  • the techniques described herein are more effective.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Described herein are two techniques referred to as “Adaptive Power Routing” and “Shield Sharing To Reduce Shield Count,” that allow power routing and signal routing to be integrated in a manner that provides more efficient and compact layout of design blocks as compared to traditional techniques. Adaptive power routing refers to completion of power routing to be postponed to the signal routing phase, at which time signal shielding requirements are also used to complete the power routing along with predefined power delivery constraints. Shield sharing optimization refers to the more efficient use of previously routed power lines and to the insertion of a reduced number of additional power lines so as to satisfy both shielding requirements and power supply requirements in a gridless environment. These two techniques allow routing in highly congested regions containing performance-critical and/or noise-sensitive signals to be manufactured using less die area than would be required with traditional routing methodologies and algorithms.

Description

    FIELD
  • The invention relates to integrated circuit layout. More particularly, the invention relates to area-efficient techniques for placing and routing signal nets and power and ground supply lines in an integrated device layout to control electrical cross-coupling between adjacent signal lines. [0001]
  • BACKGROUND
  • As processes for manufacturing integrated devices allow minimum line sizes to decrease, the potential for interconnect noise due to switching cross-coupling capacitance increases. This switching cross-coupling capacitance increases the difficulty of converging high performance circuits by widening the transition windows of signals to account for unpredictable transition states of neighboring signals, as well as by causing failures. Convergence refers to conditions under which all timing requirements are met. [0002]
  • In view of this situation, designers often attempt to reduce switching cross-coupling capacitance by shielding sensitive signal nets using power supply (Vcc) or ground (Vss) lines. The set of lines providing any combination of a positive supply voltage, a negative supply voltage and/or ground are referred to herein as “power lines.” Shielding can be accomplished by placing sensitive signal nets adjacent to pre-existing power lines or by adding power lines adjacent to the sensitive signal lines for the purpose of shielding. [0003]
  • With the effects of switching cross-coupling capacitance increasing with each generation of integrated device manufacturing processes, the proportion of signals requiring shielding, and consequently the area used by the shields also increases. Because design blocks layouts are often wire-limited, an increase in the number of power lines required solely for shielding increases the die area required to manufacture the integrated device. [0004]
  • Furthermore, detailed shielding requirements are available only at late stages in the design process, at which time the die area available to lay out each converged design block may already have been allocated. In such a scenario, if the layout of a converged design block cannot be carried out in its planned area, extensive delays can be incurred due to redesign of the surrounding design blocks. [0005]
  • L. He, “Simultaneous Shield Insertion and Net Ordering for Capacitive and Inductive Coupling Minimization,” Proc. ISPD'00 (hereinafter “the He Paper”) discloses a technique for integrated shielding and signal net ordering. However, the He Paper focuses on signal net ordering and shield insertion primarily for inductive noise under a simplistic model and is not well suited to layouts containing may prerouted signal nets and shields, which is common. Furthermore, the He Paper does not address either shield sharing or power grid perturbation. [0006]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings in which like reference numerals refer to similar elements. [0007]
  • FIG. 1 is one embodiment of a flow diagram for power supply and signal net routing. [0008]
  • FIG. 2 illustrates a block diagram of one embodiment of an electronic system. [0009]
  • FIG. 3[0010] a illustrates a sample routing of signal nets and power lines using traditional routing methodologies.
  • FIG. 3[0011] b illustrates the routing of the signal nets and of power lines using adaptive power routing and shield sharing to reduce shield count.
  • FIG. 4 illustrates adaptive power routing in an integrated circuit design block. [0012]
  • DETAILED DESCRIPTION
  • Techniques for power supply routing in an integrated device such that the power supply lines are used to shield signal lines are described. In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of the invention. It will be apparent, however, to one skilled in the art that the invention can be practiced without these specific details. In other instances, structures and devices are shown in block diagram form in order to avoid obscuring the invention. [0013]
  • Reference in the specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. The appearances of the phrase “in one embodiment” in various places in the specification are not necessarily all referring to the same embodiment. [0014]
  • It has been noted by design engineers in the field of integrated circuit (IC) design that process migration often results in an increase in interconnect noise induced due to switching cross-capacitance, which results in increasing difficulty in designing functional circuits. Furthermore, switching cross-capacitance increases the difficulty of converging high performance circuits by widening the transition windows of signals to account for unpredictable transition states of neighboring signals. [0015]
  • In view of these factors, circuit designers attempt to minimize switching cross-capacitance by shielding sensitive signal nets using power supply (Vcc) and ground (Vss) lines (collectively “power lines”). As described herein, by integrating two traditionally disjoint phases of the layout process (viz., power routing and signal routing) in a way that satisfies both shielding and power delivery integrity constrains while using a reduced number of power lines, the disadvantages of traditional technologies can be overcome. [0016]
  • Described herein are two techniques referred to as “Adaptive Power Routing” and “Shield Sharing To Reduce Shield Count,” that allow power routing and signal routing to be integrated in a manner to provide more efficient and compact layout of design blocks as compared to traditional techniques. Adaptive power routing refers to a technique that allows the completion of power routing to be postponed to the signal routing phase, at which time signal shielding requirements are also used to complete the power routing along with predefined power delivery constraints. The phrase “design block” refers to a collection of circuit elements. [0017]
  • Shield sharing to reduce shield count refers to a technique to use previously routed power lines more efficiently and to insert a reduced number of additional power lines so as to satisfy the shielding requirements of delay- and/or noise-sensitive signals. In one embodiment, this can be accomplished efficiently in a gridless routing environment. This technique along with adaptive power routing allows routing in regions containing sensitive signals (e.g., dynamic logic circuits) to be completed using less die area than would be required with traditional routing methodologies and algorithms. [0018]
  • In general, unlike traditional routing methodologies where power routing is completed prior to signal routing, the technique described herein results in a postponement of detailed power routing until later in the layout flow by integrating the detailed power routing adaptively into signal routing as described with respect to FIG. 1, which is a flow diagram of one embodiment of a technique for power supply and signal net routing. If a local region has insufficient shields subsequent to signal routing, additional power lines can be inserted as part of the adaptive power routing in order to maintain power delivery integrity. [0019]
  • Prior to layout, the timing and noise characteristics of the circuit are analyzed to determine wire and device sizes as well as the shielding requirements for signal nets, [0020] 100. Signal nets are ordered by the degree of freedom in their placement and by their shielding requirements, 110. In one embodiment, the degree of freedom of a signal net is determined by the number of available tracks within the cumulative span of its driver(s) and by the number of shields required by the signal net.
  • In one embodiment, the most constrained signal net is routed gridlessly along with any associated shields, [0021] 120. Using the ordering described above, each signal net is placed so as to reuse previously routed shields as much as possible. During this process, 125, the ordering of the signal nets is dynamically updated, 110, with the most constrained remaining signal net and shields being routed at each stage, 120. The reordering and placement of signal nets and associated shields, if any, is repeated until all signal nets are routed, 130. At this stage, the polarity of the shields is unassigned.
  • The polarity of the existing power lines as well as existing and newly inserted shield lines is assigned in a manner to satisfy the power pitch, [0022] 140. The power pitch is the maximum allowable distance between adjacent power lines of opposite polarities for a particular manufacturing process. The power pitch is a predetermined value that is known prior to layout of signal nets and power lines. If assigning of polarities to the shield lines does not provide enough power lines to satisfy the power pitch, new power lines are inserted, 150.
  • In one embodiment, the region as defined by a previous power line and the routing track one power pitch beyond that power line is iteratively searched for shields starting from the far end of the region and moving toward the existing power line. If a shield is found, that shield is treated as part of the power grid and assigned a polarity opposite of the previous power line. Thus, the separation between the existing power line and the new power line is no greater than the power pitch in this case, thus ensuring power delivery integrity. More significantly, no new power line needs to be added in this case in contrast to traditional routing methodologies. [0023]
  • A new power line is explicitly added, [0024] 160, only if no shield exists within the power pitch region. Even in this case, the techniques described herein provide more efficient routing completion than traditional techniques by allowing the power lines to be assigned to any unused track over a region of equal width to the power pitch. In contrast, traditional non-adaptive power routing requires that the power line be added to a considerably smaller region. Thus, the number of power lines in the layout is less than a corresponding layout using traditional methodologies, which results in a more compact layout. 1
  • The shields that have not had polarities assigned are assigned, [0025] 170. In one embodiment, these shields are assigned Vss; however, these shields can also be assigned Vcc.
  • FIG. 4 illustrates an integrated circuit design block. [0026] Integrated design block 400 includes multiple signal nets and their associated shield lines that are not illustrated for reasons of simplicity of description. In one embodiment, after signal nets and associated shield lines are routed, a power grid is extracted from the existing shield lines as much as possible with additional power lines being explicitly added only where necessary to satisfy power delivery requirements.
  • In one embodiment, extraction of a power grid from shield lines placed in integrated circuit design block [0027] 400 starts at boundary 460. Any boundary can be used as a starting point and non-boundary starting points can also be used. A first region is defined with respect to boundary 460. In one embodiment, the first region is one half of a power pitch ( λ 2 ) ;
    Figure US20040107411A1-20040603-M00001
  • however, the first region can be defined in another manner. [0028]
  • The first region is searched starting at boundary (dashed line) [0029] 420 opposite starting boundary 460. The dashed lines of FIG. 4 are for illustration purposes only and are not part of the integrated circuit design block layout. The tracks of the first region are searched for a shield line that is the greatest distance from boundary 460. In the example of FIG. 4, shield line 410 is the shield line within the first region that is the greatest distance from boundary 460. Shield line 410 is used as part of the power grid and assigned a polarity (either Vcc or Vss).
  • A second region of [0030] design block 400 is defined based on shield/power line 410 as the starting boundary. In one embodiment, regions beyond the first region are defined as a power pitch (λ) or the boundary of the design block, whichever is smaller. Thus, the second region is defined by shield/power line 410 and dashed line 440.
  • The second region is searched from dashed [0031] line 440 toward shield/power line 410 to find a shield line that is the greatest distance from shield/power line 410, if any. In the example of FIG. 4, shield line 430 is the shield line in the second region that is the greatest distance from shield/power line 410. Shield line 430 is used as part of the power grid and assigned a polarity opposite of shield/power line 410.
  • A third region of [0032] design block 400 is defined based on shield/power line 430 as the starting boundary. Using a power pitch distance, the third region is defined by shield/power line 430 and dashed line 450. As described above, the third region is searched from dashed line 450 to shield/power line 430 to find a shield line that is the greatest distance from shield/power line 430. In the example of FIG. 4, no shield line exists in the third region. If no usable shield line exists, a power line (not shown in FIG. 4) is explicitly added to design block 400 in a vacant track in the third region that is farthest from shield/power line 430 in order to satisfy power delivery requirements.
  • In one embodiment, the technique described herein is implemented as sequences of instructions executed by an electronic system. The sequences of instructions can be stored by the electronic device or the instructions can be received by the electronic device (e.g., via a network connection). FIG. 2 is a block diagram of one embodiment of an electronic system. The electronic system illustrated in FIG. 2 is intended to represent a range of electronic systems, for example, computer systems, network access devices, etc. Alternative electronic systems can include more, fewer and/or different components. [0033]
  • [0034] Electronic system 200 includes bus 201 or other communication device to communicate information, and processor 202 coupled to bus 201 to process information. While electronic system 200 is illustrated with a single processor, electronic system 200 can include multiple processors and/or co-processors. Electronic system 200 further includes random access memory (RAM) or other dynamic storage device 204 (referred to as memory), coupled to bus 201 to store information and instructions to be executed by processor 202. Memory 204 also can be used to store temporary variables or other intermediate information during execution of instructions by processor 202.
  • [0035] Electronic system 200 also includes read only memory (ROM) and/or other static storage device 206 coupled to bus 201 to store static information and instructions for processor 202. Data storage device 207 is coupled to bus 201 to store information and instructions. Data storage device 207 such as a magnetic disk or optical disc and corresponding drive can be coupled to electronic system 200.
  • [0036] Electronic system 200 can also be coupled via bus 201 to display device 221, such as a cathode ray tube (CRT) or liquid crystal display (LCD), to display information to a computer user. Alphanumeric input device 222, including alphanumeric and other keys, is typically coupled to bus 201 to communicate information and command selections to processor 202. Another type of user input device is cursor control 223, such as a mouse, a trackball, or cursor direction keys to communicate direction information and command selections to processor 202 and to control cursor movement on display 221. Electronic system 200 further includes network interface 230 to provide access to a network, such as a local area network.
  • Instructions are provided to memory from a storage device, such as magnetic disk, a read-only memory (ROM) integrated circuit, CD-ROM, DVD, via a remote connection (e.g., over a network via network interface [0037] 230) that is either wired or wireless providing access to one or more electronically-accessible media, etc. In alternative embodiments, hard-wired circuitry can be used in place of or in combination with software instructions. Thus, execution of sequences of instructions is not limited to any specific combination of hardware circuitry and software instructions.
  • An electronically-accessible medium includes any mechanism that provides (i.e., stores and/or transmits) content (e.g., computer executable instructions) in a form readable by an electronic device (e.g., a computer, a personal digital assistant, a design blockular telephone). For example, a machine-accessible medium includes read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals); etc. [0038]
  • To illustrate the results of signal net routing and power routing using the techniques described herein, FIGS. 3[0039] a and 3 b illustrate signal net routing and power routing using traditional techniques and using adaptive power routing and shield sharing, respectively. In FIGS. 3a and 3 b, the solid lines represent signal nets (labeled Ni), with the numbers in parenthesis indicating the number of shields required by the associated signal nets. In FIG. 3a, the dotted lines represent the power lines, with the heavy dotted lines representing the power tracks assigned during the power routing phase prior to signal routing.
  • Traditional routing methodologies tend to produce trace assignments similar to FIG. 3[0040] a because these methodologies do not order the signal nets according to shielding requirements to reduce the overall number of shields required. Thus, once signal net N1 has been routed, signal net N2 is routed in the next available track along with any required shields.
  • In contrast, the technique described herein results in a layout like the one in FIG. 3[0041] b. Using this methodology, the signal nets to be routed in this region are reordered so as to share as many shields as possible (e.g., between signal nets N2 and N4). As a result, additional power lines are often not required (as in the lower portion of FIG. 3b) because power can be adequately delivered through the shields that have been routed during signal net routing.
  • However, because signal routing and the associated shield placement does not provide adequate power to the top portion of the layout of FIG. 3[0042] b, an additional power track as represented by the heavy dotted line in FIG. 3b is assigned to be Vcc or Vss, with polarity assigned as needed, to meet power delivery constraints.
  • As can be seen from FIGS. 3[0043] a and 3 b, the layout produced using the adaptive power routing and shield sharing techniques results in a more compact layout than that using traditional techniques. Adaptive power routing and shield sharing thus satisfy signal routing, signal shielding and power delivery constraints in a more efficient manner than traditional techniques.
  • The signal net and power delivery routing techniques described herein are most effective when used on middle metal layers. The technique is less effective when used on upper metal layers where long range matching of power networks is a stringent requirement. However, in layers where power networks of adjacent design blocks are generally not required to match exactly because of dense connections through the upper layers, the techniques described herein are more effective. [0044]
  • In the foregoing specification, the invention has been described with reference to specific embodiments thereof. It will, however, be evident that various modifications and changes can be made thereto without departing from the broader spirit and scope of the invention. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense. [0045]

Claims (55)

What is claimed is:
1. A method comprising:
determining a priority for a placement of a set of signal lines in a gridless layout of an integrated device;
placing a most constrained signal line from the set of signal lines, wherein the placement is determined based on previously routed signal lines, previously routed power lines and/or shielding requirements; and
re-determining the priority of the remaining signal lines in the set of signal lines.
2. The method of claim 1 wherein determining an order for placement of signal lines comprises ordering the signal lines based on one or more of: geometric constraints, shielding constraints and previously routed signal and/or power lines.
3. The method of claim 2 wherein placing the most constrained signal line from the set of signal lines further comprises:
placing the selected signal line in a first available track, possibly adjacent to a previously placed shield line and/or a previously placed power line; and
placing a shield line, if necessary, adjacent to the placed selected signal line according to a predetermined policy of placing shielding lines without an associated polarity.
4. The method of claim 3 wherein placing the shield, if necessary, adjacent to the placed selected signal line according to a predetermined policy comprises:
placing a shield line adjacent to the placed selected signal line if previously placed power and/or shielding lines are not available to provide shielding to the selected signal line;
analyzing unplaced signal lines from the set of signal lines to determine whether any of the unplaced signal lines requires additional shielding; and
placing the shield line such that an unplaced signal line requiring shielding can be placed adjacent to the shield line, if possible.
5. The method of claim 3 further comprising assigning polarities to the shielding lines placed without an associated polarity such that the shielding lines and/or any previously placed power lines satisfy a predetermined power pitch.
6. The method of claim 5 wherein assigning polarities to the shielding lines comprises assigning the shielding lines to be either a positive voltage supply, ground, or a negative voltage supply.
7. An integrated circuit manufactured according to the method of claim 1.
8. An integrated circuit manufactured according to the method of claim 4.
9. An integrated circuit manufactured according to the method of claim 6.
10. A method of providing adequate shielding to a congested design block of an integrated circuit layout containing critical signal nets, the method comprising:
placing signal lines for the design block according to a predetermined policy, wherein placement of the signal lines may result in movement of the previously placed power lines, and further wherein placement of the signal lines results in placement of shielding lines within the design block, the shielding lines placed without an associated polarity and adjacent to one or more critical signal lines;
providing a polarity to the shielding lines such that the combination of the power lines and the shielding lines satisfy a predetermined power pitch.
11. The method of claim 10 wherein the critical signal nets comprise noise critical signal nets.
12. The method of claim 10 wherein the critical signal nets comprise performance critical signal nets.
13. The method of claim 10 wherein placing signal lines for the design block according to a predetermined policy comprises:
determining a priority for a placement of a set of signal lines in a gridless layout of an integrated device;
placing a most constrained signal line from the set of signal lines, wherein the placement is determined based on previously routed signal lines, previously routed power lines and shielding requirements; and
re-determining the priority of the remaining signal lines in the set of signal lines.
14. An integrated circuit manufactured according to the method of claim 10.
15. A method comprising:
routing signal lines for a design block of an integrated circuit;
placing shielding lines within the design block as necessary based on sensitive signal line requirements; and
placing additional power lines as necessary to satisfy power grid requirements.
16. The method of claim 15 wherein the placing the shielding lines within the design block as necessary comprises placing the shielding lines without an associated polarity.
17. The method of claim 16 further comprising assigning polarity to the shielding lines after the signal lines and the shielding lines have been placed, the polarities being assigned such that the shielding lines and power lines satisfy a predetermined power grid condition.
18. The method of claim 15 wherein routing signal lines for a design block of an integrated circuit comprises dynamic signal line reordering.
19. The method of claim 18 wherein the dynamic signal reordering is based on one or more of: geometric constraints, shielding constraints and previously routed signal and/or power lines.
20. An integrated circuit manufactured according to the method of claim 15.
21. An article comprising a storage medium accessible by an electronic device, the storage medium to store instructions that, when executed by one or more processors, cause the one or more processors to:
determine a priority for a placement of a set of signal lines in a gridless layout of an integrated device;
place a most constrained signal line from the set of signal lines, wherein the placement is determined based on previously routed signal lines, previously routed power lines and/or shielding requirements; and
re-determine the priority of the remaining signal lines in the set of signal lines.
22. The article of claim 21 wherein the instructions that cause the one or more processors to determine an order for placement of signal lines comprises instructions that, when executed by the one or more processors, cause the one or more processors to order the signal lines based on one or more of: geometric constraints, shielding constraints and previously routed signal and/or power lines.
23. The article of claim 21 wherein the instructions that cause the one or more processors to place the most constrained signal line from the set of signal lines further comprises instruction that, when executed by the one or more processors, cause the one or more processors to:
place a selected signal line in a first available track, possibly adjacent to a previously placed shield or a previously placed power line; and
place a shield line, if necessary, adjacent to the placed selected signal line according to a predetermined policy of placing shielding lines without an associated polarity.
24. The article of claim 23 wherein the instructions that cause the one or more processors to place the shield, if necessary, adjacent to the placed selected signal line according to a predetermined policy comprises instructions that, when executed by the one or more processors, cause the one or more processors to:
place a shield line adjacent to the placed selected signal line if previously placed power and/or shielding lines are not available to provide shielding to the selected signal line;
analyze unplaced signal lines from the set of signal lines to determine whether any of the unplaced signal lines requires additional shielding; and
place the shield line such that an unplaced signal line requiring shielding can be placed adjacent to the shield line, if possible.
25. The article of claim 24 further comprising instructions that, when executed by the one or more processors, cause the one or more processors assign polarities to the shielding lines placed without an associated polarity such that the shielding lines and any previously placed power lines satisfy a predetermined power pitch.
26. The article of claim 25 wherein the instructions that cause the one or more processors to assign polarities to the shielding lines comprises instructions that, when executed by the one or more processors, cause the one or more processors to assign the shielding lines to be either a positive voltage supply, ground, or a negative voltage supply.
27. An article comprising an electronically accessible medium to store instructions to providing adequate shielding to a congested design block of an integrated circuit layout containing critical signal nets, the instructions, when executed by one or more processors, cause the one or more processors to:
place signal lines for the design block according to a predetermined policy, wherein placement of the signal lines may result in movement of the previously placed power lines, and further wherein placement of the signal lines results in placement of shielding lines within the design block, the shielding lines placed without an associated polarity and adjacent to one or more critical signal lines; and
provide a polarity to the shielding lines such that the combination of the power lines and the shielding lines satisfy a predetermined power pitch.
28. The article of claim 27 wherein the instructions that cause the one or more processors to place signal lines for the design block according to a predetermined policy comprises instructions that, when executed by the one or more processors, cause the one or more processors to:
determine a priority for a placement of a set of signal lines in a gridless layout of an integrated device;
place a most constrained signal line from the set of signal lines, wherein the placement is determined based on previously routed signal lines, previously routed power lines and shielding requirements; and
reorder the priority of the remaining signal lines in the set of signal lines.
29. An article comprising a storage medium accessible by an electronic device, the storage medium to store instructions that, when executed by one or more processors, cause the one or more processors to:
route signal lines for a design block of an integrated circuit;
place shielding lines within the design block as necessary based on sensitive signal line requirements; and
place additional power lines as necessary to satisfy power grid requirements.
30. The article of claim 29 wherein the placing the shielding lines within the design block as necessary comprises placing the shielding lines without an associated polarity.
31. The article of claim 30 further comprising instructions that, when executed by the one or more processors, cause the one or more processors to assign polarity to the shielding lines after the signal lines and the shielding lines have been placed, the polarities being assigned such that the shielding lines and power lines satisfy a predetermined power grid condition.
32. The article of claim 29 wherein routing signal lines for a design block of an integrated circuit comprises dynamic signal line reordering.
33. The article of claim 32 wherein the dynamic signal reordering is based on one or more of: geometric constraints, shielding constraints and previously routed signal and/or power lines.
34. A method comprising:
routing signal lines and associated shield lines for an integrated circuit layout, wherein power lines are not explicitly routed prior to routing the signal lines;
identifying one or more shield lines for use as power lines; and
adding additional power lines to the layout explicitly if the shield lines identified for use as power lines do not meet predetermined power delivery requirements.
35. The method of claim 34 wherein routing signal lines and associated shield lines comprises dynamically determining an order for placement based on geometric constraints, shielding constraints and/or previously routed signal and/or power lines.
36. The method of claim 34 wherein routing signal lines and associated shield lines comprises:
placing a selected signal line in a first available track, possibly adjacent to a previously placed shield line and/or a previously placed power line; and
placing a shield line, if necessary, adjacent to the placed selected signal line according to a predetermined policy of placing shield lines without an associated polarity.
37. The method of claim 34 wherein identifying one or more shield lines for use as power lines comprises:
searching a first region with respect to a first layout boundary for a shield line;
causing an identified shield line in first region, if any, to be a power line by assigning a polarity to the identified shield line;
inserting a power line in the first region if a shield line is not identified within the first region.
38. The method of claim 37 further comprising:
identifying a second region a predetermined distance beyond the first region;
searching the second region for a shield line;
causing an identified shield line in the second region, if any, to be a power line by assigning a polarity to the identified shield line, wherein the polarity is opposite of the identified shield line of the first region;
inserting a power line in the second region if a shield line is not identified within the second region.
39. The method of claim 38 wherein the predetermined distance corresponds to a power pitch distance.
40. The method of claim 38 wherein searching the second region for a shield line comprises identifying a shield line a greatest distance from the first region.
41. An integrated circuit manufactured according to the method of claim 34.
42. An integrated circuit manufactured according to the method of claim 38.
43. An article comprising an electronically-accessible medium to provide access to instructions that, when executed, cause the one or more processors to:
route signal lines and associated shield lines for an integrated circuit layout, wherein power lines are not explicitly routed prior to routing the signal lines;
identify one or more shield lines for use as power lines; and
add additional power lines to the layout explicitly if the shield lines identified for use as power lines do not meet predetermined power delivery requirements.
44. The article of claim 43 wherein the instructions that cause the one or more processors to route signal lines and associated shield lines comprises instructions that, when executed, cause the one or more processors to dynamically determine an order for placement based on geometric constraints, shielding constraints and/or previously routed signal and/or power lines.
45. The article of claim 43 wherein the instructions that cause the one or more processors to route signal lines and associated shield lines comprise instructions that, when executed, cause the one or more processors to:
place a selected signal line in a first available track, possibly adjacent to a previously placed shield line and/or a previously placed power line; and
place a shield line, if necessary, adjacent to the placed selected signal line according to a predetermined policy of placing shield lines without an associated polarity.
46. The article of claim 43 wherein the instructions that cause the one or more processors to identify one or more shield lines for use as power lines comprise instructions that, when executed, cause the one or more processors to:
search a first region with respect to a first layout boundary for a shield line;
cause an identified shield line in first region, if any, to be a power line by assigning a polarity to the identified shield line;
insert a power line in the first region if a shield line is not identified within the first region.
47. The article of claim 46 further comprising instructions that, when executed, cause the one or more processors to:
identify a second region a predetermined distance beyond the first region;
search the second region for a shield line;
cause an identified shield line in the second region, if any, to be a power line by assigning a polarity to the identified shield line, wherein the polarity is opposite of the identified shield line of the first region;
insert a power line in the second region if a shield line is not identified within the second region.
48. The article of claim 47 wherein the predetermined distance corresponds to a power pitch distance.
49. The article of claim 47 wherein searching the second region for a shield line comprises identifying a shield line a greatest distance from the first region.
50. A method comprising:
routing signal lines with associated shield lines for an integrated circuit design block layout;
extracting, from the routed shield lines, a power grid, if possible; and
adding, explicitly, power lines to the integrated circuit design block layout to satisfy power delivery requirements explicitly if the shield lines identified for use as power lines do not meet predetermined power delivery requirements.
51. The method of claim 50 wherein extracting, from the routed shield lines, a power grid, if possible comprises:
searching a first region with respect to a first layout boundary for a shield line; and
causing an identified shield line in first region, if any, to be a power line by assigning a polarity to the identified shield line.
52. The method of claim 51 further comprising:
identifying a second region a predetermined distance beyond the first region;
searching the second region for a shield line; and
causing an identified shield line in the second region, if any, to be a power line by assigning a polarity to the identified shield line, wherein the polarity is opposite of the identified shield line of the first region;
inserting a power line in the second region if a shield line is not identified within the second region.
53. An article comprising an electronically-accessible medium that provides instructions that, when executed, cause one or more electronic systems to:
route signal lines with associated shield lines for an integrated circuit design block layout;
extract, from the routed shield lines, a power grid, if possible; and
add, explicitly, power lines to the integrated circuit design block layout to satisfy power delivery requirements explicitly if the shield lines identified for use as power lines do not meet predetermined power delivery requirements.
54. The article of claim 53 wherein the instructions that cause the one or more electronic systems to extract, from the routed shield lines, a power grid, if possible comprises instructions that, when executed, cause the one or more electronic systems to:
search a first region with respect to a first layout boundary for a shield line; and
cause an identified shield line in first region, if any, to be a power line by assigning a polarity to the identified shield line.
55. The article of claim 54 further comprising instructions that, when executed, cause the one or more electronic systems to:
identify a second region a predetermined distance beyond the first region;
search the second region for a shield line; and
cause an identified shield line in the second region, if any, to be a power line by assigning a polarity to the identified shield line, wherein the polarity is opposite of the identified shield line of the first region;
insert a power line in the second region if a shield line is not identified within the second region.
US10/610,830 2001-09-28 2003-06-30 Adaptive power routing and shield sharing to reduce shield count Expired - Fee Related US7197736B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/610,830 US7197736B2 (en) 2001-09-28 2003-06-30 Adaptive power routing and shield sharing to reduce shield count

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/967,797 US6622294B2 (en) 2001-09-28 2001-09-28 Adaptive power routing and shield sharing to reduce shield count
US10/610,830 US7197736B2 (en) 2001-09-28 2003-06-30 Adaptive power routing and shield sharing to reduce shield count

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/967,797 Continuation US6622294B2 (en) 2001-09-28 2001-09-28 Adaptive power routing and shield sharing to reduce shield count

Publications (2)

Publication Number Publication Date
US20040107411A1 true US20040107411A1 (en) 2004-06-03
US7197736B2 US7197736B2 (en) 2007-03-27

Family

ID=25513338

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/967,797 Expired - Lifetime US6622294B2 (en) 2001-09-28 2001-09-28 Adaptive power routing and shield sharing to reduce shield count
US10/610,830 Expired - Fee Related US7197736B2 (en) 2001-09-28 2003-06-30 Adaptive power routing and shield sharing to reduce shield count

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/967,797 Expired - Lifetime US6622294B2 (en) 2001-09-28 2001-09-28 Adaptive power routing and shield sharing to reduce shield count

Country Status (1)

Country Link
US (2) US6622294B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050180251A1 (en) * 2004-02-17 2005-08-18 Thomas Krueger Method for optimizing a layout of supply lines
US20090193383A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Auto-Router Performing Simultaneous Placement of Signal and Return Paths
US8423940B2 (en) 2011-08-15 2013-04-16 International Business Machines Corporation Early noise detection and noise aware routing in circuit design

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7089524B1 (en) * 2002-01-22 2006-08-08 Cadence Design Systems, Inc. Topological vias route wherein the topological via does not have a coordinate within the region
JP2004031389A (en) * 2002-06-21 2004-01-29 Fujitsu Ltd Designing method for semiconductor circuit, designing apparatus for semiconductor circuit, program, and semiconductor device
US7943436B2 (en) 2002-07-29 2011-05-17 Synopsys, Inc. Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
US7739624B2 (en) * 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US20040205685A1 (en) * 2003-04-14 2004-10-14 Kawasaki Microelectronics, Inc. Routing method using a CAD tool
US7086024B2 (en) * 2003-06-01 2006-08-01 Cadence Design Systems, Inc. Methods and apparatus for defining power grid structures having diagonal stripes
US7272803B1 (en) * 2003-06-01 2007-09-18 Cadence Design Systems, Inc. Methods and apparatus for defining manhattan power grid structures having a reduced number of vias
US7003748B1 (en) 2003-06-01 2006-02-21 Cadence Design Systems, Inc. Methods and apparatus for defining Manhattan power grid structures beneficial to diagonal signal wiring
US20070033562A1 (en) * 2005-08-05 2007-02-08 International Business Machines Corporation Integrated circuit power distribution layout with sliding grids
US7646082B2 (en) * 2007-05-22 2010-01-12 International Business Machines Corporation Multi-layer circuit substrate and method having improved transmission line integrity and increased routing density
US7821796B2 (en) 2008-01-17 2010-10-26 International Business Machines Corporation Reference plane voids with strip segment for improving transmission line integrity over vias
US8566776B2 (en) * 2008-11-13 2013-10-22 Qualcomm Incorporated Method to automatically add power line in channel between macros
US8760195B2 (en) 2012-04-06 2014-06-24 Cypress Semiconductor Corporation Signal path aware routing of supply voltages
US8914765B2 (en) 2013-01-15 2014-12-16 International Business Machines Corporation Power grid generation through modification of an initial power grid based on power grid analysis
US9000822B2 (en) 2013-04-09 2015-04-07 International Business Machines Corporation Programmable delay circuit
US9628059B2 (en) 2015-06-18 2017-04-18 International Business Machines Corporation Fine delay structure with programmable delay ranges
US9767240B2 (en) 2015-11-19 2017-09-19 Globalfoundries Inc. Temperature-aware integrated circuit design methods and systems
US10452803B2 (en) * 2017-01-27 2019-10-22 Arm Limited Power grid insertion technique
US10417371B2 (en) * 2017-01-27 2019-09-17 Arm Limited Power grid healing techniques

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5699308A (en) * 1993-12-22 1997-12-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having two layers of bit lines arranged crossing with each other
US5987241A (en) * 1997-01-09 1999-11-16 Hewlett-Packard Company Routing techniques to assure electrical integrity in datapath blocks
US6510545B1 (en) * 2000-01-19 2003-01-21 Sun Microsystems, Inc. Automated shielding algorithm for dynamic circuits
US6530066B1 (en) * 1999-09-22 2003-03-04 Hitachi, Ltd. Method of computing wiring capacitance, method of computing signal propagation delay due to cross talk and computer-readable recording medium storing such computed data
US6532574B1 (en) * 2000-08-17 2003-03-11 International Business Machines Corporation Post-manufacture signal delay adjustment to solve noise-induced delay variations
US6629306B2 (en) * 2001-11-30 2003-09-30 Sun Microsystems, Inc. Signal routing based approach for increasing decoupling capacitance using preferential shielding
US6708314B2 (en) * 2002-05-24 2004-03-16 Sun Microsystems, Inc. Clock skew reduction using active shields

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3621208A (en) * 1969-07-15 1971-11-16 Texas Instruments Inc Signal point interconnection routing
US5337252A (en) * 1991-10-01 1994-08-09 International Business Machines Corporation Delta-I noise minimization
JPH05283615A (en) * 1992-04-06 1993-10-29 Hitachi Ltd Power supply wiring for semiconductor integrated circuit
US5502644A (en) * 1994-04-07 1996-03-26 At&T Corp. Process and apparatus for auditing crosstalk and characteristic impedances of printed wiring boards
US5568395A (en) * 1994-06-29 1996-10-22 Lsi Logic Corporation Modeling and estimating crosstalk noise and detecting false logic
US5677847A (en) * 1995-12-05 1997-10-14 International Business Machines Corporation Method and apparatus for designing a module
US6058256A (en) * 1996-09-26 2000-05-02 Lucent Technologies Inc. Technique for effectively routing conduction paths in circuit layouts
US5901063A (en) * 1997-02-21 1999-05-04 Frequency Technology, Inc. System and method for extracting parasitic impedance from an integrated circuit layout
US6266802B1 (en) * 1997-10-27 2001-07-24 International Business Machines Corporation Detailed grid point layout using a massively parallel logic including an emulator/simulator paradigm
US6029117A (en) * 1997-11-03 2000-02-22 International Business Machines Corporation coupled noise estimation method for on-chip interconnects
US6286128B1 (en) * 1998-02-11 2001-09-04 Monterey Design Systems, Inc. Method for design optimization using logical and physical information
JP3070679B2 (en) * 1998-03-24 2000-07-31 日本電気株式会社 Graphic layout compression system and graphic layout compression method
US6189133B1 (en) * 1998-05-14 2001-02-13 International Business Machines Corporation Coupling noise reduction technique using reset timing
US6397169B1 (en) * 1998-06-30 2002-05-28 Synopsys, Inc. Adaptive cell separation and circuit changes driven by maximum capacitance rules
US6438736B1 (en) * 1999-04-15 2002-08-20 Sycon Design, Inc. Method for determining cleanup line routing for components of an integrated circuit
US6353917B1 (en) * 1999-07-16 2002-03-05 Silicon Graphics, Inc. Determining a worst case switching factor for integrated circuit design
US6305004B1 (en) * 1999-08-31 2001-10-16 International Business Machines Corporation Method for improving wiring related yield and capacitance properties of integrated circuits by maze-routing
TW530229B (en) * 2000-01-27 2003-05-01 Matsushita Electric Ind Co Ltd A computer aided design apparatus for aiding design of a printed wiring board to effectively reduce noise
US6467074B1 (en) * 2000-03-21 2002-10-15 Ammocore Technology, Inc. Integrated circuit architecture with standard blocks
US6523154B2 (en) * 2000-12-14 2003-02-18 International Business Machines Corporation Method for supply voltage drop analysis during placement phase of chip design
US6467069B2 (en) * 2000-12-15 2002-10-15 International Business Machines Corporation Timing closure and noise avoidance in detailed routing
US6490708B2 (en) * 2001-03-19 2002-12-03 International Business Machines Corporation Method of integrated circuit design by selection of noise tolerant gates

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5699308A (en) * 1993-12-22 1997-12-16 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having two layers of bit lines arranged crossing with each other
US5987241A (en) * 1997-01-09 1999-11-16 Hewlett-Packard Company Routing techniques to assure electrical integrity in datapath blocks
US6530066B1 (en) * 1999-09-22 2003-03-04 Hitachi, Ltd. Method of computing wiring capacitance, method of computing signal propagation delay due to cross talk and computer-readable recording medium storing such computed data
US6510545B1 (en) * 2000-01-19 2003-01-21 Sun Microsystems, Inc. Automated shielding algorithm for dynamic circuits
US6532574B1 (en) * 2000-08-17 2003-03-11 International Business Machines Corporation Post-manufacture signal delay adjustment to solve noise-induced delay variations
US6629306B2 (en) * 2001-11-30 2003-09-30 Sun Microsystems, Inc. Signal routing based approach for increasing decoupling capacitance using preferential shielding
US6708314B2 (en) * 2002-05-24 2004-03-16 Sun Microsystems, Inc. Clock skew reduction using active shields

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050180251A1 (en) * 2004-02-17 2005-08-18 Thomas Krueger Method for optimizing a layout of supply lines
US7454720B2 (en) * 2004-02-17 2008-11-18 Infineon Technologies Ag Method for optimizing a layout of supply lines
US20090193383A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Auto-Router Performing Simultaneous Placement of Signal and Return Paths
US7849427B2 (en) * 2008-01-29 2010-12-07 International Business Machines Corporation Auto-router performing simultaneous placement of signal and return paths
US8423940B2 (en) 2011-08-15 2013-04-16 International Business Machines Corporation Early noise detection and noise aware routing in circuit design

Also Published As

Publication number Publication date
US6622294B2 (en) 2003-09-16
US7197736B2 (en) 2007-03-27
US20030066041A1 (en) 2003-04-03

Similar Documents

Publication Publication Date Title
US6622294B2 (en) Adaptive power routing and shield sharing to reduce shield count
US7506289B1 (en) Approach for routing an integrated circuit
US6865721B1 (en) Optimization of the top level in abutted-pin hierarchical physical design
US6543043B1 (en) Inter-region constraint-based router for use in electronic design automation
US7624366B2 (en) Clock aware placement
US6496965B1 (en) Automated design of parallel drive standard cells
US6701505B1 (en) Circuit optimization for minimum path timing violations
US20020184607A1 (en) Practical methodology for early buffer and wire resource allocation
US20070136709A1 (en) Floorplanning A Hierarchical Physical Design To Improve Placement And Routing
US8607178B2 (en) Integrated circuit chip with repeater flops and methods for automated design of same
TW200849051A (en) System and method for sign-off timing closure of a VLSI chip
US9147030B2 (en) Multiple-instantiated-module (mim) aware pin assignment
Stenz et al. Timing driven placement in interaction with netlist transformations
US6480996B1 (en) System and method for transposing wires in a circuit design
US6651232B1 (en) Method and system for progressive clock tree or mesh construction concurrently with physical design
US6564361B1 (en) Method and apparatus for timing driven resynthesis
US6543032B1 (en) Method and apparatus for local resynthesis of logic trees with multiple cost functions
US6510542B1 (en) Method of placing a repeater cell in an electricalcircuit
Wu et al. Coupling aware timing optimization and antenna avoidance in layer assignment
CA2345443C (en) Approach for routing an integrated circuit
US6766500B1 (en) Multiple pass optimization for automatic electronic circuit placement
US10169526B2 (en) Incremental parasitic extraction for coupled timing and power optimization
US6532582B1 (en) Method and apparatus for optimal critical netlist area selection
US6463571B1 (en) Full-chip extraction of interconnect parasitic data
US7487488B1 (en) Predictable repeater routing in an integrated circuit design

Legal Events

Date Code Title Description
REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Expired due to failure to pay maintenance fee

Effective date: 20110327