JP3079656B2 - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JP3079656B2
JP3079656B2 JP03185128A JP18512891A JP3079656B2 JP 3079656 B2 JP3079656 B2 JP 3079656B2 JP 03185128 A JP03185128 A JP 03185128A JP 18512891 A JP18512891 A JP 18512891A JP 3079656 B2 JP3079656 B2 JP 3079656B2
Authority
JP
Japan
Prior art keywords
etching
sio
material layer
based material
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP03185128A
Other languages
Japanese (ja)
Other versions
JPH0513379A (en
Inventor
新吾 門村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP03185128A priority Critical patent/JP3079656B2/en
Publication of JPH0513379A publication Critical patent/JPH0513379A/en
Application granted granted Critical
Publication of JP3079656B2 publication Critical patent/JP3079656B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
下地のシリコン系材料層へ対する汚染や損傷の発生を抑
制すると共に、高速性,高選択性を達成する方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied in the field of manufacturing semiconductor devices and the like, and more particularly to a method for suppressing contamination and damage to an underlying silicon-based material layer, as well as high speed and high selectivity. How to achieve.

【0002】[0002]

【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置の高集積化および高性能化が進展する
に伴い、酸化シリコン(SiO2 )に代表されるシリコ
ン化合物層のドライエッチング方法についても技術的要
求がますます厳しくなってきている。まず、高集積化に
よりデバイス・チップの面積が拡大しウェハが大口径化
していること、形成すべきパターンが高度に微細化され
ウェハ面内の均一処理が要求されていること、またAS
ICに代表されるように多品種少量生産が要求されてい
ること等の背景から、ドライエッチング装置の主流は従
来のバッチ式から枚葉式に移行しつつある。この際、従
来と同等の生産性を維持するためには、大幅なエッチン
グ速度の向上が必須となる。また、デバイスの高速化や
微細化を図るために不純物拡散領域の接合深さが浅くな
り、また各種の材料層も薄くなっている状況下では、従
来以上に対下地選択性に優れ汚染およびダメージの少な
いエッチング技術が要求される。たとえば、半導体基板
内に形成された不純物拡散領域や、SRAMの抵抗負荷
素子として用いられるPMOSトランジスタのソース・
ドレイン領域等にコンタクトを形成しようとする場合
に、シリコン基板や多結晶シリコン層を下地として行わ
れるSiO2 層間絶縁膜のエッチング等がその例であ
る。しかし、高速性、高選択性、低汚染性、低ダメージ
性等といった諸要求は互いに取捨選択される関係にあ
り、すべてを満足できるエッチング・プロセスを確立す
ることは極めて困難である。
In recent years the VLSI, with the progress of high integration and performance of semiconductor devices, as seen in ULSI or the like, the dry etching method of the silicon compound layer represented by silicon oxide (SiO 2) Even technical requirements are becoming more stringent. First, the high integration increases the area of the device chip and increases the diameter of the wafer. The pattern to be formed is highly miniaturized, and uniform processing within the wafer surface is required.
Due to the demand for high-mix low-volume production, as typified by ICs, etc., the mainstream of dry etching equipment is shifting from a conventional batch type to a single-wafer type. At this time, in order to maintain the same productivity as that of the related art, it is necessary to greatly improve the etching rate. Also, under the circumstances where the junction depth of the impurity diffusion region becomes shallower and various material layers become thinner in order to increase the speed and miniaturization of the device, the selectivity to the underlayer is better than before, and the contamination and damage are improved. Etching technology with less noise is required. For example, an impurity diffusion region formed in a semiconductor substrate or a source / drain of a PMOS transistor used as a resistance load element of an SRAM.
For example, when a contact is to be formed in a drain region or the like, etching of a SiO 2 interlayer insulating film using a silicon substrate or a polycrystalline silicon layer as a base is an example. However, various requirements such as high speed, high selectivity, low contamination, low damage, and the like are mutually selected, and it is extremely difficult to establish an etching process that satisfies all of them.

【0003】従来、シリコン系材料層に対して高い選択
比を保ちながらSiO2 層に代表されるシリコン化合物
層をドライエッチングするには、CHF3 、CF4 /H
2 混合系、CF4 /O2 混合系、C2 6 /CHF3
合系等がエッチング・ガスとして典型的に使用されてき
た。これらは、いずれもC/F比(分子内のC原子数と
F原子数の比)が0.25以上のフルオロカーボン系ガ
スを主体としている。これらのガス系が使用されるの
は、(a)フルオロカーボン系ガスに含まれるCがSi
2 層の表面でC−O結合を生成し、Si−O結合を切
断したり弱めたりする働きがある、(b)SiO2層の
主エッチング種であるCFx + (特にx=3,4) を生
成できる、さらに(c)プラズマ中で相対的に炭素に富
む状態が作り出されるので、SiO2 中の酸素がCOま
たはCO2 の形で除去される一方、ガス系に含まれる
C,H,F等の寄与によりシリコン系材料層の表面では
炭素系のポリマーが堆積してエッチング速度が低下し、
シリコン系材料層に対する高選択比が得られる、等の理
由にもとづいている。C/F比の概念や上述のエッチン
グ機構は、J.Vac.Sci.Tech.,16
(2),1979,p391に詳しい。なお、上記のH
2 ,O2 等の添加ガスは選択比の制御を目的として用い
られているものであり、それぞれF* 発生量を低減もし
くは増大させることができる。つまり、エッチング反応
系の見掛け上のC/F比を制御する効果を有する。
Conventionally, to dry-etch a silicon compound layer typified by a SiO 2 layer while maintaining a high selectivity with respect to a silicon-based material layer, CHF 3 , CF 4 / H
Two- mixed systems, CF 4 / O 2 mixed systems, C 2 F 6 / CHF 3 mixed systems, and the like have been typically used as etching gases. Each of these is mainly composed of a fluorocarbon gas having a C / F ratio (ratio of the number of C atoms to the number of F atoms in a molecule) of 0.25 or more. These gas systems are used because (a) C contained in the fluorocarbon gas is Si
(B) CF x + (particularly x = 3), which is a main etching species of the SiO 2 layer, has a function of generating a C—O bond on the surface of the O 2 layer and cutting or weakening the Si—O bond. 4), and (c) a relatively carbon-rich state is created in the plasma, so that the oxygen in the SiO 2 is removed in the form of CO or CO 2 while the C, Due to the contribution of H, F, etc., a carbon-based polymer is deposited on the surface of the silicon-based material layer, and the etching rate is reduced.
This is based on the reason that a high selectivity with respect to the silicon-based material layer can be obtained. The concept of the C / F ratio and the etching mechanism described above are described in Vac. Sci. Tech. , 16
(2), 1979, p391. Note that the above H
Additive gases such as 2 and O 2 are used for the purpose of controlling the selectivity, and can reduce or increase the amount of F * generated, respectively. That is, it has the effect of controlling the apparent C / F ratio of the etching reaction system.

【0004】これに対し本発明者は、従来のSiO2
材料層のエッチングにおける炭素の役割をイオウに担わ
せ、かつ低温エッチングを行うことで高選択性,低汚染
性,高異方性を達成する技術を、特願平2−19804
5号明細書において提案している。これは、被エッチン
グ基板(ウェハ)を0℃以下に冷却し、S2 2 ,SF
2 ,SF4 ,S2 10等のフッ化イオウを含むエッチン
グ・ガスを使用してSiO2 系材料層のエッチングを行
う方法である。上記フッ化イオウは、F* によるラジカ
ル反応をSFx + 等のイオンにアシストさせる機構でS
iO2 系材料層をエッチングする。またこれらのフッ化
イオウは、同じフッ化イオウでも従来から最も良く知ら
れているSF6 とは異なりS/F比(分子中のS原子数
とF原子数の比)が大きく、放電解離によりプラズマ中
に遊離のSを生成することができる。このSは、SiO
2 系材料層の表面ではO原子を引き抜いてSOx の形で
除去されるが、Si系材料層の上では堆積してエッチン
グ速度を大幅に低下させるので、高選択比が達成され
る。さらに、イオンの垂直入射が原理的に起こらないパ
ターンの側壁部に堆積したSは、側壁保護の役割を果た
し、異方性加工に寄与する。堆積したSは、エッチング
終了後にウェハを加熱して昇華させるか、あるいはO2
プラズマ・アッシングによりレジスト・マスクを除去す
る際に同時に燃焼させることができ、何らパーティクル
汚染を起こさない。
On the other hand, the present inventor has made the role of carbon in the etching of a conventional SiO 2 -based material layer to sulfur and, by performing low-temperature etching, achieve high selectivity, low contamination and high anisotropy. The technology to be achieved is disclosed in Japanese Patent Application No. Hei 2-19804.
No. 5 proposes this. This means that the substrate to be etched (wafer) is cooled to 0 ° C. or lower, and S 2 F 2 , SF
This is a method of etching a SiO 2 -based material layer using an etching gas containing sulfur fluoride such as 2 , SF 4 and S 2 F 10 . The sulfur fluoride has a mechanism of assisting a radical reaction by F * with ions such as SF x + by S.
The iO 2 -based material layer is etched. Also, these sulfur fluorides have a large S / F ratio (ratio of the number of S atoms to the number of F atoms in the molecule), unlike SF 6 , which is the best known even for the same sulfur fluoride. Free S can be generated in the plasma. This S is SiO
On the surface of the 2 material layer, O atoms are extracted and removed in the form of SO x , but are deposited on the Si material layer to greatly reduce the etching rate, so that a high selectivity is achieved. Further, S deposited on the side wall of the pattern in which normal incidence of ions does not occur in principle plays a role of protecting the side wall and contributes to anisotropic processing. The deposited S may be heated to sublimate the wafer after the etching is completed, or may be O 2
It can be burned simultaneously with the removal of the resist mask by plasma ashing, and does not cause any particle contamination.

【0005】[0005]

【発明が解決しようとする課題】このように、SiO2
系材料層のエッチングはイオン・アシスト反応を主体と
する機構にもとづいて行われており、フルオロカーボン
系ガスを使用するプロセスは既に量産ラインに導入され
ている。しかし、上述の機構からも明らかなように、従
来のプロセスでは、エッチング・ガスの構成元素がイオ
ンの形で加速されて下地のシリコン基板に打ち込まれ、
汚染や損傷を生ずるといった問題を本質的に免れること
ができない。エッチング・ガスとしてフルオロカーボン
系ガスを用いた場合の汚染や損傷については、IEDM
Digest Paper,p336(1979)に
論じられている。すなわち、炭素系ポリマーの残存やC
x + イオンの打ち込みに起因してSi基板の表面また
は内部にC原子による汚染が発生し、さらにはこのC原
子が核となって格子欠陥を引き起こすのである。また、
イオン衝撃そのものによっても物理的なダメージが生ず
る。このようにして、シリコン基板の表層部には汚染/
損傷層が形成される。Jpn.J.Appl.Phy
s.,20,p803(1981)には、かかる汚染/
損傷層を除去することにより素子の電気特性への悪影響
が最小限に抑えられることが報告されている。そこで、
従来のプロセスではSiO2 系材料層のエッチングが終
了した後にいわゆるライトエッチを行い、Si基板の表
層部を除去することが一般に行われている。
As described above, SiO 2
Etching of the system material layer is performed based on a mechanism mainly using an ion assist reaction, and a process using a fluorocarbon gas has already been introduced into a mass production line. However, as is clear from the mechanism described above, in the conventional process, the constituent elements of the etching gas are accelerated in the form of ions and are implanted into the underlying silicon substrate,
The problem of contamination and damage is essentially inescapable. Regarding contamination and damage when using a fluorocarbon-based gas as an etching gas, see IEDM
Digest Paper, p336 (1979). That is, the residual carbon-based polymer and C
The implantation of F x + ions causes contamination by C atoms on the surface or inside of the Si substrate, and the C atoms serve as nuclei to cause lattice defects. Also,
Physical damage is also caused by the ion impact itself. Thus, contamination /
A damage layer is formed. Jpn. J. Appl. Phys
s. , 20, p803 (1981) indicate that such contamination /
It has been reported that removing the damaged layer minimizes adverse effects on the electrical properties of the device. Therefore,
In the conventional process, after the etching of the SiO 2 -based material layer is completed, so-called light etching is generally performed to remove the surface layer of the Si substrate.

【0006】しかしながら、近年のように不純物拡散領
域の接合深さが浅くなってくると、上記ライトエッチに
よるSi基板の除去量が無視できないレベルに達する
他、場合によっては汚染や損傷の及ぶ範囲が接合深さを
越える懸念も生ずる。これに対し、本発明者が先に提案
したフッ化イオウを使用するプロセスでは、堆積したS
をウェハの加熱により容易かつ完全に昇華除去できる。
つまり、シリコン基板の表面に汚染を残さない点が、従
来の炭素系ポリマーを使用するプロセスに対する大きな
メリットである。しかも、極めて優れた脱フロン対策で
ある。しかしその反面、上記フッ化イオウはSiO2
材料層のエッチング種の生成効率が低いので、エッチン
グ速度の観点からは不利が生ずる懸念がある。これは、
S/F比の大きいフッ化イオウは当然のことながら分子
内のF原子数が少ないため、放電解離によりSFx +
形のイオンが生成したとしても、xの値の小さいイオン
しか得られないからである。たとえば上記フッ化イオウ
の中でも最も実用性が高いと考えられるS2 2 を例に
とると、生成し得るイオンはほぼSF+ (x=1)のみ
である。しかも、上述の4種類のフッ化イオウはいずれ
もSF6 と比べると比較的不安定であり、プラズマ中で
容易にSとF* に解離してしまうため、SFx + の生成
量は一層少なくなる。今後、大口径ウェハに対する枚葉
処理が主流となることを考慮すると、エッチング速度の
不足は是非とも解決しておかなければならない課題であ
る。そこで本発明は、SiO2 系材料層のエッチングに
おいて下地に対する汚染や損傷の発生を抑制すると共
に、高速性,高選択性を達成できる方法を提供すること
を目的とする。
However, when the junction depth of the impurity diffusion region becomes shallow as in recent years, the removal amount of the Si substrate by the above-mentioned light etching reaches a not negligible level, and in some cases, the extent of contamination and damage is reduced. There is also a concern that the junction depth may be exceeded. On the other hand, in the process using sulfur fluoride proposed by the present inventors, the deposited S
Can be easily and completely removed by heating the wafer.
That is, the fact that no contamination is left on the surface of the silicon substrate is a great advantage over the conventional process using a carbon-based polymer. Moreover, it is an extremely excellent measure against chlorofluorocarbon. However, on the other hand, there is a concern that the above sulfur fluoride has a disadvantage in terms of the etching rate because the efficiency of generating the etching species of the SiO 2 material layer is low. this is,
Naturally, sulfur fluoride having a large S / F ratio has a small number of F atoms in the molecule, so that even if ions in the form of SF x + are generated by discharge dissociation, only ions having a small value of x can be obtained. Because. For example, in the case of S 2 F 2, which is considered to be the most practical among the above sulfur fluorides, the only ion that can be generated is almost SF + (x = 1). In addition, all of the above four types of sulfur fluoride are relatively unstable compared to SF 6 and easily dissociate into S and F * in plasma, so that the amount of SF x + generated is further reduced. Become. In view of the fact that single-wafer processing for large-diameter wafers will become the mainstream in the future, the lack of an etching rate is a problem that must be solved by all means. Accordingly, it is an object of the present invention to provide a method capable of suppressing the occurrence of contamination and damage to a base during etching of a SiO 2 material layer, and achieving high speed and high selectivity.

【0007】[0007]

【課題を解決するための手段】本発明にかかるドライエ
ッチング方法は、上述の目的を達成するために提案され
るものである。すなわち、本願の第1の発明にかかるド
ライエッチング方法は、被エッチング基板の温度を室温
以下に制御しながら、炭素を構成元素として含まない非
堆積性のフッ素系化合物を含むエッチング・ガスを用い
てSiO2 系材料層を実質的にその層厚を越えない範囲
でエッチングする第1の工程と、被エッチング基板の温
度を室温以下に制御しながら、S2 2 ,SF2 ,SF
4 ,S2 10から選ばれる少なくとも1種類のフッ化イ
オウとH2 ,H2 S,シラン系化合物から選ばれる少な
くとも1種類の化合物とを含むエッチング・ガスを用い
て前記SiO2 系材料層の残余部をエッチングする第2
の工程とを有することを特徴とする。
SUMMARY OF THE INVENTION A dry etching method according to the present invention is proposed to achieve the above object. That is, the dry etching method according to the first invention of the present application uses an etching gas containing a non-deposited fluorine-based compound containing no carbon as a constituent element while controlling the temperature of the substrate to be etched to room temperature or lower. A first step of etching the SiO 2 -based material layer so as not to substantially exceed the thickness thereof, and S 2 F 2 , SF 2 , SF while controlling the temperature of the substrate to be etched to room temperature or lower.
4 , the SiO 2 -based material layer using an etching gas containing at least one kind of sulfur fluoride selected from S 2 F 10 and at least one kind of compound selected from H 2 , H 2 S, and silane-based compounds. Second to etch the remainder of the
And a step of:

【0008】さらに、本願の第2の発明にかかるドライ
エッチング方法は、前記非堆積性のフッ素系化合物とし
てSF6 ,NF3 の少なくとも一方を用いることを特徴
とする。
Further, the dry etching method according to the second invention of the present application is characterized in that at least one of SF 6 and NF 3 is used as the non-depositable fluorine compound.

【0009】[0009]

【作用】本発明では、SiO2 系材料層のエッチングを
2段階化し、まず第1の工程で炭素を構成元素として含
まない非堆積性のフッ素系化合物を含むエッチング・ガ
スを使用する。非堆積性のフッ素系化合物は、一般に1
分子内に比較的多くのF原子を有する揮発性の高い化合
物である。この化合物は、放電解離するとプラズマ中に
多原子イオンを生成するが、この多原子イオン中には多
くのF原子が含まれる。たとえば、SF6 からは放電解
離によりSF3 + ,SF4 + ,SF5 +等のイオンが生
成する。これらのイオンは、たとえばS2 2 から生成
するSF+ と比べて質量が大きいので、スパッタ効果が
大きい。しかも、SF6 は一段階反応で6個のF* と遊
離のSとに解離することはないので、上記イオンの生成
効率にも優れている。したがって、高速エッチングが可
能となるわけである。NF3 を用いた場合には、主とし
てNF2 + を効率良く生成させることができる。また、
上記非堆積性のフッ素系化合物は、炭素を構成元素とし
て含まないためCFx + を生成しない。したがって、従
来のプロセスにおいて問題となっていたC原子に起因す
る汚染や損傷を、本発明では本質的に回避することがで
きる。さらに、上記第1の工程では、SiO2 系材料層
を実質的にその層厚を越えない範囲でエッチングする。
つまり、下地が露出するやや手前か、あるいは下地の一
部が露出し始めた時点でエッチングを一旦終了させるの
である。このことにより、プラズマ中に大量に存在する
質量の大きいイオンの照射から下地を保護することが可
能となる。
According to the present invention, the etching of the SiO 2 -based material layer is performed in two steps, and in the first step, an etching gas containing a non-deposited fluorine-based compound containing no carbon as a constituent element is used. Non-depositable fluorine compounds generally contain 1
It is a highly volatile compound having a relatively large number of F atoms in the molecule. This compound generates polyatomic ions in the plasma upon discharge dissociation, and this polyatomic ion contains many F atoms. For example, ions such as SF 3 + , SF 4 + , and SF 5 + are generated from SF 6 by discharge dissociation. Since these ions have a larger mass than, for example, SF + generated from S 2 F 2 , the sputtering effect is large. In addition, SF 6 does not dissociate into six F * and free S in a one-step reaction, and thus has an excellent ion generation efficiency. Therefore, high-speed etching becomes possible. When NF 3 is used, mainly NF 2 + can be efficiently generated. Also,
The non-depositable fluorine-based compound does not contain carbon as a constituent element and does not generate CF x + . Therefore, the present invention can essentially avoid contamination and damage caused by C atoms, which is a problem in the conventional process. Further, in the first step, the SiO 2 -based material layer is etched within a range that does not substantially exceed its thickness.
That is, the etching is temporarily terminated slightly before the base is exposed, or when a part of the base starts to be exposed. This makes it possible to protect the base from irradiation of ions having a large mass present in a large amount in the plasma.

【0010】続く第2の工程では、S2 2 ,SF2
SF4 ,S2 10から選ばれる少なくとも1種類のフッ
化イオウとH2 ,H2 S,シラン系化合物から選ばれる
少なくとも1種類の化合物とを含むエッチング・ガスを
使用する。この混合ガス系において、SiO2 系材料層
のエッチング種となるのはフッ化イオウから放電解離に
より生成するSFx + である。ただし、第1の工程にお
けるよりも生成効率は低く、またxの値も概して低い。
このとき、同時にプラズマ中に生成する遊離のSは、側
壁保護膜を形成して異方性の向上に寄与する他、シリコ
ン系材料層の表面に堆積することにより対シリコン下地
選択性の向上にも寄与する。また、このフッ化イオウに
添加されているH2 ,H2 S,およびシラン系化合物
は、エッチング反応系の見掛け上のS/F比を増大させ
る作用を有する。ここで、H2 とH2 Sは、放電解離に
よりH* を生成する。過剰なF* はこのH* に捕捉され
てHFを生成し、エッチング装置の排気系統を介して系
外へ除去されるので、エッチング反応系のS/F比が増
大する。特にH2 Sは、自身がS供給源でもあるため、
S/F比の上昇効果が大きい。またシラン系化合物は、
放電解離によりH* の他にSi* を生成する。これら両
ラジカルが共にF* の捕捉に寄与し、HF,SiFx
の形で系外へ除去するため、やはりS/F比を効果的に
増大させることができる。このようにS/F比が増大す
れば、相対的にSは堆積し易い条件となり、側壁保護効
果が強化されて異方性加工が容易となる。また、F*
相対的に少なくなることにより、シリコン系材料からな
る下地に対して選択性が向上する。
In the following second step, S 2 F 2 , SF 2 ,
An etching gas containing at least one kind of sulfur fluoride selected from SF 4 and S 2 F 10 and at least one kind of compound selected from H 2 , H 2 S and silane compounds is used. In this mixed gas system, the etching species of the SiO 2 based material layer is SF x + generated by discharge dissociation from sulfur fluoride. However, the production efficiency is lower than in the first step, and the value of x is generally lower.
At this time, free S simultaneously generated in the plasma forms a side wall protective film and contributes to improvement of anisotropy. In addition, the free S deposits on the surface of the silicon-based material layer to improve selectivity to silicon underlayer. Also contributes. Further, H 2 , H 2 S, and a silane compound added to the sulfur fluoride have an effect of increasing the apparent S / F ratio of the etching reaction system. Here, H 2 and H 2 S generate H * by discharge dissociation. Excess F * is trapped by the H * to generate HF, and is removed to the outside of the system via the exhaust system of the etching apparatus, so that the S / F ratio of the etching reaction system increases. In particular, H 2 S itself is also an S source,
The effect of increasing the S / F ratio is large. The silane compound is
Discharge dissociation generates Si * in addition to H * . Contributing to the capture of both radicals together F *, HF, to remove from the system in the form of such SiF x, it can also increase the S / F ratio effectively. When the S / F ratio is increased in this manner, S is relatively easily deposited, and the effect of protecting the side wall is enhanced, so that anisotropic processing is facilitated. In addition, since F * becomes relatively small, selectivity with respect to a base made of a silicon-based material is improved.

【0011】ところで、上記第2の工程では、前述した
理由によりS2 2 からのSFx + の生成量が少ないた
めに、高速性を期待することはできない。しかし、第2
の工程で行われるのはSiO2 系材料層の残余部のエッ
チングもしくはオーバーエッチングのみであり、層厚方
向の大部分は既に第1の工程において高密度で質量の大
きいイオンにより高速に除去されている。したがって、
本発明によれば、プロセス全体を通じてS2 2 等を使
用する場合と比べて遙かに短時間でエッチングを終了す
ることができ、スループットを向上させることができ
る。
In the second step, high-speed operation cannot be expected because the amount of SF x + generated from S 2 F 2 is small for the above-described reason. But the second
Only the etching or overetching of the remaining portion of the SiO 2 -based material layer is performed in the step, and most of the layer thickness direction has already been removed at a high speed by high-density and large-mass ions in the first step. I have. Therefore,
According to the present invention, the etching can be completed in a much shorter time than in the case of using S 2 F 2 or the like throughout the entire process, and the throughput can be improved.

【0012】[0012]

【実施例】以下、本発明の具体的な実施例について説明
する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described.

【0013】実施例1 本実施例は、本願の第2の発明をコンタクト・ホール加
工に適用し、第1の工程でSF6 を用いてSiO2 層間
絶縁膜をその膜厚の約90%だけエッチングした後、第
2の工程でS2 2 /H2 混合ガスを用いて残る約10
%をエッチングした例である。このプロセスを、図1を
参照しながら説明する。本実施例においてエッチング・
サンプルとして使用した被エッチング基板(ウェハ)
は、図1(a)に示されるように、予め不純物拡散領域
2が形成された単結晶シリコン基板1上にSiO2 層間
絶縁膜3が形成され、さらに該SiO2 層間絶縁膜のエ
ッチング・マスクとして開口部4aを有するレジスト・
パターン4が形成されてなるものである。ここで、上記
SiO2 層間絶縁膜3は、たとえばCVDにより厚さ約
1.0μmに形成されたものである。また、上記レジス
ト・パターン4は、一例としてノボラック系ポジ型フォ
トレジスト(東京応化工業社製;商品名TSMR−V
3)を使用し、g線露光とアルカリ現像により形成され
たものである。
Embodiment 1 In this embodiment, the second invention of the present application is applied to contact hole processing, and a SiO 2 interlayer insulating film is formed in a first step by using SF 6 by about 90% of the film thickness. After the etching, the remaining about 10% by using the S 2 F 2 / H 2 mixed gas in the second step.
% Is an example of etching. This process will be described with reference to FIG. In this embodiment, the etching
Substrate to be etched (wafer) used as sample
, As shown in FIG. 1 (a), SiO 2 interlayer insulation film 3 is formed on the single crystal silicon substrate 1, the impurity diffusion region 2 in advance is formed, further wherein the SiO 2 etching mask the interlayer insulating film Having an opening 4a as a resist
The pattern 4 is formed. Here, the SiO 2 interlayer insulating film 3 is formed to a thickness of about 1.0 μm by, for example, CVD. The resist pattern 4 is, for example, a novolak-based positive type photoresist (manufactured by Tokyo Ohka Kogyo Co., Ltd .; trade name TSMR-V).
It is formed by g-line exposure and alkali development using 3).

【0014】このウェハを、RFバイアス印加型の有磁
場マイクロ波プラズマ・エッチング装置のウェハ載置電
極上にセットした。上記ウェハ載置電極は冷却配管を内
蔵しており、装置外部に設置されるチラー等の冷却設備
から該冷却配管へ適当な冷媒を供給循環させることによ
り、エッチング中のウェハを所定の温度に維持できるよ
うになされている。ここでは、冷媒としてエタノールを
使用し、ウェハが−60℃に維持されるようにした。こ
の状態で、一例としてSF6 流量100SCCM,ガス
圧1.3Pa(10mTorr),マイクロ波パワー8
50W,RFバイアス・パワー300W(400kH
z)の条件でSiO2 層間絶縁膜3を約0.9μmの深
さまでエッチングした。この第1の工程では、SF6
放電解離によりプラズマ中に大量に生成するF* による
ラジカル反応が、高いRFバイアス・パワーで加速され
たSFx + 等のイオンによりアシストされる機構でエッ
チングが進行した。このとき、レジスト・パターン4が
イオンによりスパッタされて生じた分解生成物が炭素系
ポリマーを形成してパターン側壁部に堆積し、第1の側
壁保護膜5が形成された。この結果、図1(b)に示さ
れるように垂直壁を有するコンタクト・ホール3aが途
中まで形成された。上記第1の工程におけるエッチング
速度は約0.3μm/分、エッチングの所要時間は約3
分であり、実用上十分な値であった。
This wafer was set on a wafer mounting electrode of an RF bias applied type magnetic field microwave plasma etching apparatus. The above-mentioned wafer mounting electrode has a built-in cooling pipe, and a cooling equipment such as a chiller installed outside the apparatus supplies and circulates an appropriate coolant to the cooling pipe to maintain the wafer being etched at a predetermined temperature. It has been made possible. Here, ethanol was used as a coolant, and the wafer was maintained at -60C. In this state, as an example, SF 6 flow rate is 100 SCCM, gas pressure is 1.3 Pa (10 mTorr), and microwave power is 8
50W, RF bias power 300W (400kHz)
Under the condition of z), the SiO 2 interlayer insulating film 3 was etched to a depth of about 0.9 μm. In the first step, etching is performed by a mechanism in which radical reaction due to F * generated in a large amount in plasma by discharge dissociation of SF 6 is assisted by ions such as SF x + accelerated by high RF bias power. Advanced. At this time, a decomposition product generated by sputtering the resist pattern 4 by ions forms a carbon-based polymer and deposits on the pattern side wall, thereby forming the first side wall protective film 5. As a result, a contact hole 3a having a vertical wall was formed halfway as shown in FIG. 1 (b). The etching rate in the first step is about 0.3 μm / min, and the time required for etching is about 3 μm.
Minutes, which is a practically sufficient value.

【0015】次に、エッチング条件を一例としてS2
2 流量20SCCM,H2 流量50SCCM,ガス圧
1.3Pa(10mTorr),マイクロ波パワー85
0W,RFバイアス・パワー50W(400kHz),
ウェハ温度−60℃と変更し、SiO2 層間絶縁膜3の
残余部の約0.1μmをエッチングした。この第2の工
程では、S2 2 から生成するSF+ が主体となってエ
ッチングが進行した。ただし、SF+ の生成量は少な
い。ここでは、エッチング・ガスにH2 が添加されてい
るので、H* によりF* が捕捉され、エッチング反応性
のS/F比は増大した。これにより、エッチング反応系
はラジカル性が弱められると共にSに富む条件となり、
パターン側壁部には図1(c)に示されるようにSを主
体とする第2の側壁保護膜2が形成された。また、下地
の不純物拡散領域2が露出した時点では、その表面にS
堆積層7が形成されてエッチング速度が低下し、高い下
地選択性が得られた。しかも、RFバイアス・パワーが
低減されているので、レジスト・パターン4のスパッタ
による分解生成物の発生は極めて少なく、またSF+
イオン入射エネルギーも低減された。したがって、不純
物拡散領域2もしくはシリコン基板1に対してC原子や
S原子に由来する汚染やダメージが発生することはなか
った。上記第2の工程におけるエッチング速度は約0.
05μm/分、エッチングの所要時間は約2分であっ
た。本実施例のプロセス全体としては、約0.2μm/
分のエッチング速度が達成されたことになる。
Next, as an example of the etching conditions, S 2 F
2 flow rate 20 SCCM, H 2 flow rate 50 SCCM, gas pressure 1.3 Pa (10 mTorr), microwave power 85
0 W, RF bias power 50 W (400 kHz),
The wafer temperature was changed to −60 ° C., and the remaining portion of the SiO 2 interlayer insulating film 3 of about 0.1 μm was etched. In this second step, etching proceeded mainly by SF + generated from S 2 F 2 . However, the amount of SF + generated is small. Here, since H 2 was added to the etching gas, F * was captured by H * , and the S / F ratio of the etching reactivity increased. As a result, the etching reaction system has a condition in which radicality is weakened and S is rich,
As shown in FIG. 1C, a second sidewall protective film 2 mainly composed of S was formed on the pattern sidewall. When the underlying impurity diffusion region 2 is exposed, S
The deposited layer 7 was formed, the etching rate was lowered, and high underlayer selectivity was obtained. Moreover, since the RF bias power was reduced, the generation of decomposition products due to the sputtering of the resist pattern 4 was extremely small, and the ion incident energy of SF + was also reduced. Therefore, no contamination or damage originating from C atoms or S atoms occurred in the impurity diffusion region 2 or the silicon substrate 1. The etching rate in the second step is about 0.5.
The time required for the etching was about 2 minutes. The overall process of this embodiment is about 0.2 μm /
Minute etching rate has been achieved.

【0016】エッチング終了後、上記のウェハをプラズ
マ・アッシング装置に移設し、通常のO2 プラズマ・ア
ッシングの条件にてレジスト・パターン4を除去した。
このとき、第1の側壁保護膜5、第2の側壁保護膜6、
およびS堆積層7も同時に除去された。この除去の機構
は主として、炭素系ポリマーについては燃焼、Sについ
ては燃焼および加熱による昇華にもとづいている。最終
的には図1(d)に示されるように、何らパーティクル
汚染を発生することなく良好な異方性形状を有するコン
タクト・ホール3aを形成することができた。また、不
純物拡散領域2への汚染や損傷もみられず、したがって
ライトエッチも不要であった。
After completion of the etching, the wafer was transferred to a plasma ashing apparatus, and the resist pattern 4 was removed under ordinary O 2 plasma ashing conditions.
At this time, the first sidewall protection film 5, the second sidewall protection film 6,
And the S deposition layer 7 were also removed at the same time. The mechanism of this removal is mainly based on combustion for the carbon-based polymer and sublimation for S by combustion and heating. Finally, as shown in FIG. 1D, the contact hole 3a having a favorable anisotropic shape could be formed without any particle contamination. In addition, no contamination or damage to the impurity diffusion region 2 was observed, and thus no light etching was required.

【0017】実施例2 本実施例は、本願の第2の発明を同じくコンタクト・ホ
ール加工に適用し、第1の工程ではNF3 、第2の工程
ではS2 2 /H2 混合ガスを用いた例である。本実施
例におけるエッチング・サンプルは、前述の図1(a)
に示したものと同じである。このウェハをマグネトロン
型RIE(反応性イオン・エッチング)装置のウェハ載
置電極上にセットし、該ウェハ載置電極に内蔵される冷
却配管にエタノール冷媒を供給することにより、エッチ
ング中のウェハ温度が−60℃に維持されるようにし
た。この状態で、一例としてNF3 流量100SCC
M,ガス圧1.3Pa(10mTorr),自己バイア
ス電位(Vdc)−200V,RFバイアス・パワー1k
W(13.56MHz)の条件でSiO2 層間絶縁膜3
を約0.9μmの深さまでエッチングした。この第1の
工程では、NF3 から大量に生成するF* によるラジカ
ル反応をNFx + ,N+ 等のイオンがアシストする機構
でエッチングが進行し、図1(b)に示されるように異
方性形状を有するコンタクト・ホール3aが途中まで形
成された。上記第1の工程におけるエッチング速度は約
0.4μm/分、エッチングの所要時間は約2.5分で
あった。
Embodiment 2 In this embodiment, the second invention of the present application is similarly applied to contact hole processing. In the first step, NF 3 is mixed, and in the second step, a mixed gas of S 2 F 2 / H 2 is mixed. This is an example used. The etching sample in the present embodiment is the same as that shown in FIG.
Is the same as that shown in FIG. This wafer is set on a wafer mounting electrode of a magnetron type RIE (reactive ion etching) apparatus, and an ethanol refrigerant is supplied to a cooling pipe built in the wafer mounting electrode, so that the wafer temperature during etching is reduced. It was kept at -60 ° C. In this state, as an example, the NF 3 flow rate is 100 SCC.
M, gas pressure 1.3 Pa (10 mTorr), self-bias potential (V dc ) -200 V, RF bias power 1 k
SiO 2 interlayer insulating film 3 under the condition of W (13.56 MHz)
Was etched to a depth of about 0.9 μm. In the first step, etching proceeds by a mechanism in which ions such as NF x + and N + assist a radical reaction due to F * generated in large quantities from NF 3 , as shown in FIG. 1B. A contact hole 3a having an anisotropic shape was formed halfway. The etching rate in the first step was about 0.4 μm / min, and the time required for the etching was about 2.5 minutes.

【0018】次に、一例としてS2 2 流量20SCC
M,H2 流量50SCCM,ガス圧1.3Pa(10m
Torr),自己バイアス電位(Vdc)−30V,RF
バイアス・パワー400W(13.56MHz),ウェ
ハ温度−60℃の条件でSiO2 層間絶縁膜3の残余部
の約0.1μmをエッチングした。この第2の工程にお
けるエッチングの機構は、ほぼ実施例1で上述したとお
りであり、図1(c)に示されるようなコンタクト・ホ
ール3aを形成した。上記第2の工程におけるエッチン
グ速度は約0.05μm/分、エッチングの所要時間は
約2分であった。本実施例のプロセス全体としては、約
0.22μm/分のエッチング速度が達成されたことに
なる。最後に、実施例1と同様にO2 プラズマ・アッシ
ングを行い、図1(d)に示されるようにレジスト・パ
ターン4、第1の側壁保護膜5、および第2の側壁保護
膜6を除去した。
Next, as an example, an S 2 F 2 flow rate of 20 SCC
M, H 2 flow rate 50 SCCM, gas pressure 1.3 Pa (10 m
Torr), self-bias potential (V dc ) -30 V, RF
The remaining portion of the SiO 2 interlayer insulating film 3 of about 0.1 μm was etched under the conditions of a bias power of 400 W (13.56 MHz) and a wafer temperature of −60 ° C. The mechanism of the etching in the second step is almost as described in the first embodiment, and the contact hole 3a as shown in FIG. 1C is formed. The etching rate in the second step was about 0.05 μm / min, and the time required for the etching was about 2 minutes. As a whole, the etching rate of about 0.22 μm / min is achieved in the process of this embodiment. Finally, O 2 plasma ashing is performed in the same manner as in the first embodiment, and the resist pattern 4, the first sidewall protection film 5, and the second sidewall protection film 6 are removed as shown in FIG. did.

【0019】以上、本発明を2種類の実施例にもとづい
て説明したが、本発明はこれらの実施例に何ら限定され
るものではなく、たとえば上述のS2 2 以外のS
2 ,SF4 ,S2 10を使用してもほぼ同様の結果が
得られる。また、本発明のあらゆる工程で使用されるエ
ッチング・ガスには、スパッタリング効果,希釈効果,
冷却効果等を期待する意味でHe,Ar等の希ガスを適
宜添加しても良い。さらに、被エッチング材料層は上述
のSiO2 に限られるものではなく、PSG,BSG,
BPSG,AsSG,AsPSG,AsBSG等であっ
ても良い。なお、炭素を構成元素として含まない非堆積
性のフッ素系化合物としてはBF3 ,PF5 等も考えら
れるが、これらの化合物に含まれるBやPはシリコン基
板に対してドーパントとなるので、本発明の目的には適
さない。
Although the present invention has been described based on two types of embodiments, the present invention is not limited to these embodiments. For example, the present invention is not limited to S 2 F 2 except for S 2 F 2.
Almost the same results can be obtained by using F 2 , SF 4 and S 2 F 10 . In addition, the etching gas used in all processes of the present invention includes a sputtering effect, a dilution effect,
A rare gas such as He or Ar may be added as appropriate in order to expect a cooling effect or the like. Further, the material layer to be etched is not limited to the above-mentioned SiO 2 , but may be PSG, BSG,
It may be BPSG, AsSG, AsPSG, AsBSG, or the like. BF 3 , PF 5, and the like can be considered as non-deposited fluorine-based compounds that do not contain carbon as a constituent element. However, since B and P contained in these compounds serve as dopants for a silicon substrate, Not suitable for the purpose of the invention.

【0020】[0020]

【発明の効果】以上の説明からも明らかなように、本発
明ではSiO2 系材料層のドライエッチングを2段階化
し、高速性を重視した第1の工程により層厚方向の大部
分を除去した後、高下地選択性,高異方性,低汚染性,
低ダメージ性を重視した第2の工程で残余部を除去す
る。この2工程の組み合わせにより、従来のドライエッ
チング方法では両立困難であった諸要求が同時に満足さ
れる。したがって本発明は、微細なデザイン・ルールに
もとづいて設計され、高集積度と高性能を有する半導体
装置の製造に極めて好適である。
As is apparent from the above description, in the present invention, the dry etching of the SiO 2 -based material layer is made into two stages, and the most part in the layer thickness direction is removed by the first step which emphasizes high speed. Later, high substrate selectivity, high anisotropy, low contamination,
The remaining portion is removed in a second step that emphasizes low damage. By the combination of these two steps, various requirements that were difficult to achieve in the conventional dry etching method are simultaneously satisfied. Therefore, the present invention is extremely suitable for manufacturing a semiconductor device which is designed based on a fine design rule and has a high degree of integration and high performance.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明をコンタクト・ホールに適用したプロセ
ス例をその工程順にしたがって示す模式的断面図であ
り、(a)はSiO2 層間絶縁膜上にレジスト・パター
ンが形成された状態、(b)はSiO2 層間絶縁膜が途
中までエッチングされた状態、(c)はSiO2 層間絶
縁膜がエッチングされて露出した下地の表面にS堆積層
が形成された状態、(d)はレジスト・パターン、側壁
保護膜、およびS堆積層が除去されてコンタクト・ホー
ルが完成した状態をそれぞれ表す。
FIG. 1 is a schematic cross-sectional view showing an example of a process in which the present invention is applied to a contact hole in the order of steps, (a) showing a state in which a resist pattern is formed on an SiO 2 interlayer insulating film, (b) ) Shows a state in which the SiO 2 interlayer insulating film has been etched partway, (c) shows a state in which an S deposition layer has been formed on the surface of the underlayer exposed by etching the SiO 2 interlayer insulating film, and (d) shows a resist pattern. , The sidewall protective film, and the S deposited layer are removed to complete the contact hole.

【符号の説明】[Explanation of symbols]

1 ・・・単結晶シリコン基板 2 ・・・不純物拡散領域 3 ・・・SiO2 層間絶縁膜 3a・・・コンタクト・ホール 4 ・・・レジスト・パターン 4a・・・開口部 5 ・・・第1の側壁保護膜 6 ・・・第2の側壁保護膜 7 ・・・S堆積層1 ... monocrystalline silicon substrate 2 ... impurity diffusion regions 3 ... SiO 2 interlayer insulating film 3a ... contact hole 4 ... resist pattern 4a ... opening 5 ... first Sidewall protective film 6: Second sidewall protective film 7: S deposited layer

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 ──────────────────────────────────────────────────の Continued on the front page (58) Field surveyed (Int.Cl. 7 , DB name) H01L 21/3065

Claims (2)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 被エッチング基板の温度を室温以下に制
御しながら、炭素を構成元素として含まない非堆積性の
フッ素系化合物を含むエッチング・ガスを用いて酸化シ
リコン系材料層を実質的にその層厚を越えない範囲でエ
ッチングする第1の工程と、 被エッチング基板の温度を室温以下に制御しながら、S
2 2 ,SF2 ,SF4 ,S2 10から選ばれる少なく
とも1種類のフッ化イオウとH2 ,H2 S,シラン系化
合物から選ばれる少なくとも1種類の化合物とを含むエ
ッチング・ガスを用いて前記酸化シリコン系材料層の残
余部をエッチングする第2の工程とを有することを特徴
とするドライエッチング方法。
1. A silicon oxide-based material layer is substantially formed using an etching gas containing a non-deposited fluorine-based compound containing no carbon as a constituent element while controlling the temperature of a substrate to be etched to room temperature or lower. A first step of etching within a range not exceeding the layer thickness;
An etching gas containing at least one kind of sulfur fluoride selected from 2 F 2 , SF 2 , SF 4 and S 2 F 10 and at least one kind of compound selected from H 2 , H 2 S and silane compounds And a second step of etching the remaining portion of the silicon oxide-based material layer using a dry etching method.
【請求項2】 前記非堆積性のフッ素系化合物はS
6 ,NF3の少なくとも一方であることを特徴とする
請求項1記載のドライエッチング方法。
2. The non-depositable fluorine compound is S
The dry etching method according to claim 1, wherein the F 6, NF 3 of at least one.
JP03185128A 1991-06-29 1991-06-29 Dry etching method Expired - Fee Related JP3079656B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP03185128A JP3079656B2 (en) 1991-06-29 1991-06-29 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP03185128A JP3079656B2 (en) 1991-06-29 1991-06-29 Dry etching method

Publications (2)

Publication Number Publication Date
JPH0513379A JPH0513379A (en) 1993-01-22
JP3079656B2 true JP3079656B2 (en) 2000-08-21

Family

ID=16165365

Family Applications (1)

Application Number Title Priority Date Filing Date
JP03185128A Expired - Fee Related JP3079656B2 (en) 1991-06-29 1991-06-29 Dry etching method

Country Status (1)

Country Link
JP (1) JP3079656B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6275578B2 (en) * 2014-07-30 2018-02-07 株式会社東芝 Processing apparatus, processing method, and electronic device manufacturing method
KR101630819B1 (en) 2014-09-26 2016-06-16 주식회사 메디켐코리아 Synthetic method of febuxostat

Also Published As

Publication number Publication date
JPH0513379A (en) 1993-01-22

Similar Documents

Publication Publication Date Title
JP3259380B2 (en) Method for manufacturing semiconductor device
US5312518A (en) Dry etching method
JP3027951B2 (en) Method for manufacturing semiconductor device
JP3116569B2 (en) Dry etching method
JPH08172077A (en) Plasma etching process improvement method of viahole
JP3277394B2 (en) Method for manufacturing semiconductor device
KR100255404B1 (en) Dry etching method
JP3018517B2 (en) Dry etching method
JPH1197414A (en) Plasma etching method for silicon-oxide based insulating film
JP3160961B2 (en) Dry etching method
JP3440735B2 (en) Dry etching method
JP3094470B2 (en) Dry etching method
JP2687787B2 (en) Dry etching method
JP3208596B2 (en) Dry etching method
JP3079656B2 (en) Dry etching method
JP3279016B2 (en) Dry etching method
JP2687769B2 (en) Dry etching method
JP3718537B2 (en) Plasma etching method for silicon oxide material layer
JP3239460B2 (en) Forming connection holes
JP3351003B2 (en) Method for manufacturing semiconductor device
JP3348542B2 (en) Method for patterning silicon-based material layer
JPH053177A (en) Dry etching method
JP3380947B2 (en) Plasma etching method for low dielectric constant silicon oxide based insulating film
JPH05109702A (en) Manufacture of semiconductor device
KR100439844B1 (en) method for removing photoresist after metal layer etching in semiconductor device

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000523

LAPS Cancellation because of no payment of annual fees