JP2967762B2 - Circuit layout method - Google Patents

Circuit layout method

Info

Publication number
JP2967762B2
JP2967762B2 JP9165342A JP16534297A JP2967762B2 JP 2967762 B2 JP2967762 B2 JP 2967762B2 JP 9165342 A JP9165342 A JP 9165342A JP 16534297 A JP16534297 A JP 16534297A JP 2967762 B2 JP2967762 B2 JP 2967762B2
Authority
JP
Japan
Prior art keywords
cell
level
cells
delay time
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP9165342A
Other languages
Japanese (ja)
Other versions
JPH10340292A (en
Inventor
圭一郎 岩元
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP9165342A priority Critical patent/JP2967762B2/en
Publication of JPH10340292A publication Critical patent/JPH10340292A/en
Application granted granted Critical
Publication of JP2967762B2 publication Critical patent/JP2967762B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、回路のレイアウト
方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a circuit layout method.

【0002】[0002]

【従来の技術】半導体集積回路の設計において、信号パ
スのうちで最大の信号伝搬遅延時間を持つパスの遅延時
間をより短くすることが必要である。ここで、パスとは
連続されるネット(セルを結合する配線)で構成される
信号経路のことである。
2. Description of the Related Art In the design of a semiconductor integrated circuit, it is necessary to shorten the delay time of a path having the largest signal propagation delay time among signal paths. Here, the path is a signal path composed of continuous nets (wirings connecting cells).

【0003】従来の方法においては、この遅延時間を短
くするために、先ず、レイアウト設計後にタイミング解
析を行う。次に、タイミング解析の結果に基づいて、タ
イミングエラーが有れば、論理設計工程にまで戻り、回
路の修正を行う。レイアウト設計及びタイミング解析の
処理を、エラーが解消されるまで繰り返すために、設計
時間が増加してしまうという問題点があった。
In the conventional method, in order to reduce the delay time, first, timing analysis is performed after layout design. Next, based on the result of the timing analysis, if there is a timing error, the process returns to the logic design process to correct the circuit. Since the processes of layout design and timing analysis are repeated until the error is eliminated, there is a problem that the design time increases.

【0004】この問題を回避する方法として、レイアウ
ト設計の配置処理において、遅延時間が短くなるような
配置結果を求める方法が知られている。図6に、この従
来の方法の一般的な処理フローを示す。
As a method of avoiding this problem, there is known a method of obtaining an arrangement result such that a delay time is shortened in an arrangement process of a layout design. FIG. 6 shows a general processing flow of this conventional method.

【0005】図6を参照して、論理設計工程81の後
の、レイアウト設計は、レベル値Lの初期化82、タイ
ミング解析83、仮配置位置決定84、レベル値更新8
5、レベル値判定86、詳細配線、配置処理88の各工
程を含み、レイアウト設計工程の後タイミング解析89
を行い、タイミングエラーが有る場合には、再び論理設
計工程81に戻り論理の修正等を行う。
Referring to FIG. 6, after a logic design process 81, a layout design includes an initialization 82 of a level value L, a timing analysis 83, a tentative arrangement position determination 84, and a level value update 8.
5, including a level value determination 86, a detailed wiring, and a placement process 88, and a timing analysis 89 after the layout design process.
If there is a timing error, the flow returns to the logic design process 81 again to correct the logic.

【0006】この従来の方法においては、配置処理を行
う際に、論理設計の時点で予測した遅延時間(タイミン
グ制約)内に収まるように、パスを構成するネットの配
線長が短くなるような配置を求める処理を行う。
In this conventional method, when the placement processing is performed, the placement is such that the wiring length of the net constituting the path is shortened so as to be within the delay time (timing constraint) predicted at the time of logic design. Is performed.

【0007】しかし、この従来の方法では、タイミング
制約が厳しくなり、高集積化に伴い全てのタイミング制
約を守ることが容易でなくなるという問題点があった。
それは、セルの配置スペースや配線スペースなどの制約
条件がネット配線長を最小化するための条件とトレード
オフの関係にあるためである。
However, this conventional method has a problem that timing constraints become severe, and it becomes difficult to keep all timing constraints with high integration.
This is because constraints such as cell arrangement space and wiring space have a trade-off relationship with conditions for minimizing the net wiring length.

【0008】また別の従来の方法として、例えば特開平
4−287963号公報には、図7に流れ図として示す
ような方法が提案されている。
As another conventional method, for example, Japanese Patent Laying-Open No. 4-287793 has proposed a method as shown in the flowchart of FIG.

【0009】先ず、遅延時間を考慮せずに、概略配置を
行う(ステップ92)。
First, a rough arrangement is performed without considering the delay time (step 92).

【0010】次に、配置結果から遅延時間を予測し、要
求される遅延時間(タイミング制約)を満たさない場合
にセルの置換(「ゲート置換」と呼ぶ)を行う(ステッ
プ93)。
Next, the delay time is predicted from the arrangement result, and if the required delay time (timing constraint) is not satisfied, the cell is replaced (called "gate replacement") (step 93).

【0011】続いて、タイミング解析を行い、タイミン
グエラーの有無をチェックする(ステップ94)。
Subsequently, a timing analysis is performed to check for a timing error (step 94).

【0012】タイミングエラーが無くなれば詳細配置を
実行する(ステップ96)。
If the timing error disappears, the detailed arrangement is executed (step 96).

【0013】タイミング解析の結果、エラーがあれば、
その時点で論理設計まで戻る処理を行う。
As a result of the timing analysis, if there is an error,
At that time, a process for returning to the logic design is performed.

【0014】しかし、この方法では、タイミング制約を
満たしていないパス上のセルにおいて、セルの配置位置
を改善することで、タイミング制約を満たすような場合
でもセル置換処理によって改善するため、セル置換を行
うセル数が多くなりやすく集積度が悪化する可能性があ
る。
However, according to this method, in the cell on the path that does not satisfy the timing constraint, the cell replacement processing is improved even if the timing constraint is satisfied by improving the cell arrangement position. The number of cells to be performed is likely to increase, and the degree of integration may deteriorate.

【0015】[0015]

【発明が解決しようとする課題】以上説明したように、
ネットの配線長に関する制約により、遅延時間を考慮す
るという従来の方法では、セルの配置スペースや配線ス
ペースなどの制約条件とネット配線長を最小化するため
の条件とがトレードオフの関係にあるため、タイミング
制約が厳しくなり、かつ高集積になればなるほど全ての
タイミング制約を守ることが困難になる、という問題点
を有している。
As described above,
In the conventional method that considers the delay time due to the restriction on the wiring length of the net, there is a trade-off between the constraints such as the cell layout space and the wiring space and the conditions for minimizing the net wiring length. In addition, there is a problem that it becomes more difficult to comply with all timing constraints as the timing constraints become stricter and the degree of integration becomes higher.

【0016】また概略配置後にセル置換を行うという従
来の方法では、配置改善を行うことでタイミング制約を
満たせる場合があるにもかかわらず、セル置換によりタ
イミング制約を満たそうとする(すなわち無駄なセル置
換を行う)ため、セルサイズが大きくなり易く、配線ス
ペースが少なくなり、配線性に支障をきたす場合があ
る、という問題点を有している。
In the conventional method in which the cell replacement is performed after the approximate placement, there is a case where the timing constraint can be satisfied by improving the placement. (Replacement is performed), the cell size tends to be large, the wiring space is reduced, and the wiring performance may be affected.

【0017】したがって、本発明の目的は、上記問題点
を解消し、論理設計へ戻る回数を減らすことによりレイ
アウト全体の処理時間を短縮する、レイアウト方法を提
供することにある。
Accordingly, an object of the present invention is to provide a layout method that solves the above-mentioned problems and reduces the processing time of the entire layout by reducing the number of times of returning to the logical design.

【0018】[0018]

【課題を解決するための手段】上記目的を達成するため
に、本発明は、下地基板上で複数のトランジスタから構
成された論理機能単位のセルを配置し、セル間を信号配
線で接続する半導体集積回路のレイアウトを行う際に、
配置処理の中で、与えられた最大遅延時間の要求に対す
る遅延時間余裕度の無い、または少ない信号パス系列を
構成するセルに対し、遅延時間余裕度が大きくなる度合
と高密度化を考慮した上で配線改善処理と、セルを論理
的に等価で駆動能力の異なるセルに置き換えるセル置換
処理とを自動選択する機能を有することを特徴としてい
る。
In order to achieve the above object, the present invention provides a semiconductor device in which cells of a logic function unit composed of a plurality of transistors are arranged on a base substrate, and the cells are connected by signal wiring. When laying out integrated circuits,
In the arrangement processing, for cells constituting a signal path sequence having no or little delay time margin for a given request of the maximum delay time, the degree to which the delay time margin becomes large and the density increase are taken into consideration. And has a function of automatically selecting a wiring improvement process and a cell replacement process for replacing cells with cells having logically equivalent and different driving capacities.

【0019】[0019]

【発明の実施の形態】本発明の実施の形態について図面
を参照して説明する。
Embodiments of the present invention will be described with reference to the drawings.

【0020】図1は、本発明の実施の形態の処理の概要
を示す流れ図である。図2及び図3は、図1に示した処
理手順の詳細を示す流れ図である。
FIG. 1 is a flowchart showing an outline of the processing according to the embodiment of the present invention. 2 and 3 are flowcharts showing details of the processing procedure shown in FIG.

【0021】図1を参照して、本発明の実施の形態のレ
イアウト方法は、レベル(L)の初期化(L=1)を行
うステップ2と、タイミング解析を行うステップ3と、
仮配置位置を決定するステップ4と、配置改善・セル置
換処理を行うか判断するステップ5と、配置改善・セル
置換処理を行うステップ6と、レベルを一つ上げるステ
ップ7と、配置のレベルが最大値に達したか否かを判定
するステップ8と、詳細配置を行うステップ9と、配線
を行うステップ10と、を有する。
Referring to FIG. 1, in the layout method according to the embodiment of the present invention, step 2 for initializing level (L) (L = 1), step 3 for timing analysis,
Step 4 for determining the temporary placement position, step 5 for determining whether to perform the placement improvement / cell replacement processing, step 6 for performing the placement improvement / cell replacement processing, step 7 for raising the level by one, It has a step 8 for determining whether or not the maximum value has been reached, a step 9 for performing detailed arrangement, and a step 10 for performing wiring.

【0022】更に、図2及び図3に示すように、配置改
善・セル置換処理6は、主に、(a)仮想配線長算出を行
うステップ21と、(b)タイミング解析を行うステップ
22と、(c)クリティカルパス(タイミング制約を満た
していないパス)の抽出を行うステップ23と、(d)パ
ス上の全セルに対し移動した時の遅延時間の減少時間T
dを求めるステップ25と、(e)Tdの最大値が0以上
かどうかの判定を行うステップ27と、(f)最大Tを持
つセルg1を通過する他のパスの移動によりクリティカ
ルパスにならないかどうかを判定するステップ28と、
(g)移動先の領域の全セルに対してゲインGを求めるス
テップ30と、(h)最大ゲインGがある定数Cより大き
いかどうかを判定するステップ32と、(i)最大Gを持
つセルg2を通過する他のパスが移動によりクリティカ
ルパスにならないかどうかを判定するステップ33と、
(j)セルg1とセルg2を移動する手段35とセルg1
とセルg2を通るパス上のセルのTdの更新を行うステ
ップ36と、(k)着目しているパスがタイミング制約を
満たしたかどうかを判定するステップ37と、(l)全ク
リティカルパスについて処理が終わったかどうかを判定
するステップ38と、(m)パス上のセルを1つずつ取り
出すステップ41と、(n)駆動能力の高いセルに変換し
た時の遅延時間減少値を計算するステップ43と、(o)
セル置換を行うステップ44と、(p)着目しているパス
がタイミング制約を満たしたかどうかを判定するステッ
プ45と、(q)パス上の全セルを取り出したかどうかを
判定するステップ46と、を有する。
Further, as shown in FIGS. 2 and 3, the placement improvement / cell replacement processing 6 mainly includes (a) a step 21 for calculating a virtual wiring length, and (b) a step 22 for performing timing analysis. (C) Step 23 for extracting a critical path (path that does not satisfy timing constraints), and (d) Decrease time T of delay time when moving to all cells on the path.
Step 25 for calculating d, (e) Step 27 for determining whether the maximum value of Td is 0 or more, and (f) Whether a critical path is formed by moving another path passing through the cell g1 having the maximum T. Step 28 of determining whether
(g) a step 30 for determining the gain G for all cells in the destination area; (h) a step 32 for determining whether the maximum gain G is greater than a certain constant C; and (i) a cell having a maximum G. Step 33 of determining whether another path passing through g2 does not become a critical path due to movement;
(j) Means 35 for moving cell g1 and cell g2 and cell g1
Step 36 of updating Td of a cell on a path passing through the cell g2, (k) Step 37 of determining whether a path of interest satisfies a timing constraint, and (l) Processing of all critical paths (M) a step 41 of taking out cells on the path one by one, and (n) a step 43 of calculating a delay time reduction value when converted to a cell having a high driving capability. (o)
Step 44 of performing cell replacement, (p) Step 45 of determining whether the path of interest satisfies the timing constraint, and (q) Step 46 of determining whether all cells on the path have been extracted. Have.

【0023】本発明の実施の形態の動作について図面を
参照して説明する。
The operation of the embodiment of the present invention will be described with reference to the drawings.

【0024】論理設計1が終了後その情報が入力されレ
イアウト設計を行う。
After the completion of the logical design 1, the information is input and the layout is designed.

【0025】ステップ2において、レベルLを1に設定
する。
In step 2, the level L is set to 1.

【0026】ステップ3において、タイミング解析を行
い、パスの遅延時間を予測する。
In step 3, a timing analysis is performed to predict a path delay time.

【0027】ステップ4では、仮の配置位置を決定す
る。この時、タイミング制約を考慮した配置処理、また
は考慮しない配置処理どちらの配置処理を行ってもよ
い。しかし、本発明におけるレイアウト設計の主目的で
ある、タイミング制約を満たした配置結果を得る、とい
うことから、タイミング制約を考慮した配置処理を行っ
た方が好ましい。
In step 4, a provisional arrangement position is determined. At this time, either the placement processing considering the timing constraint or the placement processing not considering the timing constraint may be performed. However, from the viewpoint of obtaining an arrangement result that satisfies the timing constraint, which is the main purpose of the layout design in the present invention, it is preferable to perform the placement processing in consideration of the timing constraint.

【0028】ステップ5において、配置改善・セル置換
を実行するかを判断する。判断条件は、レベルLが実行
するレベルL_MODより大であるか否かである。実行
するレベルL_MODは、設計者による指定または自動
決定のいずれかで決定されている。
In step 5, it is determined whether or not to execute the placement improvement / cell replacement. The judgment condition is whether or not the level L is larger than the level L_MOD to be executed. The level L_MOD to be executed is determined either by designation by a designer or automatic determination.

【0029】レベルLの低い段階では、仮配置位置が大
まかであるため、タイミング解析結果と、全レベルまで
実行した時の配置結果におけるタイミング解析との差が
大きい。このため、本発明の実施の形態では、不必要な
配置改善またはセル置換が行われることを回避するため
に、配置改善・セル置換を全レベルで行わない。但し、
全レベルで実行することも可能である。
At a low level of the level L, since the provisional arrangement position is rough, there is a large difference between the result of the timing analysis and the timing analysis in the arrangement result when all the levels are executed. For this reason, in the embodiment of the present invention, in order to avoid unnecessary placement improvement or cell replacement, placement improvement / cell replacement is not performed at all levels. However,
It is possible to run at all levels.

【0030】未実行と判断されれば、ステップ7の処理
を行い、実行と判断されれば(ステップ5のYES分岐
参照)、ステップ6において、配置改善・セル置換処理
を行う。
If it is determined that the execution has not been performed, the process of step 7 is performed. If it is determined that the execution has been performed (see the YES branch of step 5), in step 6, the placement improvement / cell replacement process is performed.

【0031】ステップ7では、レベルLをL+1に更新
する。
In step 7, the level L is updated to L + 1.

【0032】ステップ8において、レベルLと指定され
たレベルL_MMAXを比較する。LがL_MAX以下
ならば、ステップ3の処理に戻る。
In step 8, the level L is compared with the designated level L_MMAX. If L is equal to or less than L_MAX, the process returns to step S3.

【0033】指定されたレベルL_MAXに達したら
(ステップ8のYES分岐参照)、ステップ9の詳細配
置、ステップ10の配線処理を行い、レイアウト設計を
終了する。
When the designated level L_MAX is reached (see the YES branch of step 8), the detailed arrangement of step 9 and the wiring processing of step 10 are performed, and the layout design is completed.

【0034】次に、ステップ6の配置改善・セル置換処
理の詳細な処理フローについて図2及び図3を参照して
説明する。
Next, a detailed processing flow of the placement improvement / cell replacement processing in step 6 will be described with reference to FIGS.

【0035】ステップ21では、まず決定された仮の配
置位置を元に全パスの仮想配線長算出を行う。
In step 21, first, virtual wiring lengths of all paths are calculated based on the determined provisional arrangement position.

【0036】ステップ22では、タイミング解析を行
い、各パスの遅延時間を計算する。
In step 22, timing analysis is performed to calculate the delay time of each path.

【0037】ステップ23において、タイミング制約を
満たしていない制約パス(クリティカルパス)を抽出す
る。このクリティカルパスに対し、配置改善処理、セル
置換処理を行う。
In step 23, a constraint path (critical path) that does not satisfy the timing constraint is extracted. The placement improvement processing and the cell replacement processing are performed on the critical path.

【0038】ステップ24で、クリティカルパスを1本
取り出す。
In step 24, one critical path is taken out.

【0039】ステップ25において、前記クリティカル
パス上の全セルに対し、他の配置領域へ移動した時の遅
延時間の減少時間Tdを求める。この時、移動できる配
置領域はセルと接続のある他のセルが置かれている最小
矩形領域に限る。セルの移動先は遅延時間が最小となる
配置領域内とする。求めた減少時間Tdの大きい順にソ
ートし、移動する配置領域情報と共にリストS1に格納
しておく。
In step 25, for all cells on the critical path, a decrease time Td of the delay time when moving to another placement area is determined. At this time, the arrangement area that can be moved is limited to a minimum rectangular area where another cell connected to the cell is placed. The destination of the cell is within the arrangement area where the delay time is minimized. Sorting is performed in the descending order of the obtained reduction time Td, and the information is stored in the list S1 together with the moving arrangement area information.

【0040】ステップ26で、減少時間Tdが最大のセ
ルg1(リストS1の先頭のセル)を取り出しリストS
1から削除する。
At step 26, the cell g1 (the first cell of the list S1) having the largest decreasing time Td is extracted and the list S1 is extracted.
Delete from 1.

【0041】ステップ27において、セルg1の減少時
間Tdをチェックし、Tdが0又は負(すなわち遅延時
間が減少しない)ならば、配置改善による遅延時間減少
は不可能と判断し、ステップ41のセル置換処理を行
う。Tdが正の値ならば、ステップ28を行う。
In step 27, the reduction time Td of the cell g1 is checked. If Td is 0 or negative (that is, the delay time does not decrease), it is determined that the delay time cannot be reduced by improving the arrangement. Perform replacement processing. If Td is a positive value, step 28 is performed.

【0042】ステップ28では、セルg1を他の配置領
域へ移動させた時にセルg1を通る他のパスがクリティ
カルパスになるかどうかをチェックする。クリティカル
パスにならなければ、ステップ30を行う。他のパスが
クリティカルパスになれば、ステップ29を行う。
In step 28, it is checked whether another path passing through the cell g1 becomes a critical path when the cell g1 is moved to another placement area. If it does not become a critical path, step 30 is performed. If another path becomes a critical path, step 29 is performed.

【0043】ステップ29では、リストS1内の先頭の
セルg1′を取り出し、リストS1からg1′を削除
し、その後、ステップ27の判定処理に移行する。
In step 29, the first cell g1 'in the list S1 is taken out, g1' is deleted from the list S1, and thereafter, the flow proceeds to the judgment processing in step 27.

【0044】ステップ30において、セルg1が移動先
の配置領域内に位置する全セルに対してゲインGを求め
る。ゲインGは、セルg1を移動させることによって生
ずる集積度の改善度合を表す評価関数である。例えば、
次式(1)で表すような関数が考えられる。
In step 30, a gain G is obtained for all cells in which the cell g1 is located in the destination area. The gain G is an evaluation function indicating the degree of improvement in the degree of integration caused by moving the cell g1. For example,
A function represented by the following equation (1) can be considered.

【0045】G=αgn+βgs+γgp …(1)G = αgn + βgs + γgp (1)

【0046】ここで、gnはセルの移動により減少する
カット数、gsは配置領域内のセルのサイズ和が所望の
比率に近づくコスト、gpは配置領域内のセルの端子数
和が所望の比率に近づくコスト、α、β、γは定数であ
る。
Here, gn is the number of cuts reduced by the movement of the cell, gs is the cost of the sum of the sizes of the cells in the arrangement area approaching a desired ratio, and gp is the desired ratio of the sum of the number of terminals of the cells in the arrangement area. , Β, γ are constants.

【0047】このゲインGが大きい程、良い配置(つま
り、より高集積化が可能な配置)であるという目安(指
標)となる。
The larger the gain G is, the better the index (ie, the higher the degree of integration) is as a guideline (index).

【0048】ステップ30では、ゲインGの大きい順に
ソートしてリストS2に格納しておく。
In step 30, the gains G are sorted in descending order and stored in the list S2.

【0049】ステップ31では、リストS2から最大ゲ
インGを持つセルg2を取り出し、リストS2から削除
する。
At step 31, the cell g2 having the maximum gain G is extracted from the list S2 and deleted from the list S2.

【0050】次のステップ32において、最大ゲインG
と定数Cの値とを比較する。前記定数Cは、設計者もし
くは自動設定で決められた数値である。
In the next step 32, the maximum gain G
And the value of the constant C. The constant C is a numerical value determined by a designer or automatic setting.

【0051】ステップ32の比較の結果、セルg2のゲ
インGが定数Cより大きい場合、セルg2を移動対象セ
ルとして選択し、ステップ33の判定処理を行う。
If the result of the comparison in step 32 is that the gain G of the cell g2 is larger than the constant C, the cell g2 is selected as the cell to be moved, and the determination processing in step 33 is performed.

【0052】一方、ゲインGが定数C以下の場合には
(ステップ32のno分岐)、配置改良を行なわず、ス
テップ34に移行する。これは、ここまでの配置で求め
た仮配置位置の結果を悪化させることを防ぐためであ
る。
On the other hand, when the gain G is equal to or smaller than the constant C (no branch of step 32), the process proceeds to step 34 without improving the arrangement. This is to prevent the result of the provisional arrangement position obtained by the arrangement up to this point from being deteriorated.

【0053】ステップ33においては、選択したセルg
2を移動させた時に、セルg2を通る他のパスがクリテ
ィカルパスにならないか判定し、セルg2を通る他のパ
スがクリティカルパスになれば(ステップ33のno分
岐)、ステップ34において、最大ゲインGを持つセル
g2′を取り出し、ステップ32の判定処理を行う。一
方、ステップ33において、セルg2を通る他のパスが
クリティカルパスにならなければ、ステップ35におい
て、セルg1とg2の移動を行う。セルg2はセルg1
の位置していた配置領域に移動する。なお、この処理
で、セルg1のみの移動も前記移動条件を満たせば可能
である。
In step 33, the selected cell g
2 is moved, it is determined whether another path passing through the cell g2 becomes a critical path. If another path passing through the cell g2 becomes a critical path (no branch in step 33), the maximum gain is determined in step 34. The cell g2 'having G is taken out, and the judgment processing of step 32 is performed. On the other hand, if another path passing through the cell g2 does not become a critical path in step 33, the cells g1 and g2 are moved in step 35. Cell g2 is cell g1
Move to the placement area where was located. In this process, only the cell g1 can be moved if the above-mentioned movement condition is satisfied.

【0054】ステップ36では、セルg1、g2を通る
各パスに対して遅延時間の減少時間Tdを再計算し更新
する。
In step 36, the delay time reduction time Td is recalculated and updated for each path passing through the cells g1 and g2.

【0055】ステップ37において、着目しているパス
がクリティカルパスでなくなった(つまり、タイミング
制約を満たした)場合には、ステップ38を行い、満た
していない場合は、ステップ25の処理を行う。
If it is determined in step 37 that the path of interest is no longer a critical path (that is, the timing constraint is satisfied), step 38 is performed; otherwise, step 25 is performed.

【0056】ステップ38において、全クリティカルパ
スに対して前記処理が終了したか否かを判定し、終了し
ていれば、図1のステップ7の処理に移行し、そうでな
ければステップ24の処理に戻る。
In step 38, it is determined whether or not the above-described processing has been completed for all the critical paths. If the processing has been completed, the process proceeds to step 7 in FIG. Return to

【0057】次に、ステップ41〜46のセル置換処理
について説明する。
Next, the cell replacement process in steps 41 to 46 will be described.

【0058】ステップ41において、セル置換処理で
は、着目しているパス上の各セルを信号の伝搬方向に1
つずつ取り出す。
In step 41, in the cell replacement process, each cell on the path of interest is moved one by one in the signal propagation direction.
Take out one by one.

【0059】ステップ42では、ステップ41で取り出
したセルを駆動能力の高いセルに置換した時の遅延時間
の減少時間を求める。
In step 42, the reduction time of the delay time when the cell taken out in step 41 is replaced with a cell having a high driving capability is obtained.

【0060】ステップ43において、遅延時間が減少す
れば、ステップ44の処理、そうでなければステップ4
5の処理を行う。
If it is determined in step 43 that the delay time is reduced, the processing in step 44 is performed.
Step 5 is performed.

【0061】ステップ44では、ステップ42で想定し
たセル置換を実施する。
In step 44, the cell replacement assumed in step 42 is performed.

【0062】ステップ45において、パスの遅延時間が
タイミング制約を満たせば、セル置換処理を終了しステ
ップ24を行い、そうでなければステップ46の処理を
行う。
In step 45, if the delay time of the path satisfies the timing constraint, the cell replacement processing is terminated and step 24 is performed. Otherwise, the processing of step 46 is performed.

【0063】ステップ46では、パス上の全セルに対し
前記処理が終了したか否かを判定し、終了していればス
テップ24を行い、そうでなければステップ41の処理
を行う。
In step 46, it is determined whether or not the above processing has been completed for all cells on the path. If it has been completed, step 24 is performed, and if not, the processing of step 41 is performed.

【0064】[0064]

【実施例】上記した本発明の実施の形態について更に詳
細に説明すべく、本発明の一実施例について図を参照し
て説明する。なお、本発明の一実施例の処理は、図1乃
至図3を参照して説明した前記実施の形態と同様とされ
る。
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a block diagram showing an embodiment of the present invention; The processing of one embodiment of the present invention is the same as that of the embodiment described with reference to FIGS.

【0065】図4は、本発明の一実施例を説明するため
の図であり、配置改善・セル置換処理の一例を示す図で
ある。
FIG. 4 is a diagram for explaining one embodiment of the present invention, and is a diagram showing an example of a placement improvement / cell replacement process.

【0066】図1を参照して上記した実施の形態で説明
したように、論理設計1が終了後その情報が入力されレ
イアウト設計を行う。ステップ3において、タイミング
解析を行い、パスの遅延時間を予測する。ステップ4で
は仮の配置位置を決定する。ステップ5において、配置
改善・セル置換を実行するか否かを判断する。未実行と
判断されればステップ7、実行と判断されれば、ステッ
プ6の配置改善・セル置換処理を行う。
As described in the above embodiment with reference to FIG. 1, after the logical design 1 is completed, the information is input and the layout is designed. In step 3, a timing analysis is performed to predict a path delay time. In step 4, a provisional arrangement position is determined. In step 5, it is determined whether or not to execute the placement improvement / cell replacement. If it is determined that the process has not been executed, the process proceeds to step 7;

【0067】図4は、あるレベルLのセル52〜59の
仮配置位置が決められた状態を示している。破線51は
領域分割線を示し、これにより配置領域が区切られる。
垂直線によって区切られた区間をXi、水平線によって
区切られた区間をYjとする。図4では、X1〜X3、
及びY1〜Y4の区間に区切られる。配置領域を、区間
Xと区間Yにより表す。例えば、セル53とセル54が
位置する配置領域は、(X2,Y3)と表す。
FIG. 4 shows a state where the provisional arrangement positions of the cells 52 to 59 of a certain level L are determined. A broken line 51 indicates an area dividing line, which separates the arrangement area.
A section separated by a vertical line is denoted by Xi, and a section separated by a horizontal line is denoted by Yj. In FIG. 4, X1 to X3,
And sections Y1 to Y4. The arrangement area is represented by a section X and a section Y. For example, the arrangement area where the cells 53 and 54 are located is represented as (X2, Y3).

【0068】図2のステップ24で取り出されたクリテ
ィカルパスを、図4において、太線60で示す。
The critical path extracted in step 24 of FIG. 2 is indicated by a thick line 60 in FIG.

【0069】ステップ25において、クリティカルパス
60上のセル52、セル53、セル58、セル59に対
し、他の配置領域へ移動した場合の遅延時間の減少時間
Tdを求める。各セルの移動可能な配置領域はそのセル
の接続するセルが位置している配置領域を囲む最小矩形
内の配置領域である。セル53は、セル52、セル5
4、セル58と接続しているので、セル53の移動可能
な配置領域は、セル52、セル54、セル58が位置す
る配置領域を囲む最小の矩形領域となり、図4に示す矩
形61のようになる。
In step 25, a decrease time Td of the delay time when the cell 52, the cell 53, the cell 58, and the cell 59 on the critical path 60 are moved to another arrangement area is obtained. The movable placement area of each cell is the placement area within the minimum rectangle surrounding the placement area where the cell to which the cell is connected is located. Cell 53 is composed of cell 52, cell 5
4. Since the cell 53 is connected to the cell 58, the movable arrangement area of the cell 53 is the smallest rectangular area surrounding the arrangement area where the cell 52, the cell 54, and the cell 58 are located, such as a rectangle 61 shown in FIG. become.

【0070】セル53が配置領域(X1,Y2)、(X
1,Y3)、(X1,Y4)、(X2,Y2)、(X
2,Y3)、(X2,Y4)にそれぞれ移動した場合の
Tdを求める。セル52、58、59についても同様に
Tdを求める。ここでは遅延時間の詳細な計算方法は省
略するが、セル53を配置領域(X1,Y3)へ移動さ
せた場合に最大のTd(>0)になるとする。
The cell 53 has the placement areas (X1, Y2), (X
1, Y3), (X1, Y4), (X2, Y2), (X
2, Y3) and (X2, Y4). Similarly, Td is obtained for the cells 52, 58, and 59. Although a detailed calculation method of the delay time is omitted here, it is assumed that the maximum Td (> 0) is obtained when the cell 53 is moved to the arrangement area (X1, Y3).

【0071】ステップ26において、最大Tdのセル5
3を取り出す。
In step 26, the cell 5 having the maximum Td
Remove 3.

【0072】ステップ27において、前記Tdが正であ
ると判定される。
At step 27, it is determined that Td is positive.

【0073】ステップ28において、移動によりセル5
3を通る他のパスがクリティカルパスになるか否かを判
定し、クリティカルパスになるパスが存在すれば、この
移動は行わず、ステップ29を行い、存在しなければ、
ステップ30を行う。
At step 28, the cell 5
It is determined whether or not another path passing through No. 3 becomes a critical path. If there is a path that becomes a critical path, this movement is not performed, and step 29 is performed.
Step 30 is performed.

【0074】ステップ30において、移動先の配置領域
内にある全セル(図4ではセル56のみ)のゲインGを
求める。セル53が配置領域(X1,Y3)へ移動した
場合のセル53のゲインGとセル56のゲインGが定数
Cよりも大きい値の場合、セル53は配置領域(X2,
Y3)から配置領域(X1,Y3)へ、セル56は配置
領域(X1,Y3)から配置領域(X2,Y3)へ、そ
れぞれ移動する。
In step 30, the gain G of all the cells (only the cell 56 in FIG. 4) in the placement area of the movement destination is obtained. When the gain G of the cell 53 and the gain G of the cell 56 when the cell 53 moves to the arrangement area (X1, Y3) are larger than the constant C, the cell 53 is placed in the arrangement area (X2, Y3).
The cell 56 moves from the arrangement area (X1, Y3) to the arrangement area (X2, Y3), respectively.

【0075】この移動により配置結果を悪化させること
なく、クリティカルパス60の遅延時間を減らすことが
できる。
The delay time of the critical path 60 can be reduced without deteriorating the arrangement result due to this movement.

【0076】ステップ36において、各セルのTdを更
新する。
In step 36, Td of each cell is updated.

【0077】ステップ37において、パス60の遅延時
間がタイミング制約を満たせば手段38を行う。満たさ
なければ更にステップ25を行う。
In step 37, if the delay time of the path 60 satisfies the timing constraint, the means 38 is performed. If not, step 25 is performed.

【0078】ステップ38では、全てのクリティカルパ
スについて処理が終了したか否かを判定する。終了して
いればステップ7を行い、終了していなければステップ
24に戻る。
At step 38, it is determined whether or not the processing has been completed for all the critical paths. If the processing has been completed, step 7 is performed, and if not completed, the processing returns to step 24.

【0079】ステップ25において、パス上の全セル5
2、53、58、59についてTdが計算される。
In step 25, all cells 5 on the path
Td is calculated for 2,53,58,59.

【0080】ステップ26において、最大Tdのセルを
取り出し、ステップ27でTdが正かどうかを判定す
る。正と判定されれば、前記と同様の処理を繰り返す。
In step 26, the cell having the maximum Td is extracted, and in step 27, it is determined whether Td is positive. If it is determined to be positive, the same processing as described above is repeated.

【0081】これまでの配置改善処理によって遅延時間
の制約を満たすことができなかったパスが存在する場合
は、セル置換の処理を行う。
When there is a path that cannot satisfy the restriction of the delay time by the arrangement improvement processing so far, the cell replacement processing is performed.

【0082】図1を参照して、本発明の第2の実施の形
態について説明する。
Referring to FIG. 1, a second embodiment of the present invention will be described.

【0083】仮配置位置決定ステップ4により仮の配置
位置が決定され、ステップ5により配置改善・セル置換
を実行するかが判断されるまでは、前記実施の形態と同
じである。ここで、L_MOD=(L_MAX−1)と
することにより、従来技術の概略配置を行った後に、配
置改善・セル置換処理を実行するのと同じ処理にするこ
とができる。配置改善・セル置換処理は、前記実施の形
態と同じ処理となる。
The process is the same as that of the above-described embodiment until the provisional placement position is determined in the provisional placement position determination step 4 and it is determined in step 5 whether to perform the placement improvement / cell replacement. Here, by setting L_MOD = (L_MAX−1), it is possible to perform the same processing as that of executing the layout improvement / cell replacement processing after performing the general layout according to the related art. The arrangement improvement / cell replacement processing is the same processing as in the above embodiment.

【0084】この実施の形態と、図7に示す従来技術と
の違いは、従来技術では、概略配置後に、セル置換処理
のみを行っていたのに対して、この実施の形態では、配
置改善・セル置換処理を行う点である。
The difference between this embodiment and the prior art shown in FIG. 7 is that, in the prior art, only the cell replacement process is performed after the general arrangement, whereas in this embodiment, the arrangement improvement and The point is that the cell replacement processing is performed.

【0085】図5に、本発明のレイアウト方法を実装す
るシステム構成の一実施例を示す。
FIG. 5 shows an embodiment of a system configuration for implementing the layout method of the present invention.

【0086】図5において、71はシステムバスを示
し、該バスを介して中央処理装置(CPU)72、メモ
リ73、キーボード74、プリンタ75、及びディスプ
レイ等の表示器76が接続されている。CPU72は、
上記した各ステップを実行するためのプログラムに基づ
いて、チップ上でゲートアレイのレイアウトを行う機能
を有する。メモリ73には、CPU72が行う処理を規
定したプログラムとその処理を実行するのに必要な情報
が予め記憶されており、また、処理中の必要な情報が一
時的に格納されたり、レイアウト結果が格納されるよう
になっている。キーボード74は、設計者が、上述した
プログラムおよびその処理に必要な情報を入力したり、
CPU72に対して該プログラムの実行開始を指示した
り、あるいは、レイアウト結果をプリンタ75、または
表示器76に出力させるためのものである。
In FIG. 5, reference numeral 71 denotes a system bus to which a central processing unit (CPU) 72, a memory 73, a keyboard 74, a printer 75, and a display 76 such as a display are connected. The CPU 72
It has a function of laying out a gate array on a chip based on a program for executing the above steps. The memory 73 stores in advance a program that defines the processing to be performed by the CPU 72 and information necessary to execute the processing, and temporarily stores necessary information during the processing, and stores a layout result. It is stored. The keyboard 74 allows the designer to input the program described above and information necessary for the processing thereof,
This is for instructing the CPU 72 to start execution of the program, or for outputting the layout result to the printer 75 or the display 76.

【0087】[0087]

【発明の効果】以上説明したように、本発明によれば、
タイミングドリブン(タイミング駆動型)配置の中で、
配置改善処理またはセル置換処理を自動選択して配置を
行い信号の遅延時間を減少させていため、従来法でタイ
ミングエラーによって繰り返されていた設計修正の回数
を減少させ、設計時間を短縮することができる、という
効果を奏する。
As described above, according to the present invention,
In a timing driven (timing driven) arrangement,
Since the placement improvement process or cell replacement process is automatically selected and placed to reduce the signal delay time, the number of design corrections that have been repeated due to timing errors in the conventional method can be reduced, and the design time can be reduced. It has the effect of being able to.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態の処理を示す流れ図であ
る。
FIG. 1 is a flowchart showing a process according to an embodiment of the present invention.

【図2】本発明の実施の形態の配置改善・セル置換処理
の一例を示す流れ図である。
FIG. 2 is a flowchart illustrating an example of a placement improvement / cell replacement process according to the embodiment of the present invention.

【図3】本発明の実施の形態の配置改善・セル置換処理
の一例を示す流れ図である。
FIG. 3 is a flowchart showing an example of a placement improvement / cell replacement process according to the embodiment of the present invention.

【図4】本発明の一実施例の配置改善・セル置換処理を
説明するための図である。
FIG. 4 is a diagram for explaining an arrangement improvement / cell replacement process according to one embodiment of the present invention;

【図5】本発明の一実施例が適用されるシステム構成を
示す図である。
FIG. 5 is a diagram showing a system configuration to which an embodiment of the present invention is applied.

【図6】従来技術のレイアウト処理(1)を示す図であ
る。
FIG. 6 is a diagram showing a layout process (1) according to the related art.

【図7】従来技術のレイアウト処理(2)を示す図であ
る。
FIG. 7 is a diagram showing a layout process (2) according to the related art.

【符号の説明】[Explanation of symbols]

1、81、91 論理設計手段 2、82 レベル初期化手段 3、11、22、83、89、94、98 タイミング
解析手段 4、84 仮配置位置決定手段 5 配置改善・セル置換実行判定手段 6 配置改善・セル置換実行手段 7、85 レベル加算手段 8、86 レベル判定手段 9、87、96 詳細配置手段 10、88、97 配線手段 12、90、95、99 タイミングエラー判定手段 51 領域分割線 52、53、54、55、56、57、58、59 セ
ル 60 クリティカルパス 71 システムバス 72 中央処理装置(CPU) 73 メモリ 74 キーボード 75 プリンタ 76 表示器(ディスプレイ) 92 概略配置手段
1, 81, 91 Logic design means 2, 82 Level initialization means 3, 11, 22, 83, 89, 94, 98 Timing analysis means 4, 84 Temporary placement position determination means 5 Placement improvement / cell replacement execution determination means 6 Placement Improvement / cell replacement executing means 7, 85 level adding means 8, 86 level determining means 9, 87, 96 detailed arranging means 10, 88, 97 wiring means 12, 90, 95, 99 timing error determining means 51 area dividing line 52, 53, 54, 55, 56, 57, 58, 59 cell 60 critical path 71 system bus 72 central processing unit (CPU) 73 memory 74 keyboard 75 printer 76 display (display) 92 schematic arrangement means

───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 平5−298394(JP,A) 特開 平6−140514(JP,A) 特開 平8−306788(JP,A) 特開 平10−284612(JP,A) 特開 平10−335467(JP,A) 秋山寿博、外2名,”高性能ASIC のレイアウト技術”,東芝レビュー,株 式会社東芝,平成7年6月1日,第50 巻,第6号,p.460−464 (58)調査した分野(Int.Cl.6,DB名) G06F 17/50 H01L 21/82 ────────────────────────────────────────────────── ─── Continuation of the front page (56) References JP-A-5-298394 (JP, A) JP-A-6-140514 (JP, A) JP-A-8-306788 (JP, A) JP-A-10- 284612 (JP, A) Japanese Patent Laid-Open No. Hei 10-335467 (JP, A) Toshihiro Akiyama and two others, "High-performance ASIC layout technology", Toshiba Review, Toshiba Corporation, June 1, 1995, Vol. 50, No. 6, p. 460-464 (58) Field surveyed (Int.Cl. 6 , DB name) G06F 17/50 H01L 21/82

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】下地基板上で複数のトランジスタから構成
された論理機能単位のセルを配置し、セル間を信号配線
で接続する半導体集積回路のレイアウトを行う際に、 配置処理の中で、与えられた最大遅延時間の要求に対す
る遅延時間余裕度の無い、もしくは少ない信号パス系列
を構成するセルに対し、遅延時間余裕度が大きくなる度
合と高密度化を考慮した上で、配線改善処理、及び、セ
ルを論理的に等価で駆動能力の異なるセルに置き換える
セル置換処理の実行を自動選択する、ことを有すること
を特徴とするレイアウト方法。
1. A method of arranging cells of a logic function unit composed of a plurality of transistors on a base substrate and arranging the cells in a layout process of a semiconductor integrated circuit connecting the cells by signal wiring. No delay time margin for the requested maximum delay time requirement, or for cells constituting a signal path sequence, taking into account the degree of increase in delay time margin and the density increase, wiring improvement processing, and Automatically selecting execution of a cell replacement process for replacing a cell with a cell having a logically equivalent and different driving capability.
【請求項2】下地基板上で複数のトランジスタから構成
された論理機能単位のセルを配置し、セル間を信号配線
で接続する半導体集積回路のレイアウトを行う際に、配
置処理の中で、与えられた最大遅延時間の要求に対する
遅延時間余裕度の無い、もしくは少ない信号パス系列を
構成するセルに対し、遅延時間余裕度が大きくなる度合
と高密度化を考慮した上で、配線改善処理、及び、セル
を論理的に等価で駆動能力の異なるセルに置き換えるセ
ル置換処理の実行を自動選択する機能を実現させるため
のプログラムを記録した記録媒体。
2. A method of arranging cells of a logic function unit comprising a plurality of transistors on a base substrate and arranging the cells in a layout process when laying out a semiconductor integrated circuit connecting the cells by signal wiring. No delay time margin for the requested maximum delay time requirement, or for cells constituting a signal path sequence, taking into account the degree of increase in delay time margin and the density increase, wiring improvement processing, and A recording medium for recording a program for realizing a function of automatically selecting execution of cell replacement processing for replacing cells with cells having logically equivalent and different driving capacities.
【請求項3】論理設計が終了後の回路情報を入力してレ
イアウト設計を行う際に、 (a)レベルを初期化するステップと (b)タイミング解析を行いパスの遅延時間を予測する
ステップと、 (c)仮の配置位置を決定するステップと、 (d)レベルの値が所定の値以上の場合に、配線改善処
理、及び、セルを論理的に等価で駆動能力の異なるセル
に置き換えるセル置換処理を行うステップと、 (e)レベルを更新するステップと、 を含み、 レベルが低い段階での前記配線改善処理及びセル置換処
理ステップは行わないように制御し、 レベルの値が指定したレベルに達するまで、上記(a)
〜(e)のステップの処理を行った後、詳細配置、及び
配線を行う、 ことを特徴とするレイアウト方法。
3. When inputting circuit information after completion of logic design and performing layout design, (a) a step of initializing a level, and (b) a step of performing a timing analysis to predict a delay time of a path. (C) a step of determining a temporary layout position; and (d) a wiring improvement process, and a cell for replacing a cell with a cell that is logically equivalent and has a different driving capability when the level value is equal to or greater than a predetermined value. Performing a replacement process; and (e) updating a level. Controlling the wiring improvement process and the cell replacement process step to be performed at a low level, and setting the level value to the specified level. Until (a)
(E) performing a detailed arrangement and a wiring after performing the processing of the steps (e).
【請求項4】論理設計が終了後のレイアウト設計を情報
処理装置で実行させる処理において、 (a)レベルを初期化する処理、 (b)タイミング解析を行いパスの遅延時間を予測する
処理、 (c)仮の配置位置を決定する処理、 (d)レベルの値が所定の値と比較し、前記レベルの値
が前記所定の値以上の場合に実行される、配線改善処
理、及び、セルを論理的に等価で駆動能力の異なるセル
に置き換える処理、 (e)レベルを更新する処理、及び、 (f)レベルの値が指定したレベルに達するまで、上記
(a)〜(e)のステップの処理を行うように制御する
処理、 の上記処理(a)〜(f)を情報処理装置で実行させる
ためのプログラムを記録した記録媒体。
4. A process for causing a data processing device to execute a layout design after a logical design is completed, (a) a process for initializing a level, (b) a process for performing timing analysis and predicting a delay time of a path, c) a process of determining a provisional arrangement position; and (d) a wiring improvement process, which is performed when the level value is compared with a predetermined value and the level value is equal to or greater than the predetermined value. (E) a process of updating the level, and (f) a process of updating the level, and (f) a process of the steps (a) to (e) until the value of the level reaches a specified level. A recording medium on which a program for causing the information processing apparatus to execute the above-described processes (a) to (f) of the process of controlling to perform the process is described.
JP9165342A 1997-06-06 1997-06-06 Circuit layout method Expired - Fee Related JP2967762B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9165342A JP2967762B2 (en) 1997-06-06 1997-06-06 Circuit layout method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9165342A JP2967762B2 (en) 1997-06-06 1997-06-06 Circuit layout method

Publications (2)

Publication Number Publication Date
JPH10340292A JPH10340292A (en) 1998-12-22
JP2967762B2 true JP2967762B2 (en) 1999-10-25

Family

ID=15810529

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9165342A Expired - Fee Related JP2967762B2 (en) 1997-06-06 1997-06-06 Circuit layout method

Country Status (1)

Country Link
JP (1) JP2967762B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6890460B2 (en) 2017-04-27 2021-06-18 日立Astemo株式会社 Vehicle control system verification method and verification device and control device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
秋山寿博、外2名,"高性能ASICのレイアウト技術",東芝レビュー,株式会社東芝,平成7年6月1日,第50巻,第6号,p.460−464

Also Published As

Publication number Publication date
JPH10340292A (en) 1998-12-22

Similar Documents

Publication Publication Date Title
US6298468B1 (en) Placement-based pin optimization method and apparatus for computer-aided circuit design
US8990743B2 (en) Automated circuit design
JPH10163330A (en) Apparatus and method for optimizing delay in taking layout in consideration
JP2800527B2 (en) Floor plan equipment
JP3529563B2 (en) Semiconductor integrated circuit re-layout method and medium recording semiconductor integrated circuit re-layout program
US5530654A (en) LSI logic synthesis device and method therefor
US7148135B2 (en) Method of designing low-power semiconductor integrated circuit
JP4045113B2 (en) Semiconductor integrated circuit design method, program, and recording medium
US6198978B1 (en) Semiconductor integrated circuit designing system taking insertion of repeaters into consideration and designing method thereof
US6901567B2 (en) Method of performing timing-driven layout
JP2967762B2 (en) Circuit layout method
US20180068907A1 (en) Integrated circuit designing system and a method of manufacturing an integrated circuit
JP2005149273A (en) Apparatus and method for floor planning of semiconductor integrated circuit
US10831971B1 (en) Net layer promotion with swap capability in electronic design
US6532583B1 (en) Global routing determination method and storage medium
US20080256502A1 (en) System and method for global circuit routing incorporating estimation of critical area estimate metrics
JP3553010B2 (en) Semiconductor integrated circuit design method
JP2000055986A (en) Designing method for semiconductor integrated circuit
JPH06310601A (en) Layout design method
JPH09232436A (en) Method and apparatus for logic synthesis, and method for designing semiconductor integrated circuit
JP3230234B2 (en) A delay adjustment method for a semiconductor integrated circuit, a delay adjustment circuit block, and a recording medium.
JP4778339B2 (en) Automatic placement method, apparatus, and program
JP3033763B1 (en) Semiconductor integrated circuit delay reduction arrangement processing apparatus and delay reduction arrangement processing method
JP2921454B2 (en) Wiring method of integrated circuit
JP3193802B2 (en) Method and apparatus for designing semiconductor integrated circuit

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990721

LAPS Cancellation because of no payment of annual fees