JP2956524B2 - Etching method - Google Patents

Etching method

Info

Publication number
JP2956524B2
JP2956524B2 JP7098331A JP9833195A JP2956524B2 JP 2956524 B2 JP2956524 B2 JP 2956524B2 JP 7098331 A JP7098331 A JP 7098331A JP 9833195 A JP9833195 A JP 9833195A JP 2956524 B2 JP2956524 B2 JP 2956524B2
Authority
JP
Japan
Prior art keywords
oxide film
gas
silicon oxide
etching
silicon nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP7098331A
Other languages
Japanese (ja)
Other versions
JPH08293487A (en
Inventor
啓一 原島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP7098331A priority Critical patent/JP2956524B2/en
Priority to KR19960012291A priority patent/KR960039166A/ko
Priority to GB9608273A priority patent/GB2300303B/en
Publication of JPH08293487A publication Critical patent/JPH08293487A/en
Application granted granted Critical
Publication of JP2956524B2 publication Critical patent/JP2956524B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、エッチング方法に関
し、特にシリコン酸化膜のドライエッチング方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an etching method, and more particularly to a dry etching method for a silicon oxide film.

【0002】[0002]

【従来の技術】半導体装置の高集積化にともない、コン
タクトホール径、電極配線幅及び電極配線間隔の微細化
が要求されている。このため、望まないショートを防止
しながら、隣り合う電極配線の間にコンタクトホールを
設けるための自己整合コンタクト技術が重要になってき
ている。初めに、この自己整合コンタクトについて説明
する。
2. Description of the Related Art As semiconductor devices become more highly integrated, finer contact hole diameters, electrode wiring widths, and electrode wiring intervals are required. Therefore, a self-aligned contact technique for providing a contact hole between adjacent electrode wirings while preventing an undesired short circuit is becoming important. First, the self-aligned contact will be described.

【0003】まず、図6(a)のように、シリコン基板
21にシリコン酸化膜22、電極配線25となるポリシ
リコン膜23を形成する。その後で図6(b)のよう
に、レジストを塗布・現像して電極配線レジストパター
ン24を形成し、これをマスクとしてポリシリコン膜2
3をドライエッチングする事により電極配線25を形成
する。図6(c)のように、レジストパターン24の除
去後に層間絶縁膜となるシリコン酸化膜26を堆積し、
その後レジストを塗布・現像する事によりコンタクトホ
ールレジストパターン27を形成する。これをマスクと
し、図6(c)のようにエッチングしてコンタクトホー
ル28を開口する。さらに、図6(d)のように、レジ
ストパターン27の除去後、上層配線29を形成し、コ
ンタクトホール底部においてシリコン基板21との導通
をとっている。
First, as shown in FIG. 6A, a silicon oxide film 22 and a polysilicon film 23 serving as an electrode wiring 25 are formed on a silicon substrate 21. Thereafter, as shown in FIG. 6B, a resist is applied and developed to form an electrode wiring resist pattern 24, and the polysilicon film 2 is
The electrode wiring 25 is formed by dry-etching 3. As shown in FIG. 6C, after removing the resist pattern 24, a silicon oxide film 26 serving as an interlayer insulating film is deposited.
Thereafter, by applying and developing a resist, a contact hole resist pattern 27 is formed. Using this as a mask, the contact hole 28 is opened by etching as shown in FIG. Further, as shown in FIG. 6D, after removing the resist pattern 27, an upper layer wiring 29 is formed, and conduction with the silicon substrate 21 is established at the bottom of the contact hole.

【0004】この時、電極配線パターンに対し、コンタ
クトホールレジストパターン27が目ズレした場合、図
7(a)に示すように電極配線25がコンタクトホール
28内に露出してしまい、電極配線25が上層配線29
とショートしてしまう問題が生じる。このため、図7
(b)に示すように自己整合的にコンタクトホール28
を開口することが必要となる。ここで、ゲート電極のよ
うな電極配線25の上面及び側面をエッチングストッパ
29で覆っておく。このように、エッチングストッパ2
9を設けておき、エッチングストッパ29に対してシリ
コン酸化膜26を選択的にエッチングすれば、コンタク
トホールレジストパターン27が目ズレした場合でも、
電極配線25とのショートを防止しながらコンタクトホ
ール26を開口することができる。ここで、エッチング
ストッパ29としては、絶縁膜でありシリコン酸化膜と
異種材料であり又半導体装置に通常使用されているシリ
コン窒化膜が適していると考えられる。
At this time, if the contact hole resist pattern 27 is misaligned with respect to the electrode wiring pattern, the electrode wiring 25 is exposed in the contact hole 28 as shown in FIG. Upper layer wiring 29
And a short circuit occurs. Therefore, FIG.
As shown in (b), the contact holes 28 are self-aligned.
Need to be opened. Here, an upper surface and side surfaces of the electrode wiring 25 such as a gate electrode are covered with an etching stopper 29. Thus, the etching stopper 2
9 is provided, and if the silicon oxide film 26 is selectively etched with respect to the etching stopper 29, even if the contact hole resist pattern 27 is misaligned,
The contact hole 26 can be opened while preventing a short circuit with the electrode wiring 25. Here, as the etching stopper 29, it is considered that a silicon nitride film which is an insulating film, a material different from a silicon oxide film, and which is generally used for a semiconductor device is suitable.

【0005】例えば、1991年 IEICE Tra
nsactions vol.E74 No.4 p.
818,1993年 ドライプロセスシンポジウム予稿
集p.193等にもシリコン窒化膜をエッチングストッ
パーとした自己整合コンタクトについて記載されてい
る。このため、シリコン窒化膜に対し、選択的にシリコ
ン酸化膜エッチングさせる事、すなわちエッチング選択
比が大きい事が必要となる。
[0005] For example, 1991 IEICE Tra
nsactions vol. E74 No. 4 p.
818, 1993 Dry Process Symposium Proceedings p. 193 also describes a self-aligned contact using a silicon nitride film as an etching stopper. Therefore, it is necessary to selectively etch the silicon oxide film with respect to the silicon nitride film, that is, to have a large etching selectivity.

【0006】1991年 IEICE Transac
tions vol.E74 No.4 p.818で
は、バッファードフッ酸を用いたウェットエッチングに
より選択エッチングを行なっている。例えば、フッ酸と
フッ化アンモニウムを1:30の割合で混合したバッフ
ァードフッ酸を用いれば、シリコン窒化膜に対するシリ
コン酸化膜のエッチング選択比は150程度が得られ
る。しかしながら、等方性エッチングであるため微細な
コンタクトホールでは寸法制御が不可能であり、不適当
である。
1991 IEICE Transac
tions vol. E74 No. 4 p. At 818, selective etching is performed by wet etching using buffered hydrofluoric acid. For example, if buffered hydrofluoric acid in which hydrofluoric acid and ammonium fluoride are mixed at a ratio of 1:30 is used, an etching selectivity of the silicon oxide film to the silicon nitride film of about 150 can be obtained. However, because of the isotropic etching, dimensional control is impossible with a fine contact hole, which is inappropriate.

【0007】このため、シリコン酸化膜を異方的にエッ
チングし、かつシリコン窒化膜との選択比を向上させる
必要がある。従来、シリコン酸化膜を異方的にエッチン
グしコンタクトホールを形成する方法としては、反応性
イオンエッチング(RIE)装置を用い、ガスとしてC
4 とCHF3 の混合ガスを用いる方法がある。これに
よりシリコン酸化膜の異方的なエッチングが可能とな
る。しかしながら、シリコン窒化膜に対するシリコン酸
化膜のエッチング選択比は1〜2程度であり、選択エッ
チングは不可能である。
For this reason, it is necessary to etch the silicon oxide film anisotropically and to improve the selectivity with respect to the silicon nitride film. Conventionally, as a method of forming a contact hole by anisotropically etching a silicon oxide film, a reactive ion etching (RIE) apparatus is used, and C is used as a gas.
There is a method using a mixed gas of F 4 and CHF 3 . This enables anisotropic etching of the silicon oxide film. However, the etching selectivity of the silicon oxide film to the silicon nitride film is about 1 to 2, and selective etching is impossible.

【0008】これに対し、シリコン窒化膜に対するシリ
コン酸化膜のエッチング選択比を向上させる試みがおこ
なわれており、例えば1993年ドライプロセスシンポ
ジウム予稿集p.193によればC4 8 ガスにCOガ
スを添加する事によりシリコン窒化膜に対して、15〜
30程度の選択比でシリコン酸化膜をエッチングできる
事が報告されている。
On the other hand, attempts have been made to improve the etching selectivity of a silicon oxide film relative to a silicon nitride film. For example, in the 1993 Dry Process Symposium Proceedings, p. According to 193, by adding a CO gas to a C 4 F 8 gas, a silicon nitride film is formed with a gas of 15 to 15.
It has been reported that a silicon oxide film can be etched with a selectivity of about 30.

【0009】[0009]

【発明が解決しようとする課題】この従来技術であるC
4 とCHF3 等の混合ガス系でエッチングをおこなっ
た場合、シリコン窒化膜に対するシリコン酸化膜の選択
比は低く、その値は1〜2程度である。このため、シリ
コン窒化膜に対するシリコン酸化膜の選択的なエッチン
グは不可能であった。この従来技術において選択比が低
い理由は、シリコン窒化膜上に保護膜を選択的に形成す
る能力が低い為である。
The prior art C
If subjected to etching with a mixed gas system, such as F 4 and CHF 3, selectivity of the silicon oxide film to the silicon nitride film is low, the value is about 1-2. Therefore, selective etching of the silicon oxide film with respect to the silicon nitride film was impossible. The reason for the low selectivity in this prior art is that the ability to selectively form a protective film on a silicon nitride film is low.

【0010】シリコン酸化膜をフロロカーボン系のガス
を用いてエッチングする場合、エッチングと同時に炭素
及びフッ素を成分とする生成物の堆積が同時に起こって
いる。シリコン酸化膜上ではエッチング中に放出される
酸素原子がこの生成物と結びつき、揮発性のCO,CO
F等となり排出されるため、生成物が保護膜として堆積
せず、エッチングは進行する。これに対し、シリコン窒
化膜上では酸素原子が存在しないため、炭素及びフッ素
を成分とする生成物が保護膜として形成される。この保
護膜がシリコン窒化膜をイオン衝撃から保護する事によ
り、シリコン窒化膜のエッチング速度はシリコン酸化膜
に比べ低下し、選択エッチングが可能となる。従来技術
であるCF4 とCHF3 の混合ガスを用いたドライエッ
チングでは、シリコン窒化膜上に選択的に保護を形成す
る能力が低いという問題点があった。すなわち、CHF
3 はエッチングと共に保護膜の形成をおこなっている
が、CHF3 ガスのみでは保護膜の形成が進み過ぎ、コ
ンタクトホール内ではシリコン酸化膜上にも堆積物を形
成してしまい、シリコン酸化膜のエッチングも停止して
しまう。これに、エッチング能力の高いエッチング種を
放出するCF4 ガスを添加する事によりシリコン酸化膜
のエッチングを進行させる事ができる。しかしながらC
4 ガスは保護膜形成成分をほとんど生成しないため、
CHF3 ガスによりシリコン窒化膜上に形成された保護
膜をもエッチングしてしまう。このため、シリコン窒化
膜上への保護膜形成の制御が難しく、選択エッチングは
困難であった。
When a silicon oxide film is etched using a fluorocarbon-based gas, a product containing carbon and fluorine is deposited simultaneously with the etching. On the silicon oxide film, oxygen atoms released during etching are combined with this product to form volatile CO, CO
Since it is discharged as F or the like, the product does not deposit as a protective film, and the etching proceeds. On the other hand, since oxygen atoms do not exist on the silicon nitride film, a product containing carbon and fluorine as components is formed as a protective film. Since this protective film protects the silicon nitride film from ion bombardment, the etching rate of the silicon nitride film is lower than that of the silicon oxide film, and selective etching can be performed. The conventional dry etching using a mixed gas of CF 4 and CHF 3 has a problem in that the ability to selectively form protection on a silicon nitride film is low. That is, CHF
In No. 3 , the protective film is formed together with the etching. However, the formation of the protective film proceeds too much with only the CHF 3 gas, and a deposit is formed on the silicon oxide film in the contact hole. Will also stop. The etching of the silicon oxide film can be advanced by adding a CF 4 gas which releases an etching species having a high etching ability to the etching gas. However C
Since F 4 gas hardly generates protective film forming components,
The protective film formed on the silicon nitride film is also etched by the CHF 3 gas. Therefore, it is difficult to control the formation of the protective film on the silicon nitride film, and it is difficult to perform selective etching.

【0011】又、C4 8 とCOの混合ガスを用いる事
により、シリコン窒化膜に対するシリコン酸化膜の選択
比が15〜30程度に向上される事が報告されている。
しかしながら、COガスは毒性が強く、取扱う上で危険
であるという問題点がある。
It has been reported that the selectivity of a silicon oxide film to a silicon nitride film can be improved to about 15 to 30 by using a mixed gas of C 4 F 8 and CO.
However, there is a problem that CO gas is highly toxic and dangerous in handling.

【0012】したがって、本願発明の目的は、有毒なC
Oガスをエッチングガスとして導入することなく、シリ
コン窒化膜に対するシリコン酸化膜のエッチング選択比
を向上させることができるドライエッチング方法を提供
することにある。
Therefore, an object of the present invention is to provide a toxic C
An object of the present invention is to provide a dry etching method capable of improving an etching selectivity of a silicon oxide film to a silicon nitride film without introducing O gas as an etching gas.

【0013】[0013]

【課題を解決するための手段】本発明のエッチング方法
は、シリコン酸化膜をシリコン窒化膜に対して選択的に
異方性エッチングするエッチング方法において、フッ
素、炭素及び水素を含む化合物気体と不活性ガスとの混
合ガスを反応ガスとして用い、前記混合ガスの圧力は
0.01〜0.05Torr、総ガス量に対する前記不
活性ガスの混合比は40〜70%、エッチングされる被
加工物の温度は80〜125 Cに選ばれていることを
特徴としている。好ましくは、前記フッ素、炭素及び水
素を含む化合物気体として、CF 4 と水素の混合気体、
又はCHF 3 を用い、不活性ガスとしてAr、Ne又は
Heのうちの少なくとも一つを用いる。また、他の本発
明のエッチング方法は、半導体基板上に形成された第1
のシリコン酸化膜、前記第1のシリコン酸化膜上に形成
された第1及び第2の電極配線、前記第1及び第2の電
極配線の上面をそれぞれ覆う第1及び第2のシリコン窒
化膜、及び前記電極配線で覆われずに露出した前記第1
のシリコン酸化膜と前記第1及び第2の電極配線の側面
と前記第1及び第2のシリコン窒化膜とを覆う第2のシ
リコン酸化膜を備えた半導体装置のためのエッチング方
法であって、フッ素、炭素及び水素を含む化合物気体と
不活性ガスとの混合ガスを反応ガスとして用い、前記混
合ガスの圧力を0.01〜0.05Torr、総ガス量
に対する前記不活性ガスの混合比を40〜70%、エッ
チングされる被加工物の温度を80〜125 Cに選
び、前記第1及び第2のシリコン酸化膜を前記第1及び
第2のシリコン窒化膜に対して選択的に異方性エッチン
グし、前記第1及び第2の電極配線間に位置し、前記半
導体基板に達する深さのコンタクトホールを自己整合的
に前記シリコン酸化膜に形成することを特徴としてい
る。そして好ましくは、 前記フッ素、炭素及び水素を
含む化合物気体としてはCF 4 と水素の混合気体、又は
CHF 3 を用い、不活性ガスとしてAr、Ne又はHe
のうちの少なくとも一つを用いる。
According to the etching method of the present invention, a silicon oxide film is selectively formed with respect to a silicon nitride film.
In the etching method of performing anisotropic etching,
Mixture of a compound gas containing nitrogen, carbon and hydrogen with an inert gas
The combined gas is used as a reaction gas, and the pressure of the mixed gas is
0.01 to 0.05 Torr, the above
The mixing ratio of the active gas is 40-70%,
It is characterized in that the temperature of the workpiece is selected between 80 and 125 - C . Preferably, the fluorine, carbon and water
As a compound gas containing sulfur, a mixed gas of CF 4 and hydrogen,
Or CHF 3, and Ar, Ne or
At least one of He is used. In addition, other
The light etching method uses the first method formed on a semiconductor substrate.
Silicon oxide film formed on the first silicon oxide film
First and second electrode wirings, and the first and second electrodes
First and second silicon nitride covering the upper surface of the pole wiring, respectively.
The first layer exposed without being covered with the oxide film and the electrode wiring
Silicon oxide film and side surfaces of the first and second electrode wirings
And a second silicon layer covering the first and second silicon nitride films.
Etching method for semiconductor device with recon oxide film
A compound gas containing fluorine, carbon and hydrogen.
Using a mixed gas with an inert gas as a reaction gas,
Combined gas pressure 0.01 to 0.05 Torr, total gas volume
The mixing ratio of the inert gas with respect to
To select the temperature of the workpiece to be quenching to 80 to 125 over C
And forming the first and second silicon oxide films on the first and second silicon oxide films.
Anisotropic etchin selectively to second silicon nitride film
And between the first and second electrode wirings,
Self-aligned contact holes to the depth of conductor substrate
Formed on the silicon oxide film.
You. And preferably, the fluorine, carbon and hydrogen
As the compound gas containing, a mixed gas of CF 4 and hydrogen, or
Using CHF 3 , Ar, Ne or He as an inert gas
Use at least one of the following.

【0014】[0014]

【作用】反応ガスに添加した不活性ガスが、シリコン窒
化膜上への保護膜の堆積量を増加させることができるの
で、シリコン窒化膜に対するシリコン酸化膜のエッチン
グ選択比を増加させることができる。
The inert gas added to the reaction gas can increase the amount of the protective film deposited on the silicon nitride film, so that the etching selectivity of the silicon oxide film to the silicon nitride film can be increased.

【0015】[0015]

【実施例】上記及びその他の目的、特徴及び効果を明瞭
にすべく、本発明の実施例について、図面を参照して説
明する。初めに、フッ素、炭素及び水素を含む化合物気
体の一例としてCHF3 を、不活性ガスの一例としてA
rをそれぞれ選んだ場合について、詳細に説明する。
Embodiments of the present invention will be described with reference to the drawings to clarify the above and other objects, features and effects. First, CHF 3 is used as an example of a compound gas containing fluorine, carbon, and hydrogen, and A is used as an example of an inert gas.
The case where r is selected will be described in detail.

【0016】図1は、CHF3 とArの混合ガスにおい
て、総ガス流量に対するArの混合比を変えた場合に得
られたシリコン窒化膜とシリコン酸化膜のエッチング速
度である。Arガスを添加する事により、保護膜の堆積
量を制御し、シリコン窒化膜上に選択的に保護膜を形成
させる事ができる。Ar混合比40〜70%においてシ
リコン酸化膜をシリコン窒化膜に対し選択的にエッチン
グする事ができた。Ar混合比を70%より大きくする
と保護膜形成量の減少が顕著になるためにシリコン窒化
膜が保護されず、エッチング速度が増加し、選択的なエ
ッチングは困難となる。又、Ar混合比を40%より減
少させるとシリコン酸化膜上にも保護膜が堆積し、コン
タクトホールのエッチングが進行しなくなる。これらよ
り、Ar混合比を40〜70%の範囲でエッチングする
事が望ましい。
FIG. 1 shows the etching rates of the silicon nitride film and the silicon oxide film obtained when the mixing ratio of Ar to the total gas flow rate was changed in the mixed gas of CHF 3 and Ar. By adding Ar gas, the deposition amount of the protective film can be controlled, and the protective film can be selectively formed on the silicon nitride film. At an Ar mixing ratio of 40 to 70%, the silicon oxide film could be selectively etched with respect to the silicon nitride film. If the Ar mixing ratio is greater than 70%, the silicon nitride film is not protected because the amount of formation of the protective film is remarkably reduced, and the etching rate increases, making it difficult to perform selective etching. If the Ar mixture ratio is reduced below 40%, a protective film is deposited on the silicon oxide film, and the etching of the contact hole does not proceed. For these reasons, it is desirable to perform etching with an Ar mixture ratio in the range of 40 to 70%.

【0017】さらに圧力を変化させる事により得られた
シリコン酸化膜とシリコン窒化膜のエッチング速度を図
2に示した。圧力0.01Torr〜0.05Torr
においてシリコン窒化膜に対してシリコン酸化膜を選択
的かつ良好な異方性形状でエッチングする事ができた。
圧力が0.05Torrより大きい領域では、保護膜の
堆積が大きくなり、コンタクトホールのエッチングが停
止してしまう。又、0.01Torrより小さい圧力で
は保護膜の形成量が少ないため、シリコン窒化膜のエッ
チング速度は大きくなり、選択エッチングは困難とな
る。これらより、圧力0.01Torr〜0.05To
rrの範囲でエッチングをおこなう事が望ましい。
FIG. 2 shows the etching rates of the silicon oxide film and the silicon nitride film obtained by further changing the pressure. Pressure 0.01 Torr to 0.05 Torr
In this case, the silicon oxide film was selectively etched with respect to the silicon nitride film in a favorable anisotropic shape.
In a region where the pressure is higher than 0.05 Torr, the deposition of the protective film becomes large, and the etching of the contact hole stops. If the pressure is less than 0.01 Torr, the amount of the protective film formed is small, so that the etching rate of the silicon nitride film is increased, and selective etching becomes difficult. From these, the pressure is 0.01 Torr to 0.05 To
It is desirable to perform etching in the range of rr.

【0018】基板温度を変化させる事により得られたシ
リコン酸化膜とシリコン窒化膜のエッチング速度を図3
に示した。この図に示した様に、ウェハー温度を80°
C以上にする事により、シリコン窒化膜のエッチング速
度は急激に減少し、エッチング選択比を30程度に向上
させる事ができた。ウェハー温度を上昇させると、保護
膜を形成する成分の吸着確率が小さくなる。このため、
コンタクトホール底部まで保護膜形成成分が多く到達す
る様になり、コンタクトホール底部のシリコン窒化膜に
より厚い保護膜が形成され、その選択比を向上させる事
ができる。これに対しウェハー温度を低くしてエッチン
グをおこなうと、保護膜形成成分の吸着確率が大きくな
る。すなわち、保護膜形成成分がコンタクトホール上部
に吸着してしまい、コンタクトホール底部への到達量が
減少する。このため、コンタクトホール底部のシリコン
窒化膜上に保護膜が形成され難くなり、選択比は低下す
る。これより、ウェハー温度80°C以上でエッチング
する必要があるが、温度を上げ過ぎるとレジスト焼け等
の問題が発生する。又、温度を上げ過ぎると保護膜のコ
ンタクトホール底部への堆積が強力になり過ぎ、シリコ
ン酸化膜のエッチングが停止する。このため、被加工物
温度を80°C〜125°C程度の範囲でエッチングを
おこなう事が望ましい。
FIG. 3 shows the etching rates of the silicon oxide film and the silicon nitride film obtained by changing the substrate temperature.
It was shown to. As shown in this figure, the wafer temperature is 80 °
By setting C or more, the etching rate of the silicon nitride film was sharply reduced, and the etching selectivity could be improved to about 30. When the wafer temperature is increased, the probability of adsorption of the components forming the protective film decreases. For this reason,
A large amount of the protective film forming component reaches the bottom of the contact hole, a thick protective film is formed by the silicon nitride film at the bottom of the contact hole, and the selectivity can be improved. On the other hand, when etching is performed at a low wafer temperature, the probability of adsorption of the protective film forming component increases. That is, the protective film forming component is adsorbed on the upper portion of the contact hole, and the amount reaching the bottom of the contact hole is reduced. Therefore, it is difficult to form a protective film on the silicon nitride film at the bottom of the contact hole, and the selectivity decreases. Thus, it is necessary to perform etching at a wafer temperature of 80 ° C. or higher. However, if the temperature is too high, problems such as resist burning occur. If the temperature is too high, the deposition of the protective film on the bottom of the contact hole becomes too strong, and the etching of the silicon oxide film stops. For this reason, it is desirable to perform the etching at a temperature of the workpiece of about 80 ° C. to 125 ° C.

【0019】次に本発明を用いて、コンタクト形成に適
用した第1の実施例について説明する。図4(a)のよ
うに、半導体基板の一例としてのシリコン基板1に絶縁
膜の一例としてのシリコン酸化膜2、ポリシリコン膜
3、第1及び第2のシリコン窒化膜としてのシリコン窒
化膜4を順次堆積する。図4(b)のようにレジストを
塗布・現像し、ゲート配線レジストパターン5を形成す
る。これをマスクとしてシリコン窒化膜4及びポリシリ
コン膜3をドライエッチングし、ゲート電極やゲート配
線のような電極配線6を形成する。図4(c)のように
レジストパターン5の除去後、シリコン窒化膜7を全面
に形成する。図4(d)のようにこれを異方性ドライエ
ッチングでエッチバックすることにより、電極配線6の
上面及び側面にシリコン窒化膜4、7を形成でき、これ
がエッチングストッパーになる。その後、層間絶縁膜と
なるシリコン酸化膜8を堆積し、レジストを塗布・現像
する事によりコンタクトホールレジストパターン9を形
成する。
Next, a description will be given of a first embodiment in which the present invention is applied to contact formation. As shown in FIG. 4A, a silicon oxide film 2 as an example of an insulating film, a polysilicon film 3, and a silicon nitride film 4 as first and second silicon nitride films are formed on a silicon substrate 1 as an example of a semiconductor substrate. Are sequentially deposited. As shown in FIG. 4B, a resist is applied and developed to form a gate wiring resist pattern 5. Using this as a mask, the silicon nitride film 4 and the polysilicon film 3 are dry-etched to form electrode wirings 6 such as gate electrodes and gate wirings. After removing the resist pattern 5 as shown in FIG. 4C, a silicon nitride film 7 is formed on the entire surface. By etching this back by anisotropic dry etching as shown in FIG. 4D, silicon nitride films 4 and 7 can be formed on the upper surface and side surfaces of the electrode wiring 6 and serve as an etching stopper. Thereafter, a silicon oxide film 8 serving as an interlayer insulating film is deposited, and a resist is applied and developed to form a contact hole resist pattern 9.

【0020】ここで本発明を用いて、電極配線6を覆う
シリコン窒化膜4、7をエッチングストッパーとし、レ
ジストパターン9をマスクとして、シリコン酸化膜8を
異方性ドライエッチングしコンタクトホール10を開口
する。例えば、シリコン酸化膜8の厚さが10000オ
ングストローム(1.0μm)の場合、圧力0.03T
orr、CHF3 40sccm、Ar 60scc
m、ウェハー温度95°C、高周波電力800Wを用
い、エッチング時間3minの反応性イオンエッチング
を行ったところ、シリコン窒化膜4及び7に対して30
程度の選択比でシリコン酸化膜8をエッチングすること
ができ、コンタクトホール10を形成することが可能で
あった。これにより、コンタクトホールレジストパター
ン9が電極配線6に対して目ズレしていた場合でも、シ
リコン窒化膜4及び7がエッチングストッパーとなるの
でコンタクトホールでの電極配線のショートを防ぐ事が
できる。
Here, using the present invention, the silicon oxide film 8 is anisotropically dry-etched by using the silicon nitride films 4 and 7 covering the electrode wirings 6 as etching stoppers and the resist pattern 9 as a mask to open contact holes 10. I do. For example, when the thickness of the silicon oxide film 8 is 10000 angstroms (1.0 μm), the pressure is 0.03T.
orr, CHF 3 40 sccm, Ar 60 scc
m, a wafer temperature of 95 ° C. and a high-frequency power of 800 W were subjected to reactive ion etching for an etching time of 3 min.
The silicon oxide film 8 could be etched with a selectivity of about a degree, and the contact hole 10 could be formed. Thus, even if the contact hole resist pattern 9 is misaligned with respect to the electrode wiring 6, the silicon nitride films 4 and 7 serve as an etching stopper, so that a short circuit of the electrode wiring at the contact hole can be prevented.

【0021】次に本発明の第2の実施例を図面を参照し
ながら説明する。図5(a)のように半導体基板の一例
としてのシリコン基板1に絶縁膜の一例としてのシリコ
ン酸化膜2、ポリシリコン膜3、第1及び第2のシリコ
ン窒化膜としてのシリコン窒化膜4を順次堆積する。図
5(b)のようにレジストを塗布・現像し、ゲート配線
レジストパターン5を形成する。これをマスクとしてシ
リコン窒化膜4及びポリシリコン膜3をドライエッチン
グし、ゲート電極やゲート配線のような電極配線6を形
成する。本実施例では、電極配線6の上面にのみシリコ
ン窒化膜4を形成している。図5(c)のようにレジス
トパターン5の除去後、層間絶縁膜となるシリコン酸化
膜8を堆積し、レジストを塗布・現像する事によりコン
タクトホールレジストパターン9を形成する。
Next, a second embodiment of the present invention will be described with reference to the drawings. As shown in FIG. 5A, a silicon oxide film 2 as an example of an insulating film, a polysilicon film 3, and a silicon nitride film 4 as first and second silicon nitride films are formed on a silicon substrate 1 as an example of a semiconductor substrate. Deposit sequentially. A resist is applied and developed as shown in FIG. 5B to form a gate wiring resist pattern 5. Using this as a mask, the silicon nitride film 4 and the polysilicon film 3 are dry-etched to form electrode wirings 6 such as gate electrodes and gate wirings. In this embodiment, the silicon nitride film 4 is formed only on the upper surface of the electrode wiring 6. After removing the resist pattern 5 as shown in FIG. 5C, a silicon oxide film 8 serving as an interlayer insulating film is deposited, and a resist is applied and developed to form a contact hole resist pattern 9.

【0022】ここで本発明を適用して、電極配線6を覆
うシリコン窒化膜4をエッチングストッパーとし、レジ
ストパターン9をマスクとして、シリコン酸化膜8を異
方性ドライエッチングしコンタクトホール10を開口す
る。例えば、シリコン酸化膜8の厚さが10000オン
グストローム(1.0μm)の場合、圧力0.05To
rr CHF3 50sccm Ar50sccm、ウ
ェハー温度80°C、高周波電力800Wを用い、エッ
チング時間3minの反応性イオンエッチングをおこな
ったところ、シリコン窒化膜に対し30程度の選択比で
シリコン酸化膜をエッチングする事ができた。又、本実
施例においては図5に示す様に、80°程度の順テーパ
ー形状でコンタクトホールを開口する事が可能である。
Here, by applying the present invention, the silicon oxide film 8 is anisotropically dry-etched using the silicon nitride film 4 covering the electrode wiring 6 as an etching stopper and the resist pattern 9 as a mask to open a contact hole 10. . For example, when the thickness of the silicon oxide film 8 is 10000 angstroms (1.0 μm), the pressure is 0.05 To.
RR CHF 3 50 sccm Ar 50 sccm, wafer temperature 80 ° C., high-frequency power 800 W, reactive ion etching was performed for 3 minutes, and the silicon oxide film could be etched at a selectivity of about 30 with respect to the silicon nitride film. did it. In this embodiment, as shown in FIG. 5, it is possible to form the contact hole in a forward tapered shape of about 80 °.

【0023】この第2の実施例においては、第1の実施
例と比較し、圧力およびCHF3 混合比を高く設定し
た。これにより保護膜形成成分の形成量が増加する。こ
れがコンタクトホール側面に付着し、保護膜を形成す
る。これがホール側壁を保護するため、順テーパー形状
のエッチングが可能となる。これにより、ゲート電極側
面のシリコン酸化膜が残るため、側壁にシリコン窒化膜
を形成しなくても、ショートさせる事なくコンタクトホ
ールの開口が可能となる。これによって、より少ない工
程数で安定したコンタクトホールの開口方法を提供でき
る。
In the second embodiment, the pressure and the CHF 3 mixing ratio are set higher than those in the first embodiment. Thereby, the formation amount of the protective film forming component increases. This adheres to the side surface of the contact hole to form a protective film. Since this protects the hole side wall, a forward tapered shape can be etched. As a result, since the silicon oxide film on the side surface of the gate electrode remains, the contact hole can be opened without short-circuiting without forming a silicon nitride film on the side wall. Thus, a stable contact hole opening method can be provided with a smaller number of steps.

【0024】なお、フッ素、炭素及び水素を含む化合物
気体としては、CHF3 ガス以外に、CF4 と水素の混
合ガスを用いてもよい。CF4 ガスにH2 を添加する事
により、フッ素FがHFの形で排気され、保護膜形成成
分であるCF2 等の生成量が増加する。これにより保護
膜の生成が可能となる。これに不活性ガスを添加し、保
護膜の形成量を制御する事により、選択エッチングが可
能となる。
As the compound gas containing fluorine, carbon and hydrogen, a mixed gas of CF 4 and hydrogen may be used in addition to the CHF 3 gas. By adding H 2 to the CF 4 gas, fluorine F is exhausted in the form of HF, and the amount of formation of the protective film forming component, such as CF 2, increases. This enables the formation of a protective film. By adding an inert gas thereto and controlling the formation amount of the protective film, selective etching can be performed.

【0025】エッチングの反応ガスに混合される不活性
ガスとして、Ne、He等の不活性ガスを用いても、A
rと同様に保護膜堆積量を制御する事が可能であり、A
rと同様の効果が得られる。
Even if an inert gas such as Ne or He is used as the inert gas mixed with the etching reaction gas,
r, it is possible to control the amount of deposited protective film.
The same effect as r can be obtained.

【0026】なお、不活性ガスとしてN2 添加をおこな
うと、Si3 4 中のSiがフッ素ラジカルで引き抜か
れた後、表面に残留したN原子がN2 放電で生じたN原
子と再結合し、N2 として排気されるため、Si3 4
のエッチングが進行すると考えられる。このため、不活
性ガスとしてN2 添加による選択エッチングは不可能と
考えられる。
When N 2 is added as an inert gas, after Si in Si 3 N 4 is extracted by fluorine radicals, N atoms remaining on the surface recombine with N atoms generated by N 2 discharge. And exhausted as N 2 , Si 3 N 4
Is considered to progress. Therefore, it is considered that selective etching by adding N 2 as an inert gas is impossible.

【0027】上述した絶縁膜としてのシリコン酸化膜2
には、ゲート酸化膜のように熱酸化法により形成された
もの、フィールド酸化膜のように選択酸化法により形成
されたものあるいは層間絶縁膜に用いられるCVD法に
より形成されたシリコン酸化膜を用いることができる。
また、コンタクトホール10が形成されるシリコン酸化
膜8には、CVD法により形成されたものやリンやボロ
ンなどを含むソースガスをさらに添加しながら行われる
CVD法により形成されるボロンリンシリケートガラス
(BPSG)膜などのように、CVD法で形成され不純
物を含有するシリコン酸化膜を用いることができる。
The above-described silicon oxide film 2 as an insulating film
Used is a gate oxide film formed by a thermal oxidation method, a field oxide film formed by a selective oxidation method, or a silicon oxide film formed by a CVD method used for an interlayer insulating film. be able to.
The silicon oxide film 8 in which the contact holes 10 are formed is formed by a CVD method or boron phosphorus silicate glass (a boron phosphorus silicate glass formed by further adding a source gas containing phosphorus, boron or the like). A silicon oxide film formed by a CVD method and containing impurities, such as a BPSG film, can be used.

【0028】[0028]

【発明の効果】以上説明した様に、本発明は、炭素,フ
ッ素及び水素の化合物気体と不活性ガスの混合ガスを用
い、ドライエッチングをおこなう事により、シリコン窒
化膜に対し、シリコン酸化膜を異方的かつ選択的にエッ
チングする事ができた。これにより、シリコン窒化膜を
エッチングストッパとする自己整合コンタクトを用いた
コンタクトホールの開口手段を提供する事が可能とな
り、半導体装置の歩留りを向上できるという効果を有す
る。
As described above, according to the present invention, a silicon oxide film is formed on a silicon nitride film by performing dry etching using a mixed gas of a compound gas of carbon, fluorine and hydrogen and an inert gas. Etching was anisotropic and selective. This makes it possible to provide a contact hole opening means using a self-aligned contact using the silicon nitride film as an etching stopper, and has an effect of improving the yield of the semiconductor device.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の効果を説明するためのグラフ。FIG. 1 is a graph for explaining the effect of the present invention.

【図2】本発明の効果を説明するためのグラフ。FIG. 2 is a graph for explaining the effect of the present invention.

【図3】本発明の効果を説明するためのグラフ。FIG. 3 is a graph for explaining the effect of the present invention.

【図4】本発明を用い、コンタクトホールを形成した第
1の実施例を説明するための製造工程順の断面図。
FIG. 4 is a sectional view in the order of the manufacturing process for explaining a first embodiment in which a contact hole is formed using the present invention.

【図5】本発明を用い、コンタクトホールを形成した第
2の実施例を説明するための製造工程順の断面図。
FIG. 5 is a sectional view in the order of the manufacturing process for explaining a second embodiment in which a contact hole is formed using the present invention.

【図6】従来技術によりコンタクトホールを形成した例
を説明するための製造工程順の断面図。
FIG. 6 is a sectional view in the order of manufacturing steps for explaining an example in which a contact hole is formed by a conventional technique.

【図7】従来技術によりコンタクトホールを形成した例
を示す断面図。
FIG. 7 is a sectional view showing an example in which a contact hole is formed by a conventional technique.

【符号の説明】[Explanation of symbols]

1 シリコン基板 2 シリコン酸化膜 4,7 シリコン窒化膜 5,9 レジストパターン 6 電極配線 8 シリコン酸化膜 10 コンタクトホール DESCRIPTION OF SYMBOLS 1 Silicon substrate 2 Silicon oxide film 4, 7 Silicon nitride film 5, 9 Resist pattern 6 Electrode wiring 8 Silicon oxide film 10 Contact hole

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 シリコン酸化膜をシリコン窒化膜に対し
て選択的に異方性エッチングするエッチング方法におい
て、フッ素、炭素及び水素を含む化合物気体と不活性ガ
スとの混合ガスを反応ガスとして用い、前記混合ガスの
圧力は0.01〜0.05Torr、総ガス量に対する
前記不活性ガスの混合比は40〜70%、エッチングさ
れる被加工物の温度は80〜125ーCに選ばれている
ことを特徴とするエッチング方法。
In an etching method for selectively anisotropically etching a silicon oxide film with respect to a silicon nitride film, a mixed gas of a compound gas containing fluorine, carbon and hydrogen and an inert gas is used as a reaction gas. The pressure of the mixed gas is 0.01 to 0.05 Torr, the mixing ratio of the inert gas to the total gas amount is 40 to 70%, and the temperature of the workpiece to be etched is 80 to 125-C. An etching method characterized by the above-mentioned.
【請求項2】前記フッ素、炭素及び水素を含む化合物気
体として、CF4 と水素の混合気体、又はCHF3 を用
い、不活性ガスとしてAr、Ne又はHeのうちの少な
くとも一つを用いることを特徴とする請求項1記載のエ
ッチング方法。
2. The method according to claim 1, wherein a mixed gas of CF 4 and hydrogen or CHF 3 is used as the compound gas containing fluorine, carbon and hydrogen, and at least one of Ar, Ne and He is used as an inert gas. The etching method according to claim 1, wherein
【請求項3】 半導体基板上に形成された第1のシリコ
ン酸化膜、前記第1のシリコン酸化膜上に形成された第
1及び第2の電極配線、前記第1及び第2の電極配線の
上面をそれぞれ覆う第1及び第2のシリコン窒化膜、及
び前記電極配線で覆われずに露出した前記第1のシリコ
ン酸化膜と前記第1及び第2の電極配線の側面と前記第
1及び第2のシリコン窒化膜とを覆う第2のシリコン酸
化膜を備えた半導体装置のためのエッチング方法であっ
て、フッ素、炭素及び水素を含む化合物気体と不活性ガ
スとの混合ガスを反応ガスとして用い、前記混合ガスの
圧力を0.01〜0.05Torr、総ガス量に対する
前記不活性ガスの混合比を40〜70%、エッチングさ
れる被加工物の温度を80〜125ーCに選び、前記第
1及び第2のシリコン酸化膜を前記第1及び第2のシリ
コン窒化膜に対して選択的に異方性エッチングし、前記
第1及び第2の電極配線間に位置し、前記半導体基板に
達する深さのコンタクトホールを自己整合的に前記シリ
コン酸化膜に形成することを特徴とするエッチング方
法。
3. A semiconductor device comprising: a first silicon oxide film formed on a semiconductor substrate; a first and a second electrode wiring formed on the first silicon oxide film; and a first and a second electrode wiring formed on the first silicon oxide film. First and second silicon nitride films respectively covering an upper surface, the first silicon oxide film exposed without being covered by the electrode wiring, and side surfaces of the first and second electrode wirings; and the first and second silicon nitride films. An etching method for a semiconductor device provided with a second silicon oxide film covering the silicon nitride film of claim 2, wherein a mixed gas of a compound gas containing fluorine, carbon, and hydrogen and an inert gas is used as a reaction gas. The pressure of the mixed gas is 0.01 to 0.05 Torr, the mixing ratio of the inert gas to the total gas amount is 40 to 70%, and the temperature of the workpiece to be etched is 80 to 125-C. First and second silico An oxide film is selectively anisotropically etched with respect to the first and second silicon nitride films to form a contact hole located between the first and second electrode wirings and having a depth reaching the semiconductor substrate. Is formed on the silicon oxide film in a self-aligned manner.
【請求項4】 前記フッ素、炭素及び水素を含む化合物
気体としてはCF4 と水素の混合気体、又はCHF3
用い、不活性ガスとしてAr、Ne又はHeのうちの少
なくとも一つを用いることを特徴とする請求項3記載の
エッチング方法。
4. A mixed gas of CF 4 and hydrogen or CHF 3 is used as the compound gas containing fluorine, carbon and hydrogen, and at least one of Ar, Ne and He is used as an inert gas. The etching method according to claim 3, wherein
JP7098331A 1995-04-24 1995-04-24 Etching method Expired - Fee Related JP2956524B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP7098331A JP2956524B2 (en) 1995-04-24 1995-04-24 Etching method
KR19960012291A KR960039166A (en) 1995-04-24 1996-04-22
GB9608273A GB2300303B (en) 1995-04-24 1996-04-22 Method of producing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7098331A JP2956524B2 (en) 1995-04-24 1995-04-24 Etching method

Publications (2)

Publication Number Publication Date
JPH08293487A JPH08293487A (en) 1996-11-05
JP2956524B2 true JP2956524B2 (en) 1999-10-04

Family

ID=14216928

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7098331A Expired - Fee Related JP2956524B2 (en) 1995-04-24 1995-04-24 Etching method

Country Status (3)

Country Link
JP (1) JP2956524B2 (en)
KR (1) KR960039166A (en)
GB (1) GB2300303B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3086359A1 (en) 2015-04-22 2016-10-26 Tokyo Electron Limited Etching method
KR20170073504A (en) 2015-12-18 2017-06-28 도쿄엘렉트론가부시키가이샤 Etching method
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
US4283249A (en) * 1979-05-02 1981-08-11 International Business Machines Corporation Reactive ion etching
US4324611A (en) * 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US5021121A (en) * 1990-02-16 1991-06-04 Applied Materials, Inc. Process for RIE etching silicon dioxide
JP3092185B2 (en) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 Method for manufacturing semiconductor device
JPH04214625A (en) * 1990-12-13 1992-08-05 Fujitsu Ltd Etching method
JPH04239723A (en) * 1991-01-23 1992-08-27 Nec Corp Manufacture of semiconductor device
JP3106590B2 (en) * 1991-08-29 2000-11-06 ミツミ電機株式会社 Dry etching method
JP3215151B2 (en) * 1992-03-04 2001-10-02 株式会社東芝 Dry etching method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3086359A1 (en) 2015-04-22 2016-10-26 Tokyo Electron Limited Etching method
KR20160125896A (en) 2015-04-22 2016-11-01 도쿄엘렉트론가부시키가이샤 Etching processing method
US9666446B2 (en) 2015-04-22 2017-05-30 Tokyo Electron Limited Etching method
EP3621102A1 (en) 2015-04-22 2020-03-11 Tokyo Electron Limited Etching method
KR20170073504A (en) 2015-12-18 2017-06-28 도쿄엘렉트론가부시키가이샤 Etching method
US9997374B2 (en) 2015-12-18 2018-06-12 Tokyo Electron Limited Etching method
US10381237B2 (en) 2015-12-18 2019-08-13 Tokyo Electron Limited Etching method

Also Published As

Publication number Publication date
GB2300303A (en) 1996-10-30
JPH08293487A (en) 1996-11-05
GB2300303B (en) 1997-06-04
GB9608273D0 (en) 1996-06-26
KR960039166A (en) 1996-11-21

Similar Documents

Publication Publication Date Title
KR100229241B1 (en) Dry etching method
US5269879A (en) Method of etching vias without sputtering of underlying electrically conductive layer
US6569774B1 (en) Method to eliminate striations and surface roughness caused by dry etch
JP2915807B2 (en) Etching of molybdenum silicide using sulfur hexafluoride, hydrogen bromide and oxygen
US20050106888A1 (en) Method of in-situ damage removal - post O2 dry process
KR20030066673A (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US5658425A (en) Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
JPH10275800A (en) Plasma etching method
JP2956524B2 (en) Etching method
JPH06260452A (en) Dry-etching method
US5522520A (en) Method for forming an interconnection in a semiconductor device
US6461969B1 (en) Multiple-step plasma etching process for silicon nitride
US5420076A (en) Method of forming a contact for multi-level interconnects in an integrated circuit
JPS63117423A (en) Method of etching silicon dioxide
US5741742A (en) Formation of aluminum-alloy pattern
EP1235265A1 (en) Method for etching a hardmask layer and a metal layer
KR100218772B1 (en) Dry etching method
US5338395A (en) Method for enhancing etch uniformity useful in etching submicron nitride features
US6296988B1 (en) Method for forming a mental wiring pattern on a semiconductor device
JPH0582636A (en) Buffer mask for reactive ion etching
JP3348322B2 (en) Method for manufacturing semiconductor device
JP2690860B2 (en) Method for anisotropic etching of semiconductor material
JP3301157B2 (en) Dry etching method
JP3080055B2 (en) Dry etching method
JP2836569B2 (en) Dry etching method

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990622

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070723

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080723

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090723

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100723

Year of fee payment: 11

LAPS Cancellation because of no payment of annual fees