JP2777149B2 - Design change method of integrated circuit layout - Google Patents

Design change method of integrated circuit layout

Info

Publication number
JP2777149B2
JP2777149B2 JP63246439A JP24643988A JP2777149B2 JP 2777149 B2 JP2777149 B2 JP 2777149B2 JP 63246439 A JP63246439 A JP 63246439A JP 24643988 A JP24643988 A JP 24643988A JP 2777149 B2 JP2777149 B2 JP 2777149B2
Authority
JP
Japan
Prior art keywords
cell
cells
integrated circuit
layout
added
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP63246439A
Other languages
Japanese (ja)
Other versions
JPH0294543A (en
Inventor
隆 三橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP63246439A priority Critical patent/JP2777149B2/en
Publication of JPH0294543A publication Critical patent/JPH0294543A/en
Application granted granted Critical
Publication of JP2777149B2 publication Critical patent/JP2777149B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】 [発明の目的] (産業上の利用分野) 本発明は、コンピュータを用いた集積回路の自動配置
・配線システムにおけるレイアウト設計変更方法に関す
る。
DETAILED DESCRIPTION OF THE INVENTION [Object of the Invention] (Field of Industrial Application) The present invention relates to a layout design changing method in an automatic integrated circuit placement / wiring system using a computer.

(従来の技術) 大規模集積回路のレイアウト設計には、コンピュータ
を用いた自動配置・配線システムが広く利用されてい
る。このシステムによるレイアウトが完了すると、その
レイアウト結果についての、例えば接続が正しいか,設
計基準を満たしているか,予測される回路特性は仕様を
満足するか,等の検証が行われる。これらの検証作業に
は、多くのコンピュータおよび設計者のリソースが投入
される。ところが検証作業の終了後、または作業中に、
設計の前半の過程であるシステム設計(機能設計,論理
設計を含む)側から回路接続を変更する通知があること
が多い。通常、集積回路のシステム設計とレイアウト設
計の関係は、第4図に示すようにこれらが並行して進む
ことが多く、レイアウト設計側では検証作業の途中でシ
ステム設計側から設計変更の指示を受ける場合が多いの
である。この様な状況下で、レイアウト設計の結果にシ
ステム設計側で発生した設計変更の要求を如何に効率よ
く反映させるか、検証作業の終了したレイアウト結果へ
の影響を如何に最小限にしてレイアウト変更を行なうか
が問題となる。特に、新たなセルの追加が問題である。
(Prior Art) Automatic layout / wiring systems using computers are widely used for layout design of large-scale integrated circuits. When the layout by this system is completed, the layout result is verified, for example, whether the connection is correct, whether the design satisfies the design standards, and whether the predicted circuit characteristics satisfy the specifications. These verification tasks consume a lot of computer and designer resources. However, after or during the verification work,
In many cases, a system design (including functional design and logical design) side, which is the first half of the design process, receives a notification to change circuit connections. Usually, the relationship between the system design and the layout design of an integrated circuit often proceeds in parallel as shown in FIG. 4, and the layout design receives an instruction for design change from the system design during the verification work. There are many cases. Under these circumstances, how to efficiently reflect the request for design change that occurred on the system design side in the layout design result, how to minimize the effect on the layout result after verification work, and change the layout Is a problem. In particular, the addition of a new cell is a problem.

従来より、この様なレイアウト設計変更を行なう方式
としていくつか実施されてきた。最も一般的な手法は、
レイアウト結果を、グラフィック・エディタ等を用いて
人手により修正する方法である。しかしこの方法は、レ
イアウト設計者が多大の労力を費やさなければならな
い。また、人手で修正を行なうために結果に誤りが含ま
れる可能性が大きい。もう一つの方法は、システム設計
変更の結果の接続情報を利用して、これまでのレイアウ
トを全て無視して改めて最初から自動配置・配線を行な
う方法である。しかしこの方法では、以前のレイアウト
設計の結果に対して行なった評価や検証が全て水泡に帰
してしまう、という問題がある。
Conventionally, several schemes for performing such a layout design change have been implemented. The most common approach is
This is a method of manually correcting the layout result using a graphic editor or the like. However, this method requires a great deal of effort by the layout designer. Further, since the correction is performed manually, there is a high possibility that the result will include an error. Another method is to use connection information as a result of a system design change and to perform automatic placement and wiring from the beginning again ignoring all existing layouts. However, this method has a problem that all evaluations and verifications performed on the results of the previous layout design are attributed to water bubbles.

(発明が解決しようとする課題) 以上のように従来の集積回路の自動配置・配線システ
ムでは、レイアウト設計終了後に生じたシステム設計側
からの修正要求に対して、迅速で無駄のない対応ができ
ていない、という問題があった。
(Problems to be Solved by the Invention) As described above, in the conventional automatic placement and routing system for integrated circuits, it is possible to quickly and efficiently cope with a correction request from the system design side generated after the layout design is completed. There was no problem.

本発明は、このような問題を解決して、システム設計
側の回路変更に対応したレイアウト設計変更を自動的に
行なうことを可能とした集積回路自動レイアウトシステ
ムにおけるレイアウトの設計変更方法を提供することを
目的とする。
An object of the present invention is to provide a layout design change method in an integrated circuit automatic layout system that can solve such a problem and automatically perform a layout design change corresponding to a circuit change on the system design side. With the goal.

[発明の構成] (課題を解決するための手段) 本発明は、集積回路レイアウトシステムにより既に設
計された集積回路のレイアウトを回路変更に伴って修正
するためにセルを追加(置換を含む)するに際して、追
加すべきセルと既にレイアウトされたセルとの接続関係
から決まる所定の評価関数が最小になる位置またはその
近傍にセルを追加するようにしたことを特徴とする。
[Constitution of the Invention] (Means for Solving the Problems) In the present invention, a cell is added (including replacement) to modify the layout of an integrated circuit already designed by an integrated circuit layout system with a circuit change. In this case, a cell is added at or near a position where a predetermined evaluation function determined from a connection relationship between a cell to be added and a cell already laid out is minimized.

(作用) 本発明によれば、追加すべきセルの位置をそのセルと
既配置セルとの接続関係を考慮した評価関数に基づい
て、自動的に決定するため、迅速にレイアウト変更が可
能になる。しかも、これまでのレイアウト結果を無駄に
することなく、また人手を用いないため修正に誤りが発
生する確率は小さい。評価関数が最小となる位置にセル
が置けない場合には、その近傍領域に置くことで、目的
とする回路変更に十分対応することができる。
(Operation) According to the present invention, the position of a cell to be added is automatically determined based on an evaluation function that takes into account the connection relationship between the cell and the already-placed cell, so that the layout can be changed quickly. . In addition, since the layout result is not wasted and no manual operation is used, the probability of an error occurring in the correction is small. If a cell cannot be placed at a position where the evaluation function is minimized, placing it in a region near the cell can sufficiently cope with a desired circuit change.

もし追記すべきセルを置く位置に空隙がない場合に
は、その近傍の既配置セルを一旦ひきはがして未配置化
し、先ず追加すべきセルを優先して配置する。この場
合、未配置化するセル(場合によっては複数のセルの
組)をどのように選択するかは、設計変更が元設計に対
して効率よく最小の変更で実施できるか否かに大きく影
響する。従ってこの場合、考えられる未配置化セルの候
補の全てについて変更の効率および最小変更の可能性を
評価して、優先順位を定めて試行することが有効であ
る。また、複数の未配置化セルの候補がある場合は、次
に配置すべきセルが小さいものとなるため再配置の可能
性は高くなり、処理の収束が速くなる可能性が大きい。
If there is no gap at the position where the cell to be added is placed, the existing cell in the vicinity is once peeled off to make it unplaced, and the cell to be added is first placed with priority. In this case, how to select a cell to be unplaced (in some cases, a set of a plurality of cells) has a great influence on whether or not a design change can be efficiently implemented with a minimum change to the original design. . Therefore, in this case, it is effective to evaluate the efficiency of the change and the possibility of the minimum change for all of the conceivable unplaced cell candidates, to determine the priority, and to try. In addition, when there are a plurality of unarranged cell candidates, the next cell to be arranged becomes smaller, so that the possibility of rearrangement increases, and the possibility that the convergence of the process becomes faster is large.

また、セルの置換操作を行なうことにより、再度セル
の追加をする必要が生じるが、同じ処理を繰返すことに
よって未配置化を行なうことなくセルを再配置できる可
能性がある。しかし、未使用領域の少ない集積回路にお
いては、セルをおける空隙を発見するのに非実用的な時
間を要する場合がある。このような場合には、レイアウ
ト設計者の判断を仰ぐことが有効であり、従って処理の
繰返し回数は指定して制限することが好ましい。
Further, by performing the cell replacement operation, it becomes necessary to add a cell again. However, by repeating the same processing, there is a possibility that the cell can be rearranged without performing the unplacement. However, in an integrated circuit with a small unused area, it may take an impractical time to find a void in a cell. In such a case, it is effective to seek the judgment of the layout designer. Therefore, it is preferable to limit the number of repetitions of the process by designating it.

また本発明によるレイアウト修正では、セルの置換操
作において、自動配置で利用する評価関数と同じ関数を
利用して移動目標を算出するため、自動配置の改善に役
立つ。
Further, in the layout modification according to the present invention, in the cell replacement operation, the moving target is calculated using the same function as the evaluation function used in the automatic arrangement, which is useful for improving the automatic arrangement.

(実施例) 本発明の実施例では、セルを追加するのに最適な位置
またはその近傍のセルをひきはがし、追加すべきセルを
そこに暫定的に置き、ひきはがされたセル(未配置化セ
ル)については再帰的にセルを追加する処理を実行する
という基本処理手順を利用する。この再帰的手続が成功
すればそのセルを採用し、失敗すれば暫定的配置は復旧
して新しい候補について置換処理を進めることになる。
具体的に図面を参照して説明する。
(Embodiment) In the embodiment of the present invention, a cell at or near an optimum position for adding a cell is peeled off, a cell to be added is provisionally placed there, and a cell that has been peeled off (not placed) is added. A basic processing procedure of recursively executing a process of adding a cell is used for the coded cell). If the recursive procedure succeeds, the cell is adopted. If the recursive procedure fails, the provisional arrangement is restored and the replacement process is performed for a new candidate.
This will be specifically described with reference to the drawings.

第1図は、一実施例のレイアウト設計変更の処理手順
を示すフローチャートである。図中、ST0から開始され
る処理は先ず、ステップST1でどの範囲までセル配置の
ための空き領域を捜すかの制限用のチェックを行なう。
ここでの制限の方法としては、各候補について置換の試
行を行なうと試行における置換関係は各セルをルートと
してグラフ理論における木(探索木)を構成するから、
例えばこの探索木の高さをもって制限する方法がある。
ここでこの制限を超えた場合は、ステップST11によって
この処理から出ることになる。制限の範囲内であれば、
次のステップ2STに進む。
FIG. 1 is a flowchart showing a processing procedure of a layout design change according to one embodiment. In the process starting from ST0 in the figure, first, in step ST1, a check is performed for limiting a range to search for an empty area for cell arrangement.
The restriction method here is that, when a replacement trial is performed for each candidate, the replacement relationship in the trial forms a tree (search tree) in graph theory with each cell as the root.
For example, there is a method of limiting the height of the search tree.
If the limit is exceeded here, the process exits from this processing in step ST11. Within the limits,
Proceed to the next step 2ST.

ステップST2では、セルを追加する位置(XT,YT)を
計算する。この位置算出法としてはここでは、追加すべ
きセルの位置と、このセルと接続関係にあるn個の他の
セルの位置(xi,yi)との距離の2乗の総和 を評価関数とし、これを最小化する(XT,YT)を算出す
る。
In step ST2, the position (X T , Y T ) where the cell is added is calculated. As the position calculation method, here, the sum of the squares of the distance between the position of the cell to be added and the positions (xi, yi) of n other cells connected to this cell is used. Is used as an evaluation function, and (X T , Y T ) that minimizes this is calculated.

次いでステップST3では、算出された位置(XT,YT
またはその近傍にセルを置く空隙領域があるか否かを調
べる。これは、追加セルの占有領域と空隙領域の関係を
調べることにより明らかになる。ここでセルをおける空
隙領域がある場合には、ステップST31によりここにセル
を置き、ステップST32により処理を終了する。
Next, in step ST3, the calculated position (X T , Y T )
Alternatively, it is checked whether or not there is a void area in which the cell is placed in the vicinity. This becomes clear by examining the relationship between the occupied area of the additional cell and the void area. If there is a void area where a cell can be placed, the cell is placed here in step ST31, and the process ends in step ST32.

空隙が存在しない場合は、ステップST4によって指定
位置またはその近傍で未配置化可能なセルまたはセルの
組を列挙し、それらの試行順序に優先順位を与えて未配
置化候補リストとする。この優先順位の与え方は例え
ば、未配置化を行なった後それらの未配置化セルが容易
に再配置できるように、形状の小さいものを優先させる
ようにする。
If there is no gap, cells or a set of cells that can be unplaced at the designated position or in the vicinity thereof are enumerated in step ST4, and priorities are given to their trial order to make a list of unplaced candidates. This priority is given, for example, by giving priority to a cell having a smaller shape so that the unplaced cells can be easily rearranged after the placement.

以下、ステップST4で作成された候補リストについて
繰返し試行を行なって評価する。先ずステップST5で、
候補リストが空かどうかをチェックし、もし空ならこの
レベルの候補がないことになるので、ステップST51でこ
のレベルを終了する。
Hereinafter, the candidate list created in step ST4 is repeatedly evaluated for evaluation. First, in step ST5,
It is checked whether or not the candidate list is empty, and if it is empty, there are no candidates of this level, so this level is ended in step ST51.

ステップST6では候補リストの先頭にあるセルを未配
置化する。同時にそのセルに接続される配線の必要部分
を削除する。この配線削除によって発生した空隙に追加
すべきセルを試みに置く。この処理により目的とするセ
ルはおけた訳であるが、副次的効果として未配置化セル
が発生するのでこれを次のステップで処理する。
In step ST6, the cell at the head of the candidate list is unplaced. At the same time, a necessary part of the wiring connected to the cell is deleted. A cell to be added to the gap generated by the wiring deletion is put on trial. Although the target cell is saved by this processing, an unplaced cell is generated as a secondary effect, and this is processed in the next step.

即ち、ステップST7では、前のステップで発生した未
配置化セルについて、前の処理手続を再帰的に呼び出し
て、空隙を捜してセル配置を行なう。ここで全ての未配
置化セルが配置されれば成功なので、ステップ8でこれ
をチェックする。もし成功していれば、ステップST81で
処理を完了する。
That is, in step ST7, for the unplaced cells generated in the previous step, the previous processing procedure is recursively called to search for voids and perform cell placement. Here, if all the non-arranged cells are arranged, it is a success, so this is checked in step 8. If successful, the process is completed in step ST81.

現在の試行に失敗したならば、ステップST9で関連す
る未配置化セルおよび取外し配線を復旧し、新しい候補
の試行に備える。
If the current attempt fails, the associated unplaced cells and removed wiring are restored in step ST9 to prepare for a new candidate attempt.

第2図は、以上の処理手順の具体的な例をセルの動き
のレベルで表現したものである。この例では、チップ21
にセル22を追加しようとしている。先の評価関数による
評価の結果、セル22の最適な配置位置は破線で示した領
域23であるとする。ここで領域23にセル22を置くには、
面積的にこの領域23に既に配置されている二つのセル23
A,23Bを置換する必要がある。そのため、セル23Aは240
の位置へ、またセル23Bは250の位置へそれぞれ移動する
ことを試行する。250のセルは251の位置へ,251のセルは
252の位置へと順次連鎖的に置換を繰返して空隙を発見
した。一方、セル23Bは240に移動してここのセル二つを
置換して、その一つは2401の位置で空隙を発見し、他の
一つは241,242と連鎖的置換を行い、空隙を発見して収
束する。
FIG. 2 shows a specific example of the above-described processing procedure in terms of the level of cell movement. In this example, chip 21
Trying to add cell 22 to. As a result of the evaluation using the evaluation function, it is assumed that the optimal arrangement position of the cell 22 is the area 23 indicated by the broken line. Now, to place cell 22 in area 23,
Two cells 23 already arranged in this area 23 in area
A and 23B need to be replaced. Therefore, cell 23A is 240
And the cell 23B attempts to move to the 250 position. 250 cells to 251 position, 251 cells to
The gap was found by repeating the substitution successively to the 252 position. On the other hand, cell 23B moves to 240 and replaces two cells here, one of which finds a gap at position 2401, and the other performs a chain replacement with 241,242 to find a gap. And converge.

第3図は、本発明の他の実施例でのセル配置位置算出
法を説明するための図である。この例ではチップ30をn
個の領域(図の場合n=3×3)に分割し、その境界と
交差するネットの本数を評価関数とする。即ち、追加す
るセル31を分割された領域のどこに配置するかによっ
て、追加するセルと既配置セルとの接続ネットが境界と
交差する本数が変化するから、この本数を最小化するよ
うに配置位置を決定する。図の例では、セル31を、実線
で示すように領域321に配置した場合には接続ネットが
5箇所で境界と交差し、破線で示すように領域322内に
配置した場合には3箇所で境界と交差する。従ってこの
場合、実線で示す領域が配置位置として選ばれる。
FIG. 3 is a diagram for explaining a cell arrangement position calculating method according to another embodiment of the present invention. In this example, chip 30 is n
Is divided into a plurality of regions (n = 3 × 3 in the figure), and the number of nets that intersect the boundary is defined as an evaluation function. That is, the number of intersections of the connecting nets between the added cells and the already arranged cells intersects the boundary depending on where the added cells 31 are arranged in the divided area, and the arrangement position is set so as to minimize this number. To determine. In the example of the figure, when the cell 31 is arranged in the region 321 as shown by the solid line, the connection net intersects the boundary at five places, and when the cell 31 is arranged in the region 322 as shown by the broken line, it becomes three places. Intersect with the border. Therefore, in this case, the area indicated by the solid line is selected as the arrangement position.

[発明の効果] 以上述べたように本発明によれば、レイアウト設計完
了後に発生したシステム設計側からの設計変更要求に対
して、迅速にレイアウト設計の変更が可能になる。この
場合、これまでのレイアウト結果の情報を活用するた
め、変更は最小限に抑えることができて、レイアウト誤
りの発生を確実に防止することができる。またレイアウ
ト結果の再検証にかかる手間も少ない。
[Effects of the Invention] As described above, according to the present invention, it is possible to quickly change a layout design in response to a design change request from the system design side generated after the completion of layout design. In this case, since the information of the layout result thus far is utilized, the change can be minimized, and the occurrence of a layout error can be reliably prevented. In addition, the labor required for re-verification of the layout result is small.

【図面の簡単な説明】[Brief description of the drawings]

第1図は、本発明の一実施例の処理手順を説明するため
のフローチャート、第2図はその動作を具体的なチップ
レベルで説明するための図、第3図は他の実施例でのセ
ル配置位置の算出法を説明するための図、第4図は集積
回路のシステム設計とレイアウト設計の関係を示す図で
ある。 21……チップ領域、22……追加すべきセル、23……追加
すべき位置。
FIG. 1 is a flowchart for explaining the processing procedure of one embodiment of the present invention, FIG. 2 is a diagram for explaining the operation at a specific chip level, and FIG. FIG. 4 is a diagram for explaining a method of calculating a cell arrangement position. FIG. 4 is a diagram showing a relationship between a system design and a layout design of an integrated circuit. 21: chip area, 22: cell to be added, 23: position to be added.

Claims (3)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】単位機能を有するセルをコンピュータを用
いて基板上に配置・配線して所望の回路を実現する集積
回路自動レイアウトシステムにおいて、既に配置された
集積回路のレイアウトを所望の回路の変更に伴って修正
するためにセルを追加するに際して、追加すべきセルの
位置(XT,YT)とこのセルと接続関係にある既にレイア
ウトされた他のn個のセルの位置(xi,yi)との距離を
変数として計算できる関数を評価関数とし、その評価関
数が最小となる位置またはその近傍にセルを追加するこ
とを特徴とする集積回路レイアウトの設計変更方法。
1. An integrated circuit automatic layout system for realizing a desired circuit by arranging and wiring cells having unit functions on a substrate using a computer, and changing a layout of an already arranged integrated circuit to a desired circuit. When a cell is added for correction according to the above, the position of the cell to be added (XT, YT) and the positions (xi, yi) of the other n cells already laid out in connection with this cell are A function that can calculate the distance of the variable as a variable, and adding a cell at or near a position where the evaluation function is minimized.
【請求項2】単位機能を有するセルをコンピュータを用
いて基板上に配置・配線して所望の回路を実現する集積
回路自動レイアウトシステムにおいて、既に配置された
集積回路のレイアウトを所望の回路の変更に伴って修正
するためにセルを追加するに際して、集積回路を複数の
領域に分割し、その境界と交差するネットの本数を評価
関数とし、セルを追加する際にこの評価関数が最小とな
る位置またはその近傍にセルを追加することを特徴とす
る集積回路レイアウトの設計変更方法。
2. An integrated circuit automatic layout system for realizing a desired circuit by arranging and wiring cells having unit functions on a substrate using a computer, and changing a layout of an already arranged integrated circuit to a desired circuit. When adding a cell for correction according to the above, the integrated circuit is divided into a plurality of regions, and the number of nets that intersect the boundary is used as an evaluation function, and the position where this evaluation function is minimized when adding a cell Or a method of changing the design of an integrated circuit layout, characterized by adding a cell in the vicinity thereof.
【請求項3】セルを追加できる空隙領域がない場合、1
個または複数個の既配置セルを未配置化セルとし、得ら
れた空隙に追加すべきセルを配置し、未配置化セルにつ
いてこれを追加すべきセルとして同様の処理を行なうこ
とを特徴とする請求項1または2に記載の集積回路レイ
アウトの設計変更方法。
3. If there is no void area to which cells can be added, 1
One or a plurality of already placed cells are regarded as unplaced cells, cells to be added are arranged in the obtained gaps, and similar processing is performed for unplaced cells as cells to be added. 3. The method for changing a design of an integrated circuit layout according to claim 1 or 2.
JP63246439A 1988-09-30 1988-09-30 Design change method of integrated circuit layout Expired - Lifetime JP2777149B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP63246439A JP2777149B2 (en) 1988-09-30 1988-09-30 Design change method of integrated circuit layout

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP63246439A JP2777149B2 (en) 1988-09-30 1988-09-30 Design change method of integrated circuit layout

Publications (2)

Publication Number Publication Date
JPH0294543A JPH0294543A (en) 1990-04-05
JP2777149B2 true JP2777149B2 (en) 1998-07-16

Family

ID=17148480

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63246439A Expired - Lifetime JP2777149B2 (en) 1988-09-30 1988-09-30 Design change method of integrated circuit layout

Country Status (1)

Country Link
JP (1) JP2777149B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04222059A (en) * 1990-12-25 1992-08-12 Matsushita Electric Ind Co Ltd Device for peeling/rearranging parts

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63181349A (en) * 1987-01-22 1988-07-26 Toshiba Corp Layout design equipment for lsi

Also Published As

Publication number Publication date
JPH0294543A (en) 1990-04-05

Similar Documents

Publication Publication Date Title
EP0145925B1 (en) Iterative method for establishing connections between nodes and the resulting product
US9396301B1 (en) Method, system, and computer program product for interconnecting circuit components with track patterns for electronic circuit designs
US6446239B1 (en) Method and apparatus for optimizing electronic design
US5880970A (en) Towards optimal Steiner tree routing in the presence of rectilinear obstacles
JP2886481B2 (en) How to place objects
Hsu et al. Routability-driven analytical placement for mixed-size circuit designs
US6763512B2 (en) Detailed method for routing connections using tile expansion techniques and associated methods for designing and manufacturing VLSI circuits
US20010038612A1 (en) Automatic routing system for circuit layout
JPH0658935B2 (en) Circuit array incorporation method
WO1997034316A2 (en) Interconnection routing system
Linsker An iterative-improvement penalty-function-driven wire routing system
JP4652242B2 (en) Cell arrangement method for semiconductor integrated circuit
JP2777149B2 (en) Design change method of integrated circuit layout
US10606976B2 (en) Engineering change order aware global routing
JP2967174B2 (en) Design equipment
JPH0567178A (en) Automatic wiring processing method
JP2753001B2 (en) Method of changing design of semiconductor integrated circuit device
JP3095307B2 (en) Automatic electric component placement apparatus and automatic electric component placement method
EP0715267B1 (en) Routing method and apparatus
JP2967796B2 (en) Layout design method for semiconductor integrated circuit
Fu et al. The oct-touched tile: A new architecture for shape-based routing
JPH06203104A (en) Layout compaction method for large scale analog integrated circuit
Yan et al. A general switchbox router with via minimization
JP2009130228A (en) Layout designing method, layout design program, and layout design apparatus
JPH06131418A (en) Method and device for determining precedence of automatic layout

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090501

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090501

Year of fee payment: 11