JP2734556B2 - Method for producing amorphous carbon film - Google Patents

Method for producing amorphous carbon film

Info

Publication number
JP2734556B2
JP2734556B2 JP63233127A JP23312788A JP2734556B2 JP 2734556 B2 JP2734556 B2 JP 2734556B2 JP 63233127 A JP63233127 A JP 63233127A JP 23312788 A JP23312788 A JP 23312788A JP 2734556 B2 JP2734556 B2 JP 2734556B2
Authority
JP
Japan
Prior art keywords
film
amorphous carbon
carbon film
sputtering
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP63233127A
Other languages
Japanese (ja)
Other versions
JPH0280558A (en
Inventor
友康 清水
俊雄 角
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Sheet Glass Co Ltd
Original Assignee
Nippon Sheet Glass Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=16950171&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2734556(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Nippon Sheet Glass Co Ltd filed Critical Nippon Sheet Glass Co Ltd
Priority to JP63233127A priority Critical patent/JP2734556B2/en
Publication of JPH0280558A publication Critical patent/JPH0280558A/en
Application granted granted Critical
Publication of JP2734556B2 publication Critical patent/JP2734556B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】 [産業上の利用分野] 本発明はアモルファスカーボン膜の製造方法に関し、
特に各種表面保護膜等に用いられて有効な、透明かつ高
硬度なアモルファスカーボン膜の製造方法に関するもの
である。
The present invention relates to a method for producing an amorphous carbon film,
In particular, the present invention relates to a method for producing a transparent and high-hardness amorphous carbon film, which is effective for various surface protective films and the like.

[従来の技術] 従来、アモルファスカーボン膜は、粒界がなく、化学
的に安定で、高硬度の膜が得られることが知られてお
り、各種表面保護膜に応用されている。
[Prior Art] Conventionally, it has been known that an amorphous carbon film has no grain boundaries, is chemically stable, and has a high hardness, and has been applied to various surface protective films.

従来アモルファスカーボン膜の製法としてはグラファ
イトをターゲットとし、希ガスであるアルゴンの放電に
よるスパッタリング法(例えばN.Savvides and B.Windo
w,J.Vac.Sci.Technol.A3(6),pp2386,1985)や、メタ
ンを初めとする各種炭化水素を原料ガスとして、減圧状
態で(〜10Pa)グロー放電を発生させてガスを分解し基
板に凝着させるプラズマCVD法(例えば山口他、昭和62
年春期応物学会予稿集p.349)が知られていた。
Conventionally, as a method for producing an amorphous carbon film, a sputtering method using a graphite as a target and discharging a rare gas such as argon (for example, N. Savvides and B. Windo)
w, J. Vac. Sci. Technol. A3 (6), pp2386, 1985) and various hydrocarbons such as methane as raw material gas, decompose the gas by generating glow discharge (~ 10Pa) under reduced pressure. Plasma CVD method for adhesion to a substrate (for example, Yamaguchi et al., Showa 62
Spring Proceedings of the Society of Applied Chemistry, p.349) was known.

[発明が解決しようとする課題] アルゴンの放電によりグラファイトのターゲットをス
パッタするアモルファスカーボン膜の製造方法は、大面
積の基板を搬送用カートに設置して連続的に成膜室を通
過させるなどの方法を取ることが出来、量産性に優れて
いる。また大面積にわたり均一な膜質、膜厚分布を実現
できるなどの利点を有するが、得られる膜は可視域に対
して不透明であり、保護膜としての応用範囲が限定され
てしまうと言う重大な問題点があった。
[Problems to be Solved by the Invention] A method for producing an amorphous carbon film in which a graphite target is sputtered by an argon discharge involves a method in which a large-area substrate is placed on a transport cart and continuously passed through a film forming chamber. The method can be adopted, and mass production is excellent. It also has the advantage of realizing uniform film quality and film thickness distribution over a large area, but has the serious problem that the resulting film is opaque to the visible range, which limits the range of application as a protective film. There was a point.

一方プラズマCVD法により得られるアモルファスカー
ボン膜は、膜中に水素を含み、可視域において透明なも
のを得ることが可能であるが、膜の硬度を大きくするた
めには基板に負のバイアス電圧を印加するなどの方法が
必要で有り、大面積基板への連続成膜や絶縁性基板への
成膜が困難であるという問題点を有していた。また比較
的高い圧力でのグロー放電であり原料ガスを分解して基
板に凝着させると言う成膜機構であるため放電の不均一
性や、ガスの分解による膜成長種の分布の不均一性のた
めに大面積の基板に均一な膜厚、膜質分布で成膜するこ
とが困難であると言う問題点がある。
On the other hand, an amorphous carbon film obtained by the plasma CVD method contains hydrogen in the film and can be transparent in the visible region.However, in order to increase the hardness of the film, a negative bias voltage must be applied to the substrate. A method such as application of a voltage is required, and there is a problem that it is difficult to form a film continuously on a large-area substrate or a film on an insulating substrate. In addition, since the glow discharge is a glow discharge at a relatively high pressure, it is a film forming mechanism that decomposes the raw material gas and adheres to the substrate, resulting in non-uniform discharge and non-uniform distribution of film growth species due to gas decomposition. Therefore, it is difficult to form a film with a uniform film thickness and film quality distribution on a large-area substrate.

[課題を解決するための手段] 本発明は上記従来の問題点を解決するためになされた
ものであって、グラファイトのターゲットを用いたスパ
ッタリングにより基板上にアモルファスカーボン膜を製
造する方法において、該スパッタリングを水素とアルゴ
ンガスの混合ガス雰囲気中でマグネトロンスパッタリン
グにより行なっている。
Means for Solving the Problems The present invention has been made to solve the above-mentioned conventional problems, and is directed to a method of manufacturing an amorphous carbon film on a substrate by sputtering using a graphite target. The sputtering is performed by magnetron sputtering in a mixed gas atmosphere of hydrogen and argon gas.

一般にカーボンのスパッタリングではアルゴン等の希
ガスイオンがグラファイトターゲットに衝突して炭素原
子が飛び出し、これが基板に凝着するわけであるが、例
えば雰囲気ガスが純アルゴンである場合、形成される膜
は黒いアモルファスカーボン膜となる。
In general, in carbon sputtering, rare gas ions such as argon collide with a graphite target and carbon atoms fly out, and this adheres to the substrate.For example, when the atmosphere gas is pure argon, the film formed is black. It becomes an amorphous carbon film.

このスパッタリングに於て、ターゲット電極に磁界を
印加するマグネトロンスパッタリング法を用い、雰囲気
中に水素ガスが存在すると、カソード付近の電子密度が
増加してプラズマ中の活性化した水素原子の密度が増加
し、基板上に形成されるカーボン膜中にこれが取り込ま
れ透明な膜が形成される。また、水素原子は形成された
カーボンのうち、グラファイト成分を再ガス化すること
にも有効である。
In this sputtering, using a magnetron sputtering method in which a magnetic field is applied to the target electrode, when hydrogen gas is present in the atmosphere, the electron density near the cathode increases, and the density of activated hydrogen atoms in the plasma increases. This is taken into the carbon film formed on the substrate to form a transparent film. Hydrogen atoms are also effective in regasifying the graphite component of the formed carbon.

通常のRF、DCスパッタリング法を用いると、雰囲気ガ
スのイオン化率がマグネトロンスパッタリングに比べ低
いため、活性化した水素原子の密度が低く十分にカーボ
ン膜中に水素原子を取り込むことが出来ず、またグラフ
ァイトの再ガス化も効率よく行なわれず、透明なカーボ
ン膜は得られない。
When ordinary RF and DC sputtering methods are used, the ionization rate of the atmospheric gas is lower than that of magnetron sputtering, so the density of activated hydrogen atoms is low and hydrogen atoms cannot be sufficiently incorporated into the carbon film. Is not efficiently performed, and a transparent carbon film cannot be obtained.

スパッタリングに用いる希ガスとしては、アルゴン,
ヘリウム等の希ガスが例示できる。なかでもアルゴンガ
スが安価であり、かつスパッタリングレートを高くでき
るので好ましい。
As rare gases used for sputtering, argon,
A rare gas such as helium can be exemplified. Among them, argon gas is preferable because it is inexpensive and the sputtering rate can be increased.

またマグネトロンスパッタリングにおいては、低いガ
ス圧力下で安定な放電が維持できるので、成膜表面に飛
来するカーボン、アルゴン、水素各々の原子やイオンの
持つ運動エネルギーが大きくなり、その結果カーボン膜
の硬度が大きくなる。
In magnetron sputtering, stable discharge can be maintained under low gas pressure, and the kinetic energy of each atom or ion of carbon, argon, and hydrogen flying on the film formation surface increases, and as a result, the hardness of the carbon film increases. growing.

低いガス圧力下でのスパッタリングは、プラズマ中の
水素原子を活性化する中でも効果がある。水素とカーボ
ンの十分な反応性と硬度を確保するためにスパッタリン
グ時の全圧力は3Pa以下にすることが必要であり、特に1
Pa以下が望ましい。
Sputtering under a low gas pressure is effective in activating hydrogen atoms in the plasma. In order to ensure sufficient reactivity and hardness of hydrogen and carbon, the total pressure during sputtering must be 3 Pa or less, especially 1
Pa or less is desirable.

また、水素とアルゴンの体積混合比は0.5〜50%が望
ましい。0.5%以下の水素ガス濃度では十分透明な膜は
得られにくい。また50%以上の水素ガス濃度では、形成
されるアモルファスカーボン膜の構造はポリエン構造が
支配的となり硬度が著しく減少しやすい。
Also, the volume mixing ratio of hydrogen and argon is desirably 0.5 to 50%. At a hydrogen gas concentration of 0.5% or less, it is difficult to obtain a sufficiently transparent film. At a hydrogen gas concentration of 50% or more, the structure of the amorphous carbon film formed is dominated by a polyene structure, and the hardness is liable to be significantly reduced.

[作用] 本発明によれば、アルゴンと水素の混合ガスを雰囲気
ガスとしたマグネトロンスパッタリングを用いているの
で、低いガス圧力での高電子密度の放電が可能になり、
水素原子の活性化と基板に飛来する粒子の運動エネルギ
ーの増大が生じ、水素が膜中に取り込まれて透明で硬質
なアモルファスカーボン膜を形成する。
[Operation] According to the present invention, since magnetron sputtering using a mixed gas of argon and hydrogen as an atmospheric gas is used, discharge with a high electron density at a low gas pressure becomes possible.
Activation of hydrogen atoms and an increase in kinetic energy of particles flying to the substrate occur, and hydrogen is taken into the film to form a transparent hard amorphous carbon film.

[実施例] 第1図は本発明の実施に使用するマグネトロンスパッ
タ装置の模式図である。
Embodiment FIG. 1 is a schematic view of a magnetron sputtering apparatus used for carrying out the present invention.

ターゲット電極に取り付けられた磁石により電極に磁
界が印加できるようになっている。磁束密度は1000Gaus
sであった。
A magnetic field can be applied to the electrode by a magnet attached to the target electrode. Magnetic flux density is 1000Gaus
s.

導入される雰囲気ガスは水素濃度が10体積%のアルゴ
ン−水素の混合ガスであり、ガス圧は1Paである。投入
電力は700Wであった。基板はガラス板を用い、カーボン
膜との付着力を増すために基板を150℃に加熱した。
The introduced atmosphere gas is a mixed gas of argon and hydrogen having a hydrogen concentration of 10% by volume, and the gas pressure is 1 Pa. The input power was 700W. A glass plate was used as the substrate, and the substrate was heated to 150 ° C. in order to increase the adhesion to the carbon film.

第2図は、上記本発明の条件にて作成されたアモルフ
ァスカーボン膜の透過スペクトルを示してある。
FIG. 2 shows a transmission spectrum of the amorphous carbon film formed under the conditions of the present invention.

第2図より、本発明によって作成されたアモルファス
カーボン膜は可視域に於て透明な膜であることがわか
る。エネルギーギャップは1.98eVであった。得られた膜
の硬度はビッカース硬度で700kgf/mm2程度と硬い膜であ
った。
FIG. 2 shows that the amorphous carbon film formed according to the present invention is a transparent film in the visible region. The energy gap was 1.98 eV. The hardness of the obtained film was as high as about 700 kgf / mm 2 in Vickers hardness.

[発明の効果] この様に、本発明は雰囲気ガスにアルゴンと水素の混
合ガスを用いてグラファイトターゲットのマグネトロン
スパッタリングをすることによって、可視域に対して透
明で硬質なアモルファスカーボン膜が得られる。
[Effect of the Invention] As described above, according to the present invention, a hard amorphous carbon film transparent and visible in the visible region can be obtained by magnetron sputtering of a graphite target using a mixed gas of argon and hydrogen as an atmosphere gas.

本発明により得られる透明でかつ硬質なアモルファス
カーボン膜は、光磁気記録膜の保護膜、磁気記録膜の保
護膜、各種光学製品の保護膜等各種表面保護膜として有
用である。
The transparent and hard amorphous carbon film obtained by the present invention is useful as a protective film for a magneto-optical recording film, a protective film for a magnetic recording film, a protective film for various optical products, and various other surface protective films.

【図面の簡単な説明】[Brief description of the drawings]

第1図は本発明に使用する装置の模式図、第2図は実施
例に於て形成されたアモルファスカーボン膜の透過スペ
クトルを示す図である。
FIG. 1 is a schematic view of an apparatus used in the present invention, and FIG. 2 is a view showing a transmission spectrum of an amorphous carbon film formed in the embodiment.

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】グラファイトのターゲットを用いてスパッ
タリングして基板上にアモルファスカーボン膜を製造す
る方法において、該スパッタリングを水素とアルゴンガ
スの混合ガス雰囲気中でマグネトロンスパッタリングに
よりおこない、且つスパッタリング時の全圧力を1Pa以
下、前記水素とアルゴンガスの体積混合比(H2/H2+A
r)を0.5〜50%の混合ガス雰囲気とすることにより膜中
に水素が取り込まれ、可視域において透明でビッカース
硬度が700kgf/mm2以上の硬質な磁気記録媒体用アモルフ
ァスカーボン膜の製造方法。
In a method for producing an amorphous carbon film on a substrate by sputtering using a graphite target, the sputtering is performed by magnetron sputtering in a mixed gas atmosphere of hydrogen and argon gas, and the total pressure during sputtering is controlled. Is less than 1 Pa, and the volume mixing ratio of hydrogen and argon gas (H 2 / H 2 + A
A method for producing a hard amorphous carbon film for a magnetic recording medium which is transparent in the visible region and has a Vickers hardness of 700 kgf / mm 2 or more by introducing hydrogen into the film by setting r) to a mixed gas atmosphere of 0.5 to 50%.
JP63233127A 1988-09-17 1988-09-17 Method for producing amorphous carbon film Expired - Fee Related JP2734556B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP63233127A JP2734556B2 (en) 1988-09-17 1988-09-17 Method for producing amorphous carbon film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP63233127A JP2734556B2 (en) 1988-09-17 1988-09-17 Method for producing amorphous carbon film

Publications (2)

Publication Number Publication Date
JPH0280558A JPH0280558A (en) 1990-03-20
JP2734556B2 true JP2734556B2 (en) 1998-03-30

Family

ID=16950171

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63233127A Expired - Fee Related JP2734556B2 (en) 1988-09-17 1988-09-17 Method for producing amorphous carbon film

Country Status (1)

Country Link
JP (1) JP2734556B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6726993B2 (en) 1997-12-02 2004-04-27 Teer Coatings Limited Carbon coatings, method and apparatus for applying them, and articles bearing such coatings
DE19938945C1 (en) * 1999-08-17 2001-02-08 Fraunhofer Ges Forschung Application of carbon layers to a substrate for producing hard carbon layers for e.g. barcodes, infra-red absorbers, comprises an evacuated chamber containing a graphite target, argon gas and an applied electric field
CN114540761A (en) * 2022-01-12 2022-05-27 苏州市彩衣真空科技有限公司 Coating process of amorphous tetrahedral carbon structure on surface of ultrathin PET (polyethylene terephthalate) film

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6353255A (en) * 1986-08-22 1988-03-07 Meidensha Electric Mfg Co Ltd Formation of thin carbon film
JPS63210006A (en) * 1987-02-25 1988-08-31 Meidensha Electric Mfg Co Ltd Formation of thin amorphous carbon film

Also Published As

Publication number Publication date
JPH0280558A (en) 1990-03-20

Similar Documents

Publication Publication Date Title
US4676194A (en) Apparatus for thin film formation
JPH10509267A (en) Apparatus and method for sputtering carbon
JPH0244769B2 (en)
WO2009142223A1 (en) Sputtering target, method for producing thin film and display device
Xu et al. Characterization of CNx films prepared by twinned ECR plasma source enhanced DC magnetron sputtering
JP2734556B2 (en) Method for producing amorphous carbon film
JPH03274269A (en) Method for synthesizing diamondlike thin film and diamondlike thin film
JPH07258840A (en) Formation of carbon thin film
JPS5855319A (en) Formation of diamondlike carbon film
JPS6277454A (en) Formation of cubic boron nitride film
JPS644591B2 (en)
JPS6210269A (en) Vacuum evaporation device and production of thin film
JP2603919B2 (en) Method for producing boron nitride film containing cubic boron nitride crystal grains
JPS61292817A (en) Formation of transparent conducting metal oxide film
Dellafera et al. An alternative method of preparing hydrogen-doped evaporated amorphous silicon preliminary report
JPS63210006A (en) Formation of thin amorphous carbon film
JP2687468B2 (en) Thin film forming equipment
JP4408505B2 (en) Method and apparatus for forming diamond-like carbon film
Matsuoka et al. Broad beam extraction from a new sputtering‐type ion source using an electric mirror
JPS628409A (en) Formation of transparent conducting metal oxide film
Suzuki et al. Modification of ZnO crystal orientation in dual ion beam sputtering deposition
JPH01201463A (en) Production of hard carbon film
Taga Modification of Thin Film Properties by Sputtered Particles
JPS63259068A (en) Production of hard boron nitride film
JPH05112863A (en) Formation of thin film

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350