JP2614861B2 - Reflective X-ray mask - Google Patents

Reflective X-ray mask

Info

Publication number
JP2614861B2
JP2614861B2 JP15906087A JP15906087A JP2614861B2 JP 2614861 B2 JP2614861 B2 JP 2614861B2 JP 15906087 A JP15906087 A JP 15906087A JP 15906087 A JP15906087 A JP 15906087A JP 2614861 B2 JP2614861 B2 JP 2614861B2
Authority
JP
Japan
Prior art keywords
pattern
multilayer film
mask
soft
ray
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP15906087A
Other languages
Japanese (ja)
Other versions
JPS644021A (en
Inventor
博雄 木下
隆司 金子
豊樹 北山
信行 竹内
直 石原
秀雄 吉原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Telegraph and Telephone Corp
Original Assignee
Nippon Telegraph and Telephone Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Telegraph and Telephone Corp filed Critical Nippon Telegraph and Telephone Corp
Priority to JP15906087A priority Critical patent/JP2614861B2/en
Publication of JPS644021A publication Critical patent/JPS644021A/en
Application granted granted Critical
Publication of JP2614861B2 publication Critical patent/JP2614861B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、軟X線から真空紫外領域の光源を用いて、
ウェハ上に微細パタンを転写するとき使用する反射型X
線マスクに関するものである。
DETAILED DESCRIPTION OF THE INVENTION [Industrial Application Field] The present invention uses a light source in the range from soft X-ray to vacuum ultraviolet,
Reflective X used when transferring a fine pattern on a wafer
It relates to a line mask.

〔従来の技術及び発明が解決しようとする問題点〕[Problems to be solved by conventional technology and invention]

従来の微細パタンの形成方法としては、波長400nm前
後の紫外線による露光方式が用いられているが、パタン
寸法が1μm前後になると、回折,干渉のために物理的
な解像限界となり、1μm以下のパタン形成法としては
有用ではない。解像度向上策として、短波長化を図り、
350nmのi線もしくは250nmを用いた露光方式が検討され
ているが、解像度は、0.7μm程度が限界となる。ま
た、さらに短波長化すると適当な屈折率をもつレンズ材
料が存在しなくなる。このため、レンズによらない転写
方式として、軟X線を用いたプロキシミティ露光法が提
案されている。この方法は、第7図に示すように、波長
4〜20Åの軟X線に対して透過性の良い膜の上に、軟X
線を吸収するパタンを形成したマスク2と、軟X線に感
光するレジストを塗布したウェハ3とを数10μmの間隔
をおいて平行に設置し、マスク2面に垂直な方向から軟
X線束1を照射することによって、マスク2上のパタン
をウェハ3上に転写するものである。この方法は、高い
解像性,耐塵性等の特徴のある優れた転写技術であるこ
とが確認されているが、等倍投影のために、マスクパタ
を描画する露光機の性能で支配されるマスクパタンの持
つ性能以上に軟X線によるパタン転写性能(解像度,位
置合わせ精度等)を向上させることができない。また、
プロキシミティ露光のため、フレネル回折により解像度
が低下するという問題がある。
As a conventional method for forming a fine pattern, an exposure method using ultraviolet light having a wavelength of about 400 nm has been used. However, when the pattern size is about 1 μm, the physical resolution limit due to diffraction and interference becomes a limit of 1 μm or less. It is not useful as a pattern formation method. As a resolution improvement measure, we aim to shorten the wavelength,
Exposure methods using i-line of 350 nm or 250 nm are being studied, but the resolution is limited to about 0.7 μm. Further, when the wavelength is further reduced, there is no lens material having an appropriate refractive index. For this reason, a proximity exposure method using soft X-rays has been proposed as a transfer method without using a lens. In this method, as shown in FIG. 7, soft X-rays having a wavelength of 4 to 20.degree.
A mask 2 on which a pattern for absorbing X-rays is formed and a wafer 3 coated with a resist sensitive to soft X-rays are installed in parallel at intervals of several tens of μm, and a soft X-ray flux 1 Is irradiated to transfer the pattern on the mask 2 onto the wafer 3. This method has been confirmed to be an excellent transfer technique having features such as high resolution and dust resistance. However, for the same-magnification projection, the mask is governed by the performance of an exposure machine that draws a mask pattern. The pattern transfer performance (resolution, alignment accuracy, etc.) by soft X-rays cannot be improved beyond the performance of the pattern. Also,
Because of proximity exposure, there is a problem that resolution is reduced by Fresnel diffraction.

即ち、マスク2とウェハ3間のギャップをs、使用光
源の波長をλ、回折の程度を表わす比例定数をK、パタ
ン寸法をdとすると、これらの間には次式の関係があ
る。
That is, assuming that the gap between the mask 2 and the wafer 3 is s, the wavelength of the used light source is λ, the proportionality constant representing the degree of diffraction is K, and the pattern dimension is d, the following relationship is established.

d=K(s・λ)1/2 (1) ここで、比例定数Kとして解像限界に相当する値を与
えると(1)式は解像し得る最小パタン寸法を与えるこ
ととなる。
d = K (s · λ) 1/2 (1) Here, if a value corresponding to the resolution limit is given as the proportional constant K, the equation (1) gives the minimum pattern size that can be resolved.

例えば、K=1.5,s=10μm,λ=10Åでは、(1)式
から、d=0.15μmが解像限界となる。実際には、ギャ
ップSはマスク損傷等を考慮すると、20μm以上は必要
となるため、あまり微細なパタン形成は出来ない。
For example, when K = 1.5, s = 10 μm, and λ = 10 °, from equation (1), d = 0.15 μm is the resolution limit. Actually, the gap S needs to be 20 μm or more in consideration of mask damage and the like, so that a very fine pattern cannot be formed.

また、近接露光方式に用いられているX線マスクは、
第8図に示すように、支持基板4上に、軟X線を吸収す
る吸収体5と、軟X線を透過する透過膜6とから構成さ
れている。支持基板4には、通常は、Siウェハが用いら
れ、吸収体5の材料としては、Au,Ta,W、透過膜6に
は、使用する軟X線の波長によつて異なるが、Si,SiO2,
Si3N4,SiC,BN,Ti,ポリイミドが主に用いられている。第
9図は、Al Kα線(8.34Å)に対するこれらの材料の吸
収係数と1μmの厚さでの透過率との関係を示したもの
である。Si3N4膜で78%程度の透過率であり、膜厚が2
μmになると62%に透過率が下がるために、できるかぎ
り薄膜化が必要となる。このため、破損しやすく、取扱
いずらさが増す。また、この薄膜上に、Au,Ta,W等の重
元素を0.5μm程の厚さで形成するため、全体の応力バ
ランスが保持しにくく、薄膜のそりやパタンの歪みを生
じる。さらに、吸収体や透過膜で吸収された軟X線が発
生する熱の直接的な逃げ道がないため、軟X線照射時に
吸収体の熱膨張によるパタン歪を生ずる等の問題があ
る。
The X-ray mask used for the proximity exposure method is
As shown in FIG. 8, an absorber 5 for absorbing soft X-rays and a permeable film 6 for transmitting soft X-rays are formed on a support substrate 4. Normally, a Si wafer is used for the support substrate 4, Au, Ta, W is used as a material of the absorber 5, and a permeable film 6 is made of Si, although it depends on the soft X-ray wavelength used. SiO 2 ,
Si 3 N 4 , SiC, BN, Ti, and polyimide are mainly used. Figure 9 is a graph showing the relationship between the transmittance in the thickness of the absorption coefficient and 1μm of these materials for Al K alpha ray (8.34Å). The transmittance is about 78% for the Si 3 N 4 film and the film thickness is 2
At μm, the transmittance is reduced to 62%, so it is necessary to make the film as thin as possible. For this reason, it is easy to be damaged and handling mishandle increases. In addition, since heavy elements such as Au, Ta, and W are formed on this thin film with a thickness of about 0.5 μm, it is difficult to maintain the overall stress balance, and warpage of the thin film and distortion of the pattern occur. Furthermore, since there is no direct escape path of the heat generated by the soft X-rays absorbed by the absorber or the permeable membrane, there is a problem that pattern distortion occurs due to thermal expansion of the absorber at the time of soft X-ray irradiation.

一方、半導体産業における薄膜形成技術の進展に伴な
って、重元素からなる物質と、軽元素からなる物質を数
10Åづつ交互に積層する多層膜形成技術が進歩し、この
応用として、軟X線領域で直入射で数10%の反射率をも
つ多層膜ミラーが開発されている。この多層膜を凹,凸
面鏡にして縮小光学系を構成し、4μmの1/5に縮小さ
れたパタンが報告されている(木下他、第47回応用物理
学会講演予稿集、p322、講演番号:28p−ZF−15)。この
ように、多層膜ミラーを用いれば、従来不可能とされて
いたX線領域での投影露光が実現でき、透過形マスクば
かりでなく、反射型マスクを用いた縮小投影露光システ
ムが構成できる。
On the other hand, with the advancement of thin film formation technology in the semiconductor industry, materials composed of heavy elements and
Advances have been made in multilayer film formation technology in which layers are alternately stacked by 10 °, and as this application, a multilayer mirror having a reflectivity of several tens percent at direct incidence in the soft X-ray region has been developed. A pattern reduced to 1/5 of 4 μm has been reported as a reduction optical system using this multilayer film as a concave or convex mirror (Kinoshita et al., Proceedings of the 47th JSAP, p322, lecture number: 28p-ZF-15). Thus, by using a multilayer mirror, projection exposure in the X-ray region, which has been impossible in the past, can be realized, and a reduction projection exposure system using not only a transmission mask but also a reflection mask can be configured.

〔問題点を解決するための手段〕[Means for solving the problem]

本発明の反射型X線マスクは、十分な厚さをもつ基板
上に、重元素を主とする物質と軽元素を主とする物質か
らなる薄膜を交互に幾層にも形成した多層膜を反射面と
し、前記多層膜の一部を他の物質でおおった層を吸収部
として構成、もしくは、前記多層膜の一部を除去した層
を吸収部として構成したことにある。
The reflection type X-ray mask of the present invention comprises a multilayer film in which thin films composed of a substance mainly composed of a heavy element and a substance mainly composed of a light element are alternately formed on a substrate having a sufficient thickness. The present invention is characterized in that a layer in which a part of the multilayer film is covered with another substance is used as an absorption part, or a layer in which a part of the multilayer film is removed is used as an absorption part.

〔作用〕[Action]

多層膜は、軟X線・真空紫外線(以下これらを軟X線
で代表する)の反射率を高める。
The multilayer film increases the reflectance of soft X-rays and vacuum ultraviolet rays (hereinafter, these are represented by soft X-rays).

また、基板は軟X線を透過させる必要がないため十分
厚い基板の使用を可能とする。このため、マスク自身が
破損しにくくなり、また、基板のそりやパタンの歪が発
生しにくくなる。更に、軟X線の照射時に軟X線を吸収
しても、パタン部から熱容量の大きい基板の方に熱が伝
導するため、熱膨張歪が少なくなる。
Further, the substrate does not need to transmit soft X-rays, so that a sufficiently thick substrate can be used. For this reason, the mask itself is less likely to be damaged, and warpage of the substrate and distortion of the pattern are less likely to occur. Furthermore, even if soft X-rays are absorbed during irradiation with soft X-rays, heat is conducted from the pattern portion to a substrate having a large heat capacity, so that thermal expansion distortion is reduced.

〔実施例〕〔Example〕

第1図は、本発明の反射型X線マスクの第1の実施例
を示す図であり、基板7上に、重元素を主とする物質を
軽元素を主とする物質を交互に積層した多層膜が積層さ
れ、その上に軟X線を吸収する物質からなるパタン化さ
れた中間層9′が形成された構成を有する。ここで、多
層膜8の重元素を主とする物質と軽元素を主とする物質
の積層体のピッチdは、入射する軟X線の波長λと入射
角θに応じ、ブラックの反射条件(2d sinθ=mλ:mは
整数)をほぼ満たすようになされる。また、中間層9′
の厚さは、多層膜8で反射する軟X線と中間層9′を通
る軟X線との間のコントラストが、パタンを縮小投影し
て形成するに十分なコントラストとなるような減衰量を
与える厚さとなされる。
FIG. 1 is a view showing a first embodiment of the reflection type X-ray mask of the present invention, in which a substance mainly composed of a heavy element and a substance mainly composed of a light element are alternately laminated on a substrate 7. It has a configuration in which a multilayer film is laminated, and a patterned intermediate layer 9 ′ made of a substance that absorbs soft X-rays is formed thereon. Here, the pitch d of the stacked body of the substance mainly composed of the heavy element and the substance mainly composed of the light element of the multilayer film 8 depends on the wavelength λ and the incident angle θ of the incident soft X-ray and the black reflection condition ( 2d sin θ = mλ: m is an integer). Also, the middle layer 9 '
Is set such that the contrast between the soft X-rays reflected by the multilayer film 8 and the soft X-rays passing through the intermediate layer 9 ′ becomes a sufficient contrast to form a pattern by reducing and projecting the pattern. Made with the thickness to give.

このような反射型X線マスクを製造するためには、第
2図(a)に示すように、あらかじめ平面度の良い基板
7上に多層膜8を形成した試料上に、中間層9とレジス
ト10を堆積し、第2図(b)に示すように、フォトリソ
グラフィ、もしくは、電子ビーム,X線,イオンビームリ
ソグラフィによってレジストパタン10′を形成する。そ
の上で、パタン化されたレジスト10′をエッチングマス
クとして中間層9を加工し、第2図(c)の構造を得
る。その後、レジスト10′を除去すれば、第1図の反射
型X線マスクが得られる。
In order to manufacture such a reflective X-ray mask, as shown in FIG. 2A, an intermediate layer 9 and a resist are formed on a sample in which a multilayer film 8 is formed on a substrate 7 having good flatness in advance. Then, as shown in FIG. 2B, a resist pattern 10 'is formed by photolithography or electron beam, X-ray, or ion beam lithography. Then, the intermediate layer 9 is processed using the patterned resist 10 'as an etching mask to obtain the structure shown in FIG. 2 (c). Thereafter, if the resist 10 'is removed, the reflection type X-ray mask shown in FIG. 1 is obtained.

中間層9としては、吸収の大きな材料を用いれば、加
工した部分のパタン幅より十分薄い厚さで形成すること
ができる。
If a material having a large absorption is used as the intermediate layer 9, it can be formed with a thickness sufficiently smaller than the pattern width of the processed portion.

中間層9に、TaやWを用いた場合は、フロン系ガス
(CBrF3等)を用いたドライエッチングによって高精度
に加工でき、Auを用いた場合は、不活性ガスによるイオ
ンエッチングで加工できる。これらの重金属は、波長数
10Åから数100Åまでの軟X線・真空紫外線を良く吸収
できるので、中間層として用いうる。
When Ta or W is used for the intermediate layer 9, it can be processed with high accuracy by dry etching using a chlorofluorocarbon-based gas (such as CBrF 3 ). When Au is used, it can be processed by ion etching with an inert gas. . These heavy metals have wavelength
Since it can well absorb soft X-rays and vacuum ultraviolet rays from 10 ° to several 100 °, it can be used as an intermediate layer.

中間層9には、SiO2やSi3N4を用いることもできる。S
iO2やSi3N4は、波長20Å以上では吸収係数が極めて大き
くなる。例えば、100ÅのSi3N4では、0.1μmの厚さで
9%しか透過せず、下層の多層膜が10%の反射率をもっ
ているとしても、再び0.1μm厚のSi3N4膜を通って真空
中に放出される軟X線の強度は、マスクに入射させる強
度の8×10-4倍であり、多層膜反射面の強度比は100倍
以上とることができる。通常、レジストで感光するマス
クのコントラストは、透過率で10倍以上あれば十分であ
る。Si3N4とSiO2は、フレオン系のエッチングガス、例
えば、C2F6を用いて、反応性イオンエッチングによって
大きなパタン変換差を生ずることなしに高精度に加工で
きる。
For the intermediate layer 9, SiO 2 or Si 3 N 4 can be used. S
iO 2 and Si 3 N 4 have an extremely large absorption coefficient at a wavelength of 20 ° or more. For example, 100% Si 3 N 4 transmits only 9% at a thickness of 0.1 μm, and passes through the 0.1 μm thick Si 3 N 4 film again even if the underlying multilayer film has a reflectance of 10%. The intensity of the soft X-ray emitted into a vacuum is 8 × 10 −4 times the intensity of the light incident on the mask, and the intensity ratio of the multilayer film reflecting surface can be 100 times or more. Usually, it is sufficient that the contrast of a mask exposed with a resist is 10 times or more in transmittance. Si 3 N 4 and SiO 2 can be processed with high accuracy using a Freon-based etching gas, for example, C 2 F 6 without causing a large pattern conversion difference by reactive ion etching.

また、中間層9には、AlやCr等の比較的軽い金属を用
いることもできる。AlはLSIの配線材料として多用され
ており、Crはフォトマスクの遮光部として用いられてい
る。いずれも塩素を含むガスを用いたドライエッチング
によって高精度に加工でき、前記のSi3N4やSiO2に比べ
て吸収係数が大きいため、中間層の厚さを薄くすること
ができる。
Further, for the intermediate layer 9, a relatively light metal such as Al or Cr can be used. Al is frequently used as a wiring material for LSI, and Cr is used as a light shielding portion of a photomask. Both can be processed with high precision by dry etching using a gas containing chlorine, and have a large absorption coefficient as compared with the above-mentioned Si 3 N 4 and SiO 2 , so that the thickness of the intermediate layer can be reduced.

さらに、中間層9としてカーボン(c)膜や、Cを数
多く含む高分子材料を用いることもできる。Cは、44.7
Åに吸収端をもつが、それより長波長では1000Åを越え
た所まで極めて大きな吸収係数を持っている。このた
め、100Å前後からの波長に対して中間層として用いる
ことができる。C膜は、O2による反応性イオンエッチン
グによって高精度に加工でき、高分子材料、例えば、レ
ジストは、エッチングプロセスなしに露光・現像プロセ
スのみで中間層のパタンを形成することができる。
Further, as the intermediate layer 9, a carbon (c) film or a polymer material containing many C can be used. C is 44.7
It has an absorption edge at Å, but has an extremely large absorption coefficient up to over 1000 で は at longer wavelengths. Therefore, it can be used as an intermediate layer for wavelengths from around 100 °. The C film can be processed with high accuracy by reactive ion etching using O 2 , and a polymer material, for example, a resist can form a pattern of an intermediate layer only by an exposure and development process without an etching process.

反射面である多層膜の最上層を、それ以下の層に比べ
て十分厚く形成し、この層をパタン化して中間層とする
こともできる。この場合は、中間層9は、多層膜8の一
層として形成できるので、工程を減らすことができる。
この場合の多層膜材料としては、W−C,Mo−Si,W−Si,T
i−Si,Ta−Si,Re−Si等の高融点金属と、Al,Si,C,Cr等
の軽元素を用いると、先に述べた従来のエッチングプロ
セスによって容易に加工できる。
The uppermost layer of the multilayer film as the reflection surface may be formed sufficiently thicker than the lower layer, and this layer may be patterned to be an intermediate layer. In this case, since the intermediate layer 9 can be formed as one layer of the multilayer film 8, the number of steps can be reduced.
In this case, as a multilayer film material, WC, Mo-Si, W-Si, T
If a high melting point metal such as i-Si, Ta-Si or Re-Si and a light element such as Al, Si, C or Cr are used, it can be easily processed by the above-described conventional etching process.

上記の実施例では、中間層として、いずれも単一の物
質を用いているが、複合層としてもよく、とくに、中間
層と、反射面である多層膜層の間に除去しやすい物質か
らなる層を介在させれば多層膜基板の再利用が可能であ
り、マスク製作費用の低減化も可能である。
In the above embodiment, the intermediate layer is formed of a single substance, but may be formed of a composite layer. In particular, the intermediate layer may be formed of a substance that can be easily removed between the multilayer layer and the reflective surface. If the layers are interposed, the multilayer film substrate can be reused, and the mask manufacturing cost can be reduced.

また、多層膜の形成法としては、真空蒸着による方
法,イオンビームスパッタによる方法,高周波(RF)ス
パッタによる方法,分子線成長(MBE)法のいずれの方
法も用いることができる。
As a method for forming the multilayer film, any of a method by vacuum evaporation, a method by ion beam sputtering, a method by radio frequency (RF) sputtering, and a molecular beam epitaxy (MBE) method can be used.

第3図は、本発明の反射型X線マスクの第2の実施例
を示す図であり、7は基板、8′は軟X線に対して反射
面となるパタン化された多層膜である。ここで、パタン
化された多層膜8′のピッチは、第1の実施例と同様に
ブラッグの条件をほぼ満足するようになされる。このよ
うな構造の反射型X線マスクを製造するには、第4図
(a)に示すように、基板7上に積層した多層膜8の上
にパタン11を形成し、このパタン11をマスクにして多層
膜8をエッチングし、第4図(b)に示す構造を得た
後、パタン11を除去して得られる。パタン11はレジスト
パタンであっても良いし、第1の実施例で用いた中間層
9′であっても良い。中間層9′を用いた場合は、パタ
ンはネガパタンとなる。
FIG. 3 is a view showing a second embodiment of the reflection type X-ray mask of the present invention, in which 7 is a substrate, and 8 'is a patterned multilayer film serving as a reflection surface for soft X-rays. . Here, the pitch of the patterned multilayer film 8 'is made to substantially satisfy the Bragg condition as in the first embodiment. In order to manufacture a reflection type X-ray mask having such a structure, as shown in FIG. 4A, a pattern 11 is formed on a multilayer film 8 laminated on a substrate 7, and this pattern 11 is used as a mask. Then, the multilayer film 8 is etched to obtain the structure shown in FIG. 4B, and then the pattern 11 is removed. The pattern 11 may be a resist pattern or the intermediate layer 9 'used in the first embodiment. When the intermediate layer 9 'is used, the pattern becomes a negative pattern.

反射面としての多層膜の構成としては、重元素層とし
て、Mo,Ta,W,Ti,Crを、軽元素層として、Siを主成分と
する物質を選択すれば、フレオン系のエッチングガス
(CBrF3等)によって連続的に容易にエッチングでき
る。また、中間層としては、SiO2やSi3N4を用いれば同
じフレオン系のエッチングガスでエッチングすることが
できる。
As a configuration of the multilayer film as the reflection surface, if a material containing Mo, Ta, W, Ti, Cr as a heavy element layer and Si as a main element as a light element layer is selected, a Freon-based etching gas ( It can be easily and continuously etched by CBrF 3 or the like. If SiO 2 or Si 3 N 4 is used as the intermediate layer, etching can be performed with the same Freon-based etching gas.

第3図の反射型X線マスクは、次に述べるようなリフ
トオフを用いた工程でも製造できる。第5図(a)のよ
うに、あらかじめ、基板7上にパタン12を高精度に形成
し、その上に、第5図(b)のように多層膜を堆積す
る。次にパタン12を除去すれば、パタン12とその上に多
層膜8が除去され、第5図(c)に示されるパタン化さ
れた多層膜8′から成る反射型X線マスクが得られる。
The reflection type X-ray mask of FIG. 3 can also be manufactured by a process using lift-off as described below. As shown in FIG. 5 (a), a pattern 12 is previously formed on the substrate 7 with high accuracy, and a multilayer film is deposited thereon as shown in FIG. 5 (b). Next, when the pattern 12 is removed, the pattern 12 and the multilayer film 8 thereon are removed, and a reflection type X-ray mask composed of the patterned multilayer film 8 'shown in FIG. 5C is obtained.

この方法は、微細なパタンになるほどそのパタンの間
に多層膜を均一に形成することが困難となるが、通常、
反射に必要な多層膜の膜厚は1000Å以下であり、パタン
幅が1μm以上であれば、開口部が大きく均一な付着が
できる。
In this method, it becomes difficult to form a multilayer film uniformly between the patterns as the pattern becomes finer.
The thickness of the multilayer film required for reflection is 1000 ° or less, and if the pattern width is 1 μm or more, the openings are large and uniform adhesion can be achieved.

ここで、多層膜ミラーの反射率について述べる。 Here, the reflectance of the multilayer mirror will be described.

第6図は、W−Siの多層膜(W:10Å,Si:15Å,30層)
で入射角20゜、波長40〜60Åの領域での反射率特性の計
算値を示したものである。図からわかるように、波長約
50Åで8%の反射率が得られている。この多層膜は、全
体でも750Åの厚さであり、この程度の薄膜であると精
度良くエッチングできる。
Fig. 6 shows a multilayer film of W-Si (W: 10Å, Si: 15Å, 30 layers)
Shows the calculated values of the reflectance characteristics in the range of the incident angle of 20 ° and the wavelength of 40 to 60 °. As you can see from the figure, the wavelength
At 50 °, a reflectance of 8% is obtained. This multilayer film has a thickness of 750 mm as a whole, and a thin film having such a thickness can be etched accurately.

Mo−Si多層膜では、波長170、4Å、ピッチ95.0Å(M
o:38.2Å,Si:56.8Å)、20層の場合に、入射角15゜付近
で計算値で約47%、実験値で約68%の反射率が既に得ら
れている。(トロイW.バービー ジュニア,スーパーラ
ティスィズ アンド マイクロストラクチャーズ,第1
巻,第4号,1985年,頁。:Troy W.Barbee Jr,Superlatt
ices and Microstructures,Vol.1,No.4,(1985)。) このように、反射面に多層膜を用いると軟X線を高い
反射率で反射することができる。本発明は、このような
多層膜の知見とX線縮小投影露光法の実現性に鑑みて成
されたものである。
For a Mo-Si multilayer film, the wavelength is 170, 4 mm, and the pitch is 95.0 mm (M
o: 38.2Å, Si: 56.8Å), and in the case of 20 layers, a reflectance of about 47% as a calculated value and about 68% as an experimental value have already been obtained near an incident angle of 15 ゜. (Troy W. Barbie Jr., Super Lattice and Microstructures, 1st
Vol. 4, No. 4, 1985, p. : Troy W.Barbee Jr, Superlatt
ices and Microstructures, Vol. 1, No. 4, (1985). As described above, when a multilayer film is used for the reflection surface, soft X-rays can be reflected with high reflectance. The present invention has been made in view of the knowledge of such a multilayer film and the feasibility of the X-ray reduction projection exposure method.

〔発明の効果〕 以上説明したように、本発明は、重元素を主とする物
質の薄膜と軽元素を主とする物質の薄膜を交互に幾層に
も形成した多層膜を反射面とし、多層膜の一部を他の物
質で付着、もしくは、加工した層を吸収層とすることに
より、パタンを形成して反射型のX線マスクを構成した
ので、従来の透過型マスクに比べて、取り扱いやすさパ
タン精度の向上化が図られ、かつ、安価にマスク製作が
可能となる。
[Effects of the Invention] As described above, the present invention is a reflective surface using a multilayer film in which thin films of a substance mainly containing heavy elements and thin films of a substance mainly containing light elements are alternately formed in multiple layers, A part of the multilayer film is adhered with another substance, or a processed layer is used as an absorption layer, thereby forming a pattern to form a reflection type X-ray mask. It is possible to improve the pattern accuracy in ease of handling and to manufacture a mask at low cost.

また、十分に厚い基板によりパタン部が冷却されるた
め、軟X線照射時のパタンの熱膨張をおさえることがで
きる。多層膜の反射率は十数%は得られるので、コント
ラストも十分確保できる。また、縮小投影露光用のマス
クとして用いれば、縮小率の分パタンを大きくすること
ができ、しかもそのパタンには段差があってエッジコン
トラストが大きいので、パタン欠陥の検出も容易にでき
るという利点がある。
Further, since the pattern portion is cooled by the sufficiently thick substrate, the thermal expansion of the pattern at the time of soft X-ray irradiation can be suppressed. Since the reflectivity of the multilayer film is more than 10%, the contrast can be sufficiently secured. Also, when used as a mask for reduction projection exposure, the pattern can be enlarged by the reduction ratio, and since the pattern has a step and a large edge contrast, the pattern defect can be easily detected. is there.

本発明の反射型X線マスクは、使用する光源の波長に
応じて材料を選ぶことにより、軟X線から真空紫外線領
域のいかなる波長に対しても設計が可能である。
The reflective X-ray mask of the present invention can be designed for any wavelength from soft X-rays to vacuum ultraviolet rays by selecting a material according to the wavelength of the light source used.

本発明の反射型X線マスクと縮小光学系との組み合わ
せにより、X線縮小投影露光装置を構成した場合、原理
的には0.1μm以下のパタン形成も可能となる。
When an X-ray reduction projection exposure apparatus is constituted by a combination of the reflection type X-ray mask of the present invention and a reduction optical system, a pattern of 0.1 μm or less can be formed in principle.

【図面の簡単な説明】[Brief description of the drawings]

第1図は、本発明の反射型X線マスクの第1の実施例を
示す図、第2図は、第1の実施例の反射型X線マスクの
製造工程を説明するための図、第3図は、本発明の反射
型X線マスクの第2の実施例を示す図、第4図は、第2
の実施例の反射型X線マスクの製造工程を説明するため
の図、第5図は、第2の実施例の反射型X線マスクの他
の製造工程を説明するための図、第6図は、多層膜ミラ
ーの反射率の計算値を示す図、第7図は、従来のプロキ
シミティ露光法を説明するための図、第8図は、透過型
X線マスクを示す図、第9図は、透過膜に使用する材料
のAl Kα線(8.34Å)に対する吸収係数と、1μmの厚
さでの透過率との関係を示す図である。 1……軟X線束、2……透過型マスク、3……ウェハ、
4……支持基板、5……吸収体、6……透過膜、7……
基板、8,8′……多層膜、9,9′……中間膜、10,10′…
…レジスト、11,12……パタン。
FIG. 1 is a view showing a first embodiment of the reflection type X-ray mask of the present invention, and FIG. 2 is a view for explaining a manufacturing process of the reflection type X-ray mask of the first embodiment. FIG. 3 is a view showing a second embodiment of the reflection type X-ray mask of the present invention, and FIG.
FIG. 5 is a view for explaining a manufacturing process of the reflection type X-ray mask of the second embodiment. FIG. 5 is a view for explaining another manufacturing process of the reflection X-ray mask of the second embodiment. FIG. 7 is a view showing a calculated value of the reflectance of the multilayer mirror, FIG. 7 is a view for explaining a conventional proximity exposure method, FIG. 8 is a view showing a transmission X-ray mask, FIG. is a diagram showing the absorption coefficient for Al K alpha line of the material to be used for permeable membrane (8.34Å), the relationship between the transmittance at a thickness of 1 [mu] m. 1 ... Soft X-ray flux, 2 ... Transmission type mask, 3 ... Wafer,
4 ... support substrate, 5 ... absorber, 6 ... permeable membrane, 7 ...
Substrate, 8,8 '... multilayer film, 9,9' ... intermediate film, 10,10 '...
… Resist, 11,12 …… Pattern.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 竹内 信行 厚木市森の里若宮3番1号 日本電信電 話株式会社厚木電気通信研究所内 (72)発明者 石原 直 厚木市森の里若宮3番1号 日本電信電 話株式会社厚木電気通信研究所内 (72)発明者 吉原 秀雄 厚木市森の里若宮3番1号 日本電信電 話株式会社厚木電気通信研究所内 (56)参考文献 特開 昭61−168917(JP,A) 特開 昭62−48019(JP,A) 特開 昭55−101945(JP,A) 特開 昭60−84818(JP,A) 日経マイクロデバイス1986年11月号P P.47−48 Exterded Abstract s of the 18th Confe rence on Solid Sta te Devices and Mat erials,Tokyo,1986,P P.17−20 ──────────────────────────────────────────────────続 き Continuing on the front page (72) Inventor Nobuyuki Takeuchi 3-1, Morinosato Wakamiya, Atsugi-shi Nippon Telegraph and Telephone Corporation Inside Atsugi Telecommunications Research Institute (72) Inventor Nao Ishihara 3-1 Morinosato-Wakamiya, Atsugi-shi Nippon Telegraph and Telephone Inside the Atsugi Electric Communication Laboratory Co., Ltd. (72) Inventor Hideo Yoshihara 3-1, Morinosato Wakamiya, Atsugi-shi Nippon Telegraph and Telephone Corporation Inside the Atsugi Electric Communication Laboratory Co., Ltd. (56) References JP-A-61-168917 (JP, A) JP-A-62-48019 (JP, A) JP-A-55-101945 (JP, A) JP-A-60-84818 (JP, A) Nikkei Microdevice November 1986, PP. 47-48 Extended Abstracts of the 18th Confence on Solid State Devices and Materials, Tokyo, 1986, PP. 17−20

Claims (2)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】基板上に重元素を主とする物質と軽元素を
主とする物質の薄膜が交互に堆積された多層膜が形成さ
れ、前記多層膜上にX線を吸収する軽元素材料からなる
パタンが形成されていることを特徴とする反射型X線マ
スク。
1. A multi-layer film in which thin films of a substance mainly composed of a heavy element and a substance mainly composed of a light element are alternately deposited on a substrate, and a light element material absorbing X-rays is formed on the multilayer film. A reflection type X-ray mask, wherein a pattern comprising:
【請求項2】特許請求の範囲第1項記載の反射型X線マ
スクにおいて、パタンを形成する軽元素材料が2酸化シ
リコン(SiO2)、窒化シリコン(Si3N4)、シリコン(S
i)、アルミニウム(Al)、クロム(Cr)であることを
特徴とする反射型X線マスク。
2. The reflection type X-ray mask according to claim 1, wherein the light element material forming the pattern is silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4), silicon (S
i) a reflective X-ray mask, which is made of aluminum (Al) or chromium (Cr).
JP15906087A 1987-06-26 1987-06-26 Reflective X-ray mask Expired - Lifetime JP2614861B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP15906087A JP2614861B2 (en) 1987-06-26 1987-06-26 Reflective X-ray mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP15906087A JP2614861B2 (en) 1987-06-26 1987-06-26 Reflective X-ray mask

Publications (2)

Publication Number Publication Date
JPS644021A JPS644021A (en) 1989-01-09
JP2614861B2 true JP2614861B2 (en) 1997-05-28

Family

ID=15685343

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15906087A Expired - Lifetime JP2614861B2 (en) 1987-06-26 1987-06-26 Reflective X-ray mask

Country Status (1)

Country Link
JP (1) JP2614861B2 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01175734A (en) * 1987-12-29 1989-07-12 Canon Inc Reflective mask and its manufacture
KR940018710A (en) * 1993-01-28 1994-08-18 이헌조 Method for manufacturing holographic optical element
US6821682B1 (en) * 2000-09-26 2004-11-23 The Euv Llc Repair of localized defects in multilayer-coated reticle blanks for extreme ultraviolet lithography
US9335206B2 (en) * 2012-08-30 2016-05-10 Kla-Tencor Corporation Wave front aberration metrology of optics of EUV mask inspection system
JP6282844B2 (en) * 2013-11-06 2018-02-21 Hoya株式会社 Substrate with thin film and method for manufacturing transfer mask
JP2016173392A (en) * 2015-03-16 2016-09-29 株式会社東芝 Light reflection type lithography mask, method of manufacturing the same, method of producing mask data, and mask blank

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61168917A (en) * 1985-01-23 1986-07-30 Hitachi Ltd Exposing method and exposing apparatus
JPH0727198B2 (en) * 1987-02-18 1995-03-29 キヤノン株式会社 Multi-layer reflective mask

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Exterded Abstracts of the 18th Conference on Solid State Devices and Materials,Tokyo,1986,PP.17−20
日経マイクロデバイス1986年11月号PP.47−48

Also Published As

Publication number Publication date
JPS644021A (en) 1989-01-09

Similar Documents

Publication Publication Date Title
US5641593A (en) Lithographic mask and exposure apparatus using the same
US5521031A (en) Pattern delineating apparatus for use in the EUV spectrum
JP3047541B2 (en) Reflective mask and defect repair method
EP0279670A2 (en) A reflection type mask
US6607862B2 (en) Damascene extreme ultraviolet lithography alternative phase shift photomask and method of making
US7384715B2 (en) Forming an EUV mask with a phase-shifter layer and an intensity balancer layer
US6986974B2 (en) Attenuated phase shift mask for extreme ultraviolet lithography and method therefore
US20060222961A1 (en) Leaky absorber for extreme ultraviolet mask
JPS5834933B2 (en) Mask structure and its formation method
US20030232256A1 (en) Photolithographic mask and methods for the fabrication of the mask
US4152601A (en) X-ray lithography mask and method for manufacturing the same
TW202225819A (en) Reflection-type mask, reflection-type mask blank, and method for manufacturing reflection-type mask
JPH04348020A (en) Reflection-type x-ray exposure mask
JP2614861B2 (en) Reflective X-ray mask
JP2023175863A (en) Reflection type mask blank and reflection type mask
JP4780847B2 (en) Reflective mask blank for EUV exposure and reflective mask for EUV exposure
JPH01175736A (en) Reflective mask
JPS63237523A (en) X-ray mask and manufacture thereof
JPS6292438A (en) Forming method for pattern
JP2889062B2 (en) X-ray mask and manufacturing method thereof
JP2022029394A (en) Pellicle for extreme ultraviolet lithography, and method for manufacturing the same
JP3266994B2 (en) Reflective mask
JP3309501B2 (en) Reflective mask
JPH0697052A (en) X-ray masking material and x-ray mask made out of it
JPH07244199A (en) Projective exposure method and device