JP2023554462A - 双極子ライナを備えた非力垂直輸送電界効果トランジスタ - Google Patents

双極子ライナを備えた非力垂直輸送電界効果トランジスタ Download PDF

Info

Publication number
JP2023554462A
JP2023554462A JP2023537244A JP2023537244A JP2023554462A JP 2023554462 A JP2023554462 A JP 2023554462A JP 2023537244 A JP2023537244 A JP 2023537244A JP 2023537244 A JP2023537244 A JP 2023537244A JP 2023554462 A JP2023554462 A JP 2023554462A
Authority
JP
Japan
Prior art keywords
layer
drain
spacer
source
dipole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023537244A
Other languages
English (en)
Inventor
レズニチェク、アレクサンダー
ミャオ、シン
リー、チューユン
チャン、ジンギュン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023554462A publication Critical patent/JP2023554462A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/408Electrodes ; Multistep manufacturing processes therefor with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7788Vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

半導体構造体は、下部ソース・ドレイン、上部ソース・ドレイン、ゲート・スタックを含んでもよい。上部ソース・ドレインはゲート・スタックよりも上にあり、下部ソース・ドレインはゲート・スタックよりも下にある。半導体構造体は、下部スペーサおよび上部スペーサも含んでもよい。ゲート・スタックは、下部スペーサと上部スペーサとの間にある。下部スペーサおよび上部スペーサはそれぞれ双極子ライナを備える。双極子ライナは、第1の層および第2の層を含む。第2の層は、第1の層と直接接触していてもよい。第2の層は、第1の層とは異なる材料で作製されてもよい。第1の層は、酸化シリコンで作製されてもよい。第2の層は、窒化シリコンまたは酸化アルミニウムで作製されてもよい。第1の層は、ゲート・スタック、上部ソース・ドレイン、および下部ソース・ドレインと直接接触していてもよい。

Description

本発明は、一般に、半導体構造体およびその形成方法に関する。より詳細には、本発明は、双極子ライナ(dipole liner)を備えた非力垂直輸送電界効果トランジスタ(wimpy vertical transport field effect transistor)を含む半導体構造体に関する。
非クリティカルな(non-critical)回路経路内のデバイスは、標準デバイスよりも高い閾値電圧を有することが好ましい。これは、回路の性能を損なうことなく低い漏れ率を維持する際の支援となる。より大きい閾値電圧を有するこれらデバイスは、「非力」デバイスと呼ばれる。従来、非力デバイスは、標準デバイスよりも長いゲート長で作製され、標準デバイスよりも高いチャネル・ドーピングを有する。非クリティカルな回路経路内の非力デバイスは、チップの消費電力を削減する。
本発明の一実施形態によれば、半導体構造体が提供される。半導体構造体は、下部ソース・ドレイン、上部ソース・ドレイン、ゲート・スタックを含んでもよい。上部ソース・ドレインはゲート・スタックよりも上にあり、下部ソース・ドレインはゲート・スタックよりも下にある。半導体構造体は、下部スペーサおよび上部スペーサも含んでもよい。ゲート・スタックは、下部スペーサと上部スペーサとの間にある。下部スペーサおよび上部スペーサはそれぞれ双極子ライナを備える。双極子ライナは、第1の層および第2の層を含む。第2の層は、第1の層と直接接触していてもよい。第2の層は、第1の層とは異なる材料で作製されてもよい。第1の層は、酸化シリコンで作製されてもよい。第2の層は、窒化シリコンまたは酸化アルミニウムで作製されてもよい。第1の層は、ゲート・スタック、上部ソース・ドレイン、および下部ソース・ドレインと直接接触していてもよい。下部スペーサは誘電体を含んでもよい。誘電体は、双極子ライナと直接接触していてもよい。
本発明の別の実施形態によれば、半導体構造体が提供される。半導体構造体は、垂直電界効果トランジスタを含んでもよく、垂直電界効果トランジスタは、上部ソース・ドレイン、下部ソース・ドレイン、下部スペーサ、上部スペーサ、ゲート・スタックを含む。下部ソース・ドレインは、下部スペーサによってゲート・スタックから分離される。下部スペーサは、下部ソース・ドレインに接触する双極子ライナを含んでもよい。上部ソース・ドレインは、上部スペーサによってゲート・スタックから分離されてもよい。上部スペーサは双極子ライナを含んでもよい。双極子ライナは上部ソース・ドレインに接触してもよい。双極子ライナは、第1の層および第2の層を含んでもよい。第2の層は、第1の層と直接接触していてもよい。第2の層は、第1の層とは異なる材料で作製されてもよい。第1の層は、酸化シリコンで作製されてもよい。第2の層は、窒化シリコンまたは酸化アルミニウムで作製されてもよい。第1の層は、ゲート・スタック、上部ソース・ドレイン、および下部ソース・ドレインと直接接触していてもよい。下部スペーサは誘電体を含んでもよい。誘電体は双極子ライナと直接接触していてもよい。
本発明の別の実施形態によれば、方法が提供される。方法は、基板上に下部ソース・ドレインを形成することと、下部ソース・ドレインと直接接触していてもよく双極子ライナを含んでもよい下部スペーサを形成することと、下部スペーサよりも上にゲート・スタックを形成することと、ゲート・スタックよりも上に、ゲート・スタックと直接接触していてもよく双極子ライナを含んでもよい上部スペーサを形成することと、上部スペーサよりも上に上部ソース・ドレインを形成することとを含んでもよい。双極子ライナは、第1の層および第2の層を含んでもよい。第2の層は、第1の層と直接接触していてもよい。第2の層は、第1の層とは異なる材料で作製されてもよい。第1の層は、ゲート・スタック、上部ソース・ドレイン、および下部ソース・ドレインと直接接触していてもよい。第1の層は、酸化シリコンで作製されてもよい。第2の層は、窒化シリコンまたは酸化アルミニウムで作製されてもよい。下部スペーサは誘電体を含んでもよい。誘電体は双極子ライナと直接接触していてもよい。
以下の詳細な説明は、例として与えられており、本発明をそれだけに限定することを意図するものではなく、添付の図面と併用することにより最もよく理解されるであろう。
例示的な実施形態による、基板上に垂直フィンを形成することを示す断面図である。 例示的な実施形態による、フィンの垂直側壁に沿ってスペーサを形成することを示す断面図である。 例示的な実施形態による、基板をリセスすることを示す断面図である。 例示的な実施形態による、下部ソース・ドレインを形成することを示す断面図である。 例示的な実施形態による、スペーサを除去することを示す断面図である。 例示的な実施形態による、二層双極子ライナを備えた下部スペーサを形成することを示す断面図である。 例示的な実施形態による、ゲート誘電体材料、仕事関数金属、および金属ゲートを堆積することを示す断面図である。 例示的な実施形態による、二層双極子ライナを備えた上部スペーサを形成することを示す断面図である。 例示的な実施形態による、上部スペーサ、金属ゲート、および下部スペーサの一部分を除去し、結果として得られた開口部を層間誘電体で充填することを示す断面図である。 例示的な実施形態による、上部ソース・ドレインを形成することを示す断面図である。 例示的な実施形態による、層間絶縁体およびコンタクトを形成することを示す断面図である。 例示的な実施形態による、標準垂直輸送電界効果トランジスタおよび非力垂直輸送電界効果トランジスタを示す断面図である。
図面は必ずしも縮尺どおりではない。図面は単なる概略図であり、本発明の特定のパラメータを描写することを意図したものではない。図面は、本発明の典型的な実施形態のみを描写することを意図したものである。図面において、同様の番号は同様の要素を表す。
本明細書では、特許請求される構造体および方法の詳細な実施形態を開示するが、開示される実施形態は、特許請求される構造体および方法を単に例示するものであり、特許請求される構造体および方法は様々な形態で具現化されてもよいことを理解されたい。しかしながら、本発明は、多くの異なる形態で具現化されてもよく、本明細書に記載の例示的な実施形態に限定されると解釈されるべきではない。そうではなく、これらの例示的な実施形態は、本開示が徹底的かつ完全なものになり、本発明の範囲を当業者に十分に伝えるように提供されるものである。説明では、提示された実施形態を不必要に曖昧にすることを避けるために、周知の特徴および技術の詳細は省略されていることがある。
以降の説明のために、「上方(upper)」、「下方(lower)」、「右(right)」、「左(left)」、「垂直(vertical)」、「水平(horizontal)」、「上部(top)」、「下部(bottom)」という用語、およびそれらの派生語は、図面において方向付けられているように、開示されている構造体および方法に関するものとする。「覆って(overlaying)」、「頂部に(atop)」、「上部に(on top)」、「上に配置された(positioned on)」、または「頂部に配置された(positioned atop)」という用語は、第1の構造体などの第1の要素が、第2の構造体などの第2の要素上に存在し、第1の要素と第2の要素との間に界面構造体などの介在要素が存在してもよいことを意味する。「直接接触(direct contact)」という用語は、第1の構造体などの第1の要素と、第2の構造体などの第2の要素とが、この2つの要素の界面において中間の導電層、絶縁層、または半導体層なしで接続されることを意味する。
本発明の実施形態の提示を不明瞭にしないために、以下の詳細な説明では、当技術分野で公知のいくつかの処理ステップまたは動作は、提示および例示の目的で一緒に組み合わされていることがあり、いくつかの事例では、詳細に説明されていないことがある。他の事例では、当技術分野で公知のいくつかの処理ステップまたは動作は、全く説明されていないことがある。以下の説明はむしろ、本発明の様々な実施形態の特有の特徴または要素に焦点を当てていることを理解されたい。
本発明の実施形態は、一般に、半導体構造体およびその形成方法に関する。より詳細には、本発明は、双極子ライナを有する非力垂直輸送電界効果トランジスタを含み得る半導体構造体に関する。以後、垂直輸送電界効果トランジスタを垂直トランジスタと呼ぶ。
チップの消費電力を削減するために、非クリティカルな回路経路において非力デバイスが使用されることがある。従来、非力デバイスは、標準デバイスよりも長いゲート長で作製され、標準デバイスよりも高いチャネル・ドーピングを有する。横型電界効果トランジスタの場合、非力デバイスは、公称デバイス(nominal device)よりも3~4nm長いゲート長を有しており、約20mV高い閾値電圧を有する非力デバイスに変換される。しかしながら、垂直電界効果トランジスタの場合、異なるゲート長を有する標準デバイスおよび非力デバイスを作製することは困難である。異なるゲート長を有する垂直トランジスタを横並びで作製すると、非力垂直トランジスタが標準垂直トランジスタよりも短いのでトポグラフィ上の問題が生じる。このように、レコード・フローの通常のプロセスに容易に挿入して、非力垂直トランジスタと標準垂直トランジスタとを横並びで形成することができる、非力垂直トランジスタを製造するための方法および構造体が必要とされている。
本発明の実施形態は、双極子ライナを備えたスペーサ材料を有することによって非力垂直トランジスタを製造するための方法および構造体を提案する。非力垂直トランジスタを形成するために、本発明の実施形態は、下部スペーサおよび上部スペーサが配置されているチャネルに沿って二層双極子ライナを形成することを提案する。二層双極子ライナは、それぞれの下部スペーサおよび上部スペーサの一部になる。結果として得られる双極子ライナを備えた垂直トランジスタは、実効ゲート長が長くなり電圧漏れが少なくなるので、非力となる。本発明の実施形態は、双極子ライナを使用して、垂直トランジスタのソース・ドレイン領域付近の電界を変化させ、閾値電圧を小さい範囲で変化させる。
図1~図12は、双極子ライナを備えた非力垂直トランジスタを含む例示的な半導体構造体を示す。
ここで図1を参照すると、ある実施形態による構造体100が示されている。構造体100は、基板102、ハード・マスク・キャップ104、およびフィン200を含んでもよい。基板102は、1つまたは複数の半導体材料を含んでもよい。基板102の好適な材料の非限定的な例には、Si(シリコン)、歪みSi、Ge(ゲルマニウム)、SiGe(シリコン・ゲルマニウム)、Si合金、Ge合金、III-V材料(例えば、GaAs(ヒ化ガリウム)、InAs(ヒ化インジウム)、InP(リン化インジウム)、もしくはヒ化アルミニウム(AlAs))、II-VI材料(例えば、CdSe(セレン化カドミウム)、CdS(硫化カドミウム)、CdTe(テルル化カドミウム)、ZnO(酸化亜鉛)、ZnSe(セレン化亜鉛)、ZnS(硫化亜鉛)、もしくはZnTe(テルル化亜鉛))、またはそれらの任意の組合せが含まれてもよい。ある実施形態において、基板102はシリコンを含んでもよい。一実施形態において、基板102はバルク半導体基板である。「バルク半導体基板」という用語は、全体が1つまたは複数の半導体材料で構成される基板を指す。一例において、バルク半導体基板は、全体がシリコンで構成される。
ハードマスク層(図示せず)は、基板102の上面に堆積されてもよい。ハードマスク層は、例えば、二酸化シリコン、窒化シリコン、または酸窒化シリコンあるいはその組合せなどの任意の誘電体材料を含んでもよい。いくつかの実施形態において、ハードマスク層は、例えば、化学気相堆積、プラズマ強化化学気相堆積、物理気相堆積、または原子層堆積などの従来の堆積プロセスを利用して形成され得る。他の実施形態において、ハードマスク層は、例えば、熱酸化などの熱成長プロセスを利用して形成されてもよい。さらに他の実施形態において、ハードマスク層は、堆積プロセスと熱成長プロセスの組合せによって形成され得る。ハードマスク層は、約10nmから約25nmまでの範囲の厚さを有してもよい。ハードマスク層の他の厚さも可能であり、本出願において使用することができる。
基板102の上面にハードマスク層を形成した後、ハードマスク層および基板102はパターニングされる(図示せず)。パターニングは、リソグラフィおよびエッチングによって実施されてもよい。ハードマスク層および基板102のパターニングは、ハード・マスク・キャップ104およびフィン200の形成をもたらす。ハードマスク層の残りの部分、すなわちエッチングされていない部分は、本明細書ではハード・マスク・キャップ104と呼ばれる。フィン200はそれぞれ、互いに平行または実質的に平行な一対の垂直側壁を含む。2つのフィン200が形成されるものとして説明および図示されているが、単一のフィン200または複数のフィン200が形成されてもよい。各フィン200は、約20nmから約150nmまでの範囲の垂直高さ、5nmから30nmまでの幅、および20nmから300nmまでの長さを有してもよい。本明細書で述べた範囲よりも小さいまたは大きい他の垂直高さまたは幅または長さあるいはその組合せも本出願において使用することができる。
ここで図2を参照すると、ある実施形態による、側壁スペーサ106を備えた構造体100が示されている。最初に、基板102およびハード・マスク・キャップ104の上面、ならびにフィン200の側壁およびハード・マスク・キャップ104の側壁に、スペーサ層(図示せず)がコンフォーマルに堆積される。スペーサ層は、例えば、二酸化シリコン、窒化シリコン、SiOCN、またはSiBCNなどの絶縁材料を含んでもよい。スペーサ層の材料の他の非限定的な例には、誘電体酸化物(例えば、酸化シリコン)、誘電体窒化物(例えば、窒化シリコン)、誘電体酸窒化物、またはそれらの任意の組合せが含まれてもよい。スペーサ層は、堆積プロセス、例えば、原子層堆積、化学気相堆積、または物理気相堆積によって堆積されてもよい。スペーサ層はそれぞれ、約3nmから約15nmまで、または約5nmから約8nmまでの範囲の厚さを有してもよい。
スペーサ層がコンフォーマルに堆積されると、次いで、スペーサ層はエッチバックされて側壁スペーサ106を形成する。側壁スペーサ106を形成するために、スペーサ層は、ドライエッチング・プロセス、例えば、反応性イオン・エッチング・プロセスによって、スペーサ層がハード・マスク・キャップ104の側壁およびフィン200の側壁に残るが基板102の上面およびハード・マスク・キャップ104の上面から除去されるように、エッチングされてもよい。側壁スペーサ106は、フィン200の側壁およびハード・マスク・キャップ104の側壁に沿って配置される。側壁スペーサ106は、後続の製造プロセス中にフィン200の側壁を損傷から保護する。
ここで図3を参照すると、ある実施形態による、基板102がさらにリセスされた構造体100が示されている。側壁スペーサ106が形成されると、側壁スペーサ106またはハード・マスク・キャップ104に対して選択性のある(実質的にこれらを除去しない)別のエッチング・プロセスを使用して、基板102をさらにリセスしてもよい。エッチング・プロセスは、例えば、乾式異方性エッチング・プロセスであってもよい。基板102をリセスすると、側壁スペーサ106の直下の基板102の側壁が露出する。エッチング・プロセス中にフィン200の周りに側壁スペーサ106を有することにより、フィン200自体の幅と比較してさらに幅が広い、フィン200の直下にある基板102の下部部分が生成される。
ここで図4を参照すると、ある実施形態による、ソース・ドレイン・エピタキシ108と下部ソース・ドレイン110とを備えた構造体100が示されている。基板102がさらにエッチバックされてその側壁が露出されると、基板102の上部にソース・ドレイン・エピタキシ108が成長させられる。ソース・ドレイン・エピタキシ108は、ソース・ドレイン・エピタキシ108の上面がスペーサ106の下面と実質的に同一平面になるような厚さまで成長させられてもよい。ソース・ドレイン・エピタキシ108は、シリコンまたはシリコン・ゲルマニウムで作製され、in-situドープされてもよい。ある実施形態において、ソース・ドレイン・エピタキシ108は、ドーパントで高濃度にドープされてもよく、ドーパントはp型ドーパント(例えば、ホウ素またはガリウム)であってもよい。代替の実施形態において、ソース・ドレイン・エピタキシ108は、ドーパントで高濃度にドープされてもよく、ドーパントはn型ドーパント(例えば、アンチモン、ヒ素、またはリン)であってもよい。
ソース・ドレイン・エピタキシ108が堆積されると、構造体100は、ドーパントの一部をソース・ドレイン・エピタキシ108からフィン200の下にある基板102の一部分に移動させるためのドライブイン・アニール・プロセスを経る。ドーパントの一部をソース・ドレイン・エピタキシ108から基板102に移動させると、チャネル111の近くに下部ソース・ドレインが作成され、接合部が形成される。下部ソース・ドレイン110は、ソース・ドレイン・エピタキシ108から移動したp型ドーパントの一部でドープされた基板材料である。下部ソース・ドレイン110は、ソース・ドレイン・エピタキシ108に横方向に隣接して配置される。下部ソース・ドレイン110が形成されると、下部ソース・ドレイン110よりも上にある基板の残りの部分は、チャネル111と呼ばれることがある。チャネル111は、基板102と同じ材料で作製される。
ある実施形態において、構造体100は、2つのフィン200間にシャロー・トレンチ・アイソレーション(STI)(図示せず)も含んでもよい。STIは、多くの方法で形成されてもよい。ある実施形態において、2つのフィン200間でソース・ドレイン・エピタキシ108および基板102の一部分を除去するために、単一のエッチング・プロセスまたは複数のエッチング・プロセスも実施されてもよい。結果として得られたトレンチ(図示せず)は、ソース・ドレイン・エピタキシ108の上面から基板102の一部分を通って延在してもよい。次いで、トレンチは、STI136を形成する誘電体材料で充填される。STI136は、ひとつのフィンに印加される電流が第2のフィンに影響を及ぼさないように、2つのフィン200を分離する誘電体プラグの形態である。典型的には、STI136は、基板102の一部分を通って、2つのフィンを電気的に分離できる深さまで延在する。
ここで図5を参照すると、ある実施形態による、側壁スペーサ106が除去された構造体100が示されている。下部ソース・ドレイン110が形成された後、フィン200の側壁から側壁スペーサ106が除去され、それによってフィン200の側壁が露出される。側壁スペーサ106は、ハード・マスク・キャップ104、基板102、ソース・ドレイン・エピタキシ108、または下部ソース・ドレイン110に対して選択性のある(実質的にこれらを除去しない)プロセスを使用してエッチングされてもよい。
ここで図6を参照すると、ある実施形態による、下部スペーサ118を備えた構造体100が示されている。下部スペーサ118は、第1の層112、第2の層114、および誘電体116を含んでもよい。第1の層112は、例えば、酸化シリコンなどの材料で作製されてもよい。第2の層114は、非力デバイスがp型垂直トランジスタであるかn型垂直トランジスタであるかに応じて、異なる材料で作製されてもよい。p型垂直トランジスタの場合、第2の層114は窒化シリコンで作製されてもよく、n型垂直トランジスタの場合、第2の層114は酸化アルミニウムで作製されてもよい。第1の層112および第2の層114は、二層双極子ライナと総称されることがある。二層双極子ライナを機能させるために、第2の層114と組み合わせた第1の層112が必要である。誘電体116は、酸化シリコンを含むがこれに限定されない低k誘電体材料(k<4.0)で作製されてもよい。
下部スペーサ118を形成するために、公知の堆積技術を使用して、構造体100の上面に第1の層112がコンフォーマルに堆積される。次いで、公知の堆積技術を使用して、第1の層112の上面に第2の層114がコンフォーマルに堆積される。第1の層112および第2の層114は、1~2nmの厚さに堆積される。第1の層112および第2の層114の厚さは、電界に影響を与え、その結果、閾値電圧に影響を与えることがある。したがって、二層双極子ライナが厚いほど、閾値電圧の範囲が大きくなる。1~2nmの厚さは、閾値電圧を<50mVの範囲で調整することができる。第1の層112および第2の層114が堆積されると、次いで、第2の層114の上面に誘電体116が堆積される。
第1の層112および第2の層114に対して選択性のある(実質的にこれらを除去しない)エッチング・プロセスを使用して、ハード・マスク・キャップ104の上面およびフィン200の側壁から誘電体116を除去してもよい。エッチング・プロセスは、例えば、反応性イオン・エッチングであってもよい。次いで、別のエッチング・プロセスを使用して、ハード・マスク・キャップ104の上面およびフィン200の側壁から第1の層112および第2の層114をエッチバックしてもよい。結果として得られた構造体100は、図6に示されているように、ソース・ドレイン・エピタキシ108および下部ソース・ドレイン110の上面に沿って形成された下部スペーサ118を含む。
典型的には、標準垂直トランジスタは、例えば、酸化シリコンなどの低k材料で作製された下部スペーサを含んでもよい。本発明の実施形態は、二層双極子ライナと誘電体116とを含む下部スペーサ118を開示し、ここで、誘電体116は低k材料で作製される。双極子ライナと誘電体116との組合せは、標準垂直トランジスタのゲート長と比較した場合に実効ゲート長が長いことに起因して、非力垂直トランジスタを作り出す。さらに、非力n型垂直トランジスタの場合、双極子ライナは、酸化シリコン(第1の層112)および酸化アルミニウム(第2の層114)で作製され、フィン200の近くで負電荷を生成する。非力p型垂直トランジスタの場合、双極子ライナは、酸化シリコン(第1の層112)および窒化シリコン(第2の層114)で作製され、フィン200の近くで正電荷を生成する。
ここで図7を参照すると、ある実施形態による、ゲート・スタック126を備えた構造体100が示されている。ゲート・スタック126は、ゲート誘電体材料120、仕事関数金属、および金属ゲート124を含んでもよい。
下部スペーサ118が形成されると、構造体100の上面にゲート誘電体材料120がコンフォーマルに堆積される。次いで、ゲート誘電体材料120の上部部分に仕事関数金属122がコンフォーマルに堆積される。ゲート誘電体材料120および仕事関数金属122は、下部スペーサ118、フィン200の側壁、およびハード・マスク・キャップ104上に配置される。
ゲート誘電体材料120は、3.9、7.0、または10.0よりも大きい誘電率を有する誘電体材料とすることができる。ゲート誘電体材料120に好適な材料の非限定的な例には、酸化物、窒化物、酸窒化物、ケイ酸塩(例えば、金属ケイ酸塩)、アルミン酸塩、チタン酸塩、窒化物、またはそれらの任意の組合せが含まれる。(7.0よりも大きい誘電率を有する)高k材料の例には、酸化ハフニウム、酸化ハフニウムシリコン、酸窒化ハフニウムシリコン、酸化ランタン、酸化アルミニウムランタン、酸化ジルコニウム、酸化ジルコニウムシリコン、酸窒化ジルコニウムシリコン、酸化タンタル、酸化チタン、酸化バリウム・ストロンチウムチタン、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化タンタルスカンジウム鉛、および亜鉛ニオブ酸鉛などの金属酸化物が含まれるが、これらに限定されない。高k材料にはさらに、例えば、ランタンおよびアルミニウムなどのドーパントが含まれてもよい。
ゲート誘電体材料120は、フィン200の側壁を仕事関数金属122から分離し、仕事関数金属122の静電容量を増加させながら漏れ電流効果を低減する。ゲート誘電体材料120層は、好適な堆積プロセス、例えば、化学気相堆積、プラズマ強化化学気相堆積、原子層堆積蒸着、物理気相堆積、化学溶液堆積、または他の同様のプロセスによって形成されてもよい。ゲート誘電体材料120の厚さは、堆積プロセス、ならびに使用される高k誘電体材料の組成および数に応じて変化してもよい。
仕事関数金属122は、ゲート誘電体材料120の上に配置されてもよい。仕事関数金属122の種類は、トランジスタの種類に依存する。適切な仕事関数金属122の非限定的な例には、p型仕事関数金属材料およびn型仕事関数金属材料が含まれる。p型仕事関数材料には、ルテニウム、パラジウム、白金、コバルト、ニッケル、および導電性金属酸化物、またはそれらの任意の組合せなどの組成物が含まれる。n型金属材料には、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、金属炭化物(例えば、炭化ハフニウム、炭化ジルコニウム、炭化チタン、および炭化アルミニウム)、アルミナイド、またはそれらの任意の組合せなどの組成物が含まれる。仕事関数金属122は、好適な堆積プロセス、例えば、化学気相堆積、プラズマ強化化学気相堆積、物理気相堆積、めっき、熱蒸着または電子ビーム蒸着、およびスパッタリングによって堆積されてもよい。
ゲート誘電体材料120および仕事関数金属122が構造体100上にコンフォーマルに堆積されると、構造体100は金属で充填されて、金属ゲート124を形成する。構造体100は、金属ゲート124の上面がフィン200の上面のすぐ下になるように金属で充填される。金属ゲート124は、ゲート誘電体材料120および仕事関数金属122の上に堆積される。金属ゲート124、仕事関数金属122、およびゲート誘電体材料120は、ゲート・スタック126と総称されることがある。金属ゲート124の非限定的な例には、アルミニウム(Al)、白金(Pt)、金(Au)、タングステン(W)、チタン(Ti)、またはそれらの任意の組合せが含まれてもよい。導電性金属は、好適な堆積プロセス、例えば、化学気相堆積、プラズマ強化化学気相堆積、物理気相堆積、めっき、熱蒸着または電子ビーム蒸着、およびスパッタリングによって堆積されてもよい。
引き続き図7を参照すると、金属ゲート124が堆積されると、構造体100は、ハード・マスク・キャップ104の上面および側壁からゲート誘電体材料120および仕事関数金属122を除去するためのエッチング・プロセスを経る。エッチング・プロセスは、金属ゲート124に対して選択性があってもよい(実質的に金属ゲート124を除去しない)。エッチング・プロセスは、例えば、反応性イオン・エッチングであってもよい。エッチング・プロセスが実施された後、ゲート誘電体材料120および仕事関数金属122の上面は、金属ゲート124の上面と実質的に同一平面になる。加えて、ゲート誘電体材料120、仕事関数金属122、および金属ゲート124の上面は、フィン200の上面よりも下にある。
ここで図8を参照すると、ある実施形態による、上部スペーサ128を備えた構造体100が示されている。上部スペーサ128は、下部スペーサ118と実質的に同一であり、第1の層112、第2の層114、および誘電体116を含む。最初に、第1の層112がゲート誘電体材料120、仕事関数金属122、金属ゲート124、フィン200、およびハード・マスク・キャップ104と直接接触するように第1の層112を構造体100の上面にコンフォーマルに堆積することによって、上部スペーサ128が形成されてもよい。次いで、公知の堆積技術を使用して、第1の層112の上面に第2の層114がコンフォーマルに堆積される。第1の層112および第2の層114は、1~2nmの厚さに堆積される。第1の層112および第2の層114が堆積されると、次いで、第2の層114の上面に誘電体116が堆積される。
上部スペーサ128は、図6を参照して本明細書で説明したような、下部スペーサ118を形成するために使用されるプロセスと同じプロセスによって形成されてもよい。結果として得られた構造体100は、図8に示されているように、ゲート誘電体材料120、仕事関数金属122、および金属ゲート124の上面に沿って形成された上部スペーサ128を含む。上部スペーサ128は、フィン200の上面がハード・マスク・キャップ104の下面と直接接触している界面に隣接して横方向に配置される。下部スペーサ118と同様に、上部スペーサ128も、第1の層112、第2の層114、および誘電体116を含む。
ここで図9を参照すると、ある実施形態による、層間誘電体(ILD)130を備えた構造体100が示されている。構造体100は、上部スペーサ128の一部分、金属ゲート124の一部分、仕事関数金属122の一部分、ゲート誘電体材料120の一部分、および下部スペーサ118の一部分を除去してトレンチを形成し、ソース・ドレイン・エピタキシ108の上面を露出させる、エッチング・プロセスを経る。最初に、上部スペーサ128の露出された上面にマスクが配置され、続いてパターニングされてもよい。パターンを上部スペーサ128に転写し、上部スペーサ128の一部分を除去して金属ゲート124の上面を露出させる。続いて同じプロセスを実施して、金属ゲート124の一部分、仕事関数金属122の一部分、ゲート誘電体材料120の一部分、下部スペーサ118の一部分を除去して、ソース・ドレイン・エピタキシ108の上面を露出させてもよい。
ソース・ドレイン・エピタキシ108の上面の一部分が露出されると、ILD130の上面が上部スペーサ128の上面と実質的に同一平面になるようにILD130が堆積される。ILD130は、例えば、酸化シリコン、スピンオンガラス、流動性酸化物、高密度プラズマ酸化物、ホウリンケイ酸ガラス(BPSG)、またはそれらの任意の組合せを含むがこれらに限定されない低k誘電体材料(k<4.0)から形成されてもよい。ILD130は、化学気相堆積、物理気相堆積、プラズマ強化化学気相堆積、原子層堆積、蒸着、化学溶液堆積、または同様のプロセスを含むがこれらに限定されない堆積プロセスによって堆積される。
ここで図10を参照すると、ある実施形態による、上部ソース・ドレイン132を備えた構造体100が示されている。上部ソース・ドレイン132を形成するために、最初に、図1~図9に示すハード・マスク・キャップ104が除去される。ハード・マスク・キャップ104は、例えば化学機械研磨などの任意の材料除去プロセスを利用して除去されてもよい。ハード・マスク・キャップ104が除去され、フィン200の上面が露出されると、フィン200の露出された上面から上部ソース・ドレイン132がエピタキシャル成長される。上部ソース・ドレイン132は、垂直トランジスタのファセット形成された(facetted)エピタキシ・ソース・ドレイン領域である。ファセット形成された上部ソース・ドレイン132は、尖った円錐の形状で成長し、上部ソース・ドレイン132の先端部は、フィン200から離れて水平方向および垂直方向に延在する。上部ソース・ドレイン132の尖った円錐形状は、円錐の先端で電界を増強する。
上部ソース・ドレイン132は、例えば、分子線エピタキシなどのエピタキシャル成長プロセスを使用して成長させられてもよい。上部ソース・ドレイン132を成長させるために、急速熱化学気相堆積、低エネルギープラズマ蒸着、超高真空化学気相堆積、大気圧化学気相堆積などの他の方法も使用されてもよい。エピタキシャル堆積の温度は、典型的には、450℃から900℃までの範囲である。典型的には、温度が高いほど堆積が速くなるが、堆積が速いと、結晶の欠陥および膜の亀裂が生じることがある。
ここで図11を参照すると、ある実施形態による、コンタクト134を備えた構造体100が示されている。上部ソース・ドレイン132が形成されると、構造体100はILD130で充填され、ILD130が上部ソース・ドレイン132を覆う。次に、コンタクト134が形成される。コンタクト134は、ILD130を通って上部ソース・ドレイン132まで延在し、トレンチ内に形成される。ILD130を除去してコンタクト・トレンチを形成するために、フォトレジストなどのレジストが堆積され、パターニングされてもよい。パターニングされたレジストをエッチング・マスクとして使用して反応性イオン・エッチングなどのエッチング・プロセスを実施し、上部ソース・ドレイン132が露出するまでILD130を除去してもよい。コンタクト・トレンチに、導電性材料または導電性材料の組合せが充填されて、コンタクト134を形成する。導電性材料充填物は、導電性金属、例えば、アルミニウム(Al)、白金(Pt)、金(Au)、タングステン(W)、チタン(Ti)、またはそれらの任意の組合せであってもよい。導電性材料は、好適な堆積プロセス、例えば、化学気相堆積、プラズマ強化化学気相堆積、物理気相堆積、めっき、熱蒸着または電子ビーム蒸着、またはスパッタリングによって堆積されてもよい。ILD130の表面から任意の導電性材料を除去するために、平坦化プロセス、例えば、化学機械平坦化が実施される。
結果として得られた構造体100は、図11に示されているように、2つの非力垂直トランジスタを含む。2つの非力垂直トランジスタが示されているが、本発明の実施形態が単一の基板ウェハ上に複数の非力垂直トランジスタを形成することを企図していることを理解されたい。
図11に示す垂直トランジスタのそれぞれは、双極子ライナを含む。双極子ライナは、第1の層112および第2の層114で作製される。双極子ライナに加えて、垂直トランジスタは誘電体116も含む。双極子ライナおよび誘電体116は、下部スペーサ118および上部スペーサ128を形成する。さらに、双極子ライナと誘電体との組合せは、標準垂直トランジスタのゲート長と比較した場合に実効ゲート長が長いことに起因して非力である垂直トランジスタを作り出す。双極子ライナの第1の層112は、例えば、酸化シリコンなどの材料で作製されてもよい。ゲート誘電体材料120も、酸化物材料で作製されてもよい。さらに、ゲート誘電体材料120は、バリアとして作用してフィン200の側壁を仕事関数金属122から分離し、仕事関数金属122の静電容量を増加させながら漏れ電流効果を低減する。第1の層112はゲート誘電体材料120と同じ材料で作製され得るので、第1の層112も、バリアとして作用して、漏れ電流効果を低減するとともに垂直トランジスタの実効ゲート長を増加させてもよい。
ここで図12を参照すると、ある実施形態による、標準垂直トランジスタと非力垂直トランジスタとを備えた構造体300が示されている。非力垂直トランジスタは、下部ソース・ドレイン108と上部ソース・ドレイン132との間に配置されたゲート・スタック126を含んでもよい。ゲート・スタックは、ゲート誘電体材料120、仕事関数金属122、および金属ゲート124を含んでもよい。さらに、下部スペーサ118および上部スペーサ128は、双極子ライナおよび誘電体116で作製される。
標準垂直トランジスタは、ゲート誘電体材料120と、仕事関数金属122と、金属ゲート124とによって形成されるゲート・スタック126を含む。標準垂直トランジスタは、下部ソース・ドレイン108および上部ソース・ドレイン132、ならびに下部スペーサおよび上部スペーサも含む。しかしながら、標準垂直トランジスタにおける下部スペーサおよび上部スペーサは、誘電体116だけで作製される。標準垂直トランジスタにおける下部スペーサおよび上部スペーサは、双極子ライナを含まない。その結果、標準垂直トランジスタは非力ではない。それでもなお、標準垂直トランジスタは、非力垂直トランジスタと横並びで製造されてもよい。例えば、下部スペーサおよび上部スペーサの形成中、第1の層112および第2の層114ならびに誘電体116が堆積されて、非力垂直トランジスタを形成し、一方、誘電体116のみが堆積されて、標準垂直トランジスタを形成する。第1の層112および第2の層114の堆積中、第1の層112および第2の層114が非力垂直トランジスタを形成する構造体上にのみ堆積されるように、標準垂直トランジスタがマスクされてもよい。加えて、実際の物理的なゲート長は、標準垂直トランジスタと非力垂直トランジスタとで同じである。しかしながら、実効ゲート長は、双極子ライナにより、標準垂直トランジスタよりも非力垂直トランジスタの方が長い。双極子ライナは、垂直トランジスタのソース・ドレイン領域付近の電界に影響を与え、それによって閾値電圧差を作り出す。
本発明の様々な実施形態の説明は、例示を目的として提示されたものであり、網羅的であること、または開示された実施形態に限定されることを意図したものではない。説明した実施形態の範囲から逸脱することなく、当業者には多くの変更形態および変形形態が明らかであろう。本明細書で使用される用語は、実施形態の原理、実際の適用、もしくは市場で見られる技術に勝る技術的改善を最もよく説明するために、または当業者が本明細書で開示される実施形態を理解できるようにするために選択されたものである。

Claims (20)

  1. 半導体構造体であって、
    下部ソース・ドレイン、上部ソース・ドレイン、ゲート・スタックであって、前記上部ソース・ドレインが前記ゲート・スタックよりも上にあり、前記下部ソース・ドレインが前記ゲート・スタックよりも下にある、前記下部ソース・ドレイン、前記上部ソース・ドレイン、前記ゲート・スタックと、
    下部スペーサおよび上部スペーサであって、前記ゲート・スタックが前記下部スペーサと前記上部スペーサとの間にあり、前記下部スペーサおよび前記上部スペーサがそれぞれ双極子ライナを備える、前記下部スペーサおよび前記上部スペーサと
    を備える、半導体構造体。
  2. 前記双極子ライナが、
    第1の層と、
    前記第1の層と直接接触しており前記第1の層とは異なる材料で作製される第2の層と
    を備える、請求項1に記載の半導体構造体。
  3. 前記第1の層が酸化シリコンで作製される、請求項2に記載の半導体構造体。
  4. 前記第2の層が窒化シリコンで作製される、請求項2に記載の半導体構造体。
  5. 前記第2の層が酸化アルミニウムで作製される、請求項2に記載の半導体構造体。
  6. 前記第1の層が、前記ゲート・スタック、前記上部ソース・ドレイン、および前記下部ソース・ドレインと直接接触している、請求項2に記載の半導体構造体。
  7. 前記下部スペーサが誘電体を備え、前記誘電体が前記双極子ライナと直接接触している、請求項1に記載の半導体構造体。
  8. 半導体構造体であって、
    上部ソース・ドレイン、下部ソース・ドレイン、下部スペーサ、上部スペーサ、ゲート・スタックを備える垂直電界効果トランジスタであって、前記下部ソース・ドレインが前記下部スペーサによって前記ゲート・スタックから分離され、前記下部スペーサが、前記下部ソース・ドレインに接触する双極子ライナを備える、前記垂直電界効果トランジスタ
    を備える、半導体構造体。
  9. 前記上部ソース・ドレインが前記上部スペーサによって前記ゲート・スタックから分離され、前記上部スペーサが前記双極子ライナを備え、前記双極子ライナが前記上部ソース・ドレインに接触する、請求項8に記載の半導体構造体。
  10. 前記双極子ライナが、
    第1の層と、
    前記第1の層と直接接触しており前記第1の層とは異なる材料で作製される第2の層と
    を備える、請求項8に記載の半導体構造体。
  11. 前記第1の層が酸化シリコンで作製される、請求項10に記載の半導体構造体。
  12. 前記第2の層が窒化シリコンで作製される、請求項10に記載の半導体構造体。
  13. 前記第2の層が酸化アルミニウムで作製される、請求項10に記載の半導体構造体。
  14. 前記下部スペーサが誘電体を備え、前記誘電体が前記双極子ライナと直接接触している、請求項8に記載の半導体構造体。
  15. 方法であって、
    基板上に下部ソース・ドレインを形成することと、
    前記下部ソース・ドレインと直接接触しており双極子ライナを備える下部スペーサを形成することと、
    前記下部スペーサよりも上にゲート・スタックを形成することと、
    前記ゲート・スタックよりも上に、前記ゲート・スタックと直接接触しており前記双極子ライナを備える上部スペーサを形成することと、
    前記上部スペーサよりも上に上部ソース・ドレインを形成することと
    を含む、方法。
  16. 前記双極子ライナが、
    第1の層と、
    前記第1の層と直接接触しており前記第1の層とは異なる材料で作製される第2の層と
    を備える、請求項15に記載の方法。
  17. 前記第1の層が、前記ゲート・スタック、前記上部ソース・ドレイン、および前記下部ソース・ドレインと直接接触している、請求項16に記載の方法。
  18. 前記第1の層が酸化シリコンで作製される、請求項16に記載の方法。
  19. 前記第2の層が窒化シリコンまたは酸化アルミニウムで作製される、請求項16に記載の方法。
  20. 前記下部スペーサが誘電体を備え、前記誘電体が前記双極子ライナと直接接触している、請求項15に記載の方法。
JP2023537244A 2020-12-21 2021-11-12 双極子ライナを備えた非力垂直輸送電界効果トランジスタ Pending JP2023554462A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/128,257 2020-12-21
US17/128,257 US11404581B2 (en) 2020-12-21 2020-12-21 Wimpy vertical transport field effect transistor with dipole liners
PCT/CN2021/130347 WO2022134927A1 (en) 2020-12-21 2021-11-12 Wimpy vertical transport field effect transistor with dipole liners

Publications (1)

Publication Number Publication Date
JP2023554462A true JP2023554462A (ja) 2023-12-27

Family

ID=82022431

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023537244A Pending JP2023554462A (ja) 2020-12-21 2021-11-12 双極子ライナを備えた非力垂直輸送電界効果トランジスタ

Country Status (7)

Country Link
US (1) US11404581B2 (ja)
JP (1) JP2023554462A (ja)
KR (1) KR20230108287A (ja)
CN (1) CN116670836A (ja)
DE (1) DE112021006575T5 (ja)
GB (1) GB2617021A (ja)
WO (1) WO2022134927A1 (ja)

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19617646C2 (de) 1996-05-02 1998-07-09 Siemens Ag Speicherzellenanordnung und ein Verfahren zu deren Herstellung
KR101026484B1 (ko) 2007-12-20 2011-04-01 주식회사 하이닉스반도체 수직형 트랜지스터 및 그의 제조방법
US9698261B2 (en) 2014-06-30 2017-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical device architecture
US9716155B2 (en) 2015-12-09 2017-07-25 International Business Machines Corporation Vertical field-effect-transistors having multiple threshold voltages
US9711618B1 (en) * 2016-03-31 2017-07-18 International Business Machines Corporation Fabrication of vertical field effect transistor structure with controlled gate length
US11018254B2 (en) 2016-03-31 2021-05-25 International Business Machines Corporation Fabrication of vertical fin transistor with multiple threshold voltages
US9799749B1 (en) 2016-08-18 2017-10-24 International Business Machines Corporation Vertical transport FET devices with uniform bottom spacer
US9773901B1 (en) 2016-10-26 2017-09-26 International Business Machines Corporation Bottom spacer formation for vertical transistor
US10283620B2 (en) * 2017-01-26 2019-05-07 International Business Machines Corporation Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices
US10002791B1 (en) 2017-04-06 2018-06-19 International Business Machines Corporation Multi-layer work function metal gates with similar gate thickness to achieve multi-Vt for vFETS
US9960271B1 (en) 2017-04-18 2018-05-01 Globalfoundries Inc. Method of forming vertical field effect transistors with different threshold voltages and the resulting integrated circuit structure
US9991365B1 (en) 2017-04-26 2018-06-05 International Business Machines Corporation Forming vertical transport field effect transistors with uniform bottom spacer thickness
US10312151B1 (en) * 2017-11-20 2019-06-04 International Business Machines Corporation Monolithic co-integration of MOSFET and JFET for neuromorphic/cognitive circuit applications
US10573723B1 (en) 2018-08-23 2020-02-25 International Business Machines Corporation Vertical transport FETs with asymmetric channel profiles using dipole layers
US10943992B2 (en) 2019-05-09 2021-03-09 International Business Machines Corporation Transistor having straight bottom spacers

Also Published As

Publication number Publication date
KR20230108287A (ko) 2023-07-18
DE112021006575T5 (de) 2023-10-05
WO2022134927A1 (en) 2022-06-30
GB2617021A (en) 2023-09-27
US11404581B2 (en) 2022-08-02
CN116670836A (zh) 2023-08-29
GB202310030D0 (en) 2023-08-16
US20220199834A1 (en) 2022-06-23

Similar Documents

Publication Publication Date Title
US10431682B2 (en) Vertical vacuum channel transistor
US11177258B2 (en) Stacked nanosheet CFET with gate all around structure
US10347731B2 (en) Transistor with asymmetric spacers
US9406570B2 (en) FinFET device
US11948999B2 (en) Semiconductor device
US9741609B1 (en) Middle of line cobalt interconnection
US10361199B2 (en) Vertical transistor transmission gate with adjacent NFET and PFET
US20120187523A1 (en) Method and structure for shallow trench isolation to mitigate active shorts
US10679995B2 (en) Semiconductor device and method
US9947649B1 (en) Large area electrostatic dischage for vertical transistor structures
CN114664937A (zh) 半导体装置结构及其形成方法
US20190237538A1 (en) Trigate device with full silicided epi-less source/drain for high density access transistor applications
US10615082B2 (en) VFET metal gate patterning for vertical transport field effect transistor
US20230253254A1 (en) Semiconductor Device and Method
US10546788B2 (en) Dual channel FinFETs having uniform fin heights
US10832969B2 (en) Single-fin CMOS transistors with embedded and cladded source/drain structures
US12009406B2 (en) FinFET device and method
US10304841B2 (en) Metal FinFET anti-fuse
TWI779637B (zh) 具有氧化側壁的垂直鰭片的半導體結構及其製備方法
JP2023554462A (ja) 双極子ライナを備えた非力垂直輸送電界効果トランジスタ
US11521927B2 (en) Buried power rail for scaled vertical transport field effect transistor

Legal Events

Date Code Title Description
RD16 Notification of change of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7436

Effective date: 20230706

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240411