JP2023542168A - 炭素系膜の選択的研磨用シリカ系スラリー - Google Patents

炭素系膜の選択的研磨用シリカ系スラリー Download PDF

Info

Publication number
JP2023542168A
JP2023542168A JP2023517941A JP2023517941A JP2023542168A JP 2023542168 A JP2023542168 A JP 2023542168A JP 2023517941 A JP2023517941 A JP 2023517941A JP 2023517941 A JP2023517941 A JP 2023517941A JP 2023542168 A JP2023542168 A JP 2023542168A
Authority
JP
Japan
Prior art keywords
polishing composition
polishing
ppm
ligand
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023517941A
Other languages
English (en)
Inventor
レイス ブライアン
ハング ロー フェルナンド
モロー マイケル
ファン ヘリン
Original Assignee
シーエムシー マテリアルズ リミティド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シーエムシー マテリアルズ リミティド ライアビリティ カンパニー filed Critical シーエムシー マテリアルズ リミティド ライアビリティ カンパニー
Publication of JP2023542168A publication Critical patent/JP2023542168A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02024Mirror polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本発明は、化学機械研磨組成物であって、(a)シリカ研磨剤;(b)界面活性剤;(c)鉄カチオン;(d)任意でリガンド;および(e)水を含み、前記シリカ研磨剤は、前記化学機械研磨組成物中で負のゼータ電位を有する化学機械研磨組成物を提供する。また、本発明は、前記組成物を用いて、基板、特に炭素系膜を含む基板を化学機械的に研磨する方法を提供する。

Description

集積回路やその他の電子デバイスの製造において、導電性、半導体性および誘電性材料の複数の層が、基板表面に対して堆積または除去される。材料の層が基板に対して順次堆積されるとともに除去されるにつれて、基板の最上部表面は非平面化され、平坦化が必要となる場合がある。表面を平坦化すること、または表面を「研磨」することは、基板の表面から材料を除去して、一般的に均一な表面を形成するプロセスである。平坦化は、粗い表面、凝集した材料、結晶格子の損傷、傷、汚染された層や材料など、望ましくない表面の幾何学的形状や表面欠陥を取り除くのに有用である。また、平坦化は、基板上の形体を形成する際に、形体を埋めるために使用される余分な蒸着材料を除去し、その後のメタライゼーションや加工用の平面のため、均一な表面を提供するために有用である。
基板の表面を平坦化または研磨するための組成物および方法は、当技術分野でよく知られている。化学機械平坦化または化学機械研磨(CMP)は、基板を平坦化するために用いられる一般的な技術である。CMPは、基板から材料を選択的に除去するために、CMP組成物またはより単純に研磨組成物(研磨スラリーとも呼ばれる)として知られている化学組成物を利用する。研磨組成物は、通常、研磨組成物で飽和した研磨パッド(例えば、研磨布または研磨ディスク)を基板の表面に接触させることによって基板に適用される。基板の研磨は、典型的には、研磨組成物の化学的活性および/または研磨組成物中に懸濁されたまたは研磨パッド(例えば、不揮発性研磨剤研磨パッド)に組み込まれた研磨剤の機械的活性によってさらに支援される。
集積回路の小型化とチップ上の集積回路数の増加に伴い、一般的なチップ上の限られたスペースに適合するために、回路を構成する部品をより近くに配置する必要がある。半導体の性能を最大限に発揮させるためには、回路間を効果的に絶縁することが重要である。そのためには、半導体基板に浅い溝が刻まれ、絶縁材が充填され、集積回路の活性領域が分離される。具体的には、STI(Shallow Trench Isolation)は、シリコン基板上に窒化シリコン層や窒化チタン層を形成し、エッチングやフォトリソグラフィーで浅いトレンチを形成し、トレンチを埋めるように誘電体層を堆積させるプロセスである。この方法で形成されたトレンチの深さにはばらつきがあるため、すべてのトレンチを完全に埋めるために、通常、基板の上に誘電性材料を過剰に堆積させる必要がある。誘電性材料(例えば、炭素系膜)は、基板の下の幾何学的形状に適合する。過剰な誘電性材料は、通常、CMPプロセスによって除去され、さらに、さらなる処理のための平坦な表面を提供する。
研磨組成物は、その研磨速度(すなわち、除去速度)およびその平坦化効率に従って特徴付けることができる。研磨速度は、基板の表面から材料を除去する速度を指し、通常、単位時間当たりの長さ(厚さ)の単位(例えば、オングストローム(Å)/分)で表される。平坦化効率とは、基板から除去される材料の量に対するステップ高さの減少に関連する。具体的には、研磨面、例えば研磨パッドは、まず表面の「高所」に接触し、平坦な表面を形成するために材料を除去する必要がある。より少ない材料の除去で平坦な表面を達成するプロセスは、平坦性を達成するためにより多くの材料の除去を必要とするプロセスよりも効率的であると考えられている。
多くの場合、炭素系膜の除去速度は、STIプロセスにおける誘電体研磨ステップの速度制限となり得るため、炭素系膜の高い除去速度がデバイスのスループットを高めるために望まれる。しかし、ブランケット除去速度が速すぎると、露出した溝の酸化物が過剰に研磨され溝の浸食が生じ、デバイスの欠陥が増加する。
有用な除去速度を提供しながら、改善された平坦化効率も提供する、炭素系膜の化学機械研磨のための組成物および方法に対する必要性が残っている。本発明は、そのような研磨組成物および方法を提供する。本発明のこれらおよび他の利点、ならびに追加の発明的特徴は、本明細書に提供される発明の説明から明らかになるであろう。
本発明は、(a)シリカ研磨剤;(b)界面活性剤;(c)鉄カチオン;(d)任意にリガンド;および(e)水を含み、本質的にからなる、またはからなる化学機械研磨組成物を提供し、前記シリカ研磨剤は、前記化学機械研磨組成物中で負のゼータ電位を持つ。
本発明はさらに、基板を化学機械的に研磨する方法であって:(i)基板を提供すること、(ii)研磨パッドを提供すること、(iii)(a)シリカ研磨剤;(b)界面活性剤;(c)鉄カチオン;(d)任意でリガンド;および(e)水を含む化学機械研磨組成物を提供すること、ここで、前記シリカ研磨剤は、化学機械研磨組成物中で負のゼータ電位を有し、(iv)前記基板を前記研磨パッドおよび前記化学機械研磨組成物に接触させること、および(v)前記研磨パッドおよび前記化学機械研磨組成物を前記基板に対して相対移動させて、前記基板の少なくとも一部を摩耗して、前記基板を研磨することを含むものを提供する。
本発明は、(a)シリカ研磨剤;(b)界面活性剤;(c)鉄カチオン;(d)任意にリガンド;および(e)水を含む化学機械研磨組成物を提供し、ここで、前記シリカ研磨剤は、前記化学機械研磨組成物中で負のゼータ電位を持つ。
研磨組成物は、シリカ研磨剤を含む。本明細書で使用される場合、「シリカ研磨剤」、「シリカ研磨剤粒子」、「シリカ粒子」、および「研磨剤粒子」という用語は、互換的に使用することができ、任意のシリカ粒子(例えば、コロイド状シリカ粒子)を指すことができる。シリカ粒子(例えば、コロイド状シリカ粒子)は、修飾(例えば、表面修飾)または非修飾であってよく、負のネイティブゼータ電位または正のネイティブゼータ電位を有する。本明細書で使用する場合、「ネイティブゼータ電位」という用語は、シリカ研磨剤を研磨組成物に添加する前のシリカ研磨剤のゼータ電位を意味する。例えば、ネイティブゼータ電位は、貯蔵溶液または水溶液中で測定される、研磨組成物にシリカ研磨剤を添加する前のシリカ研磨剤のゼータ電位を意味し得る。当業者であれば、研磨組成物にシリカ研磨剤を添加する前のシリカ研磨剤が、負のネイティブゼータ電位または正のネイティブゼータ電位を有するかどうかを判断することができるであろう。シリカ研磨剤(例えば、コロイド状シリカ粒子)のような分散粒子上の電荷は、一般にゼータ電位(または動電位)と呼ばれる。粒子のゼータ電位は、粒子を取り囲むイオンの電荷と、粒子が測定される組成物のバルク溶液の電荷(例えば、液体キャリアおよびそこに溶解する他の成分)との間の電位差を意味する。ゼータ電位は、典型的には、水性媒体のpHに依存する。所定の研磨組成物について、粒子の等電点は、ゼータ電位がゼロであるpHとして定義される。pHが増加または減少して等電点から離れると、表面電荷(したがってゼータ電位)はそれに応じて減少または増加する(負または正のゼータ電位の値に)。ネイティブゼータ電位および研磨組成物のゼータ電位は、Dispersion Technologies, Inc.(ニューヨーク州ベッドフォード・ヒルズ)から入手できるDT-1202型音響・電気音響スペクトロメーターを用いて得ることができる。本明細書で使用される場合、「負のゼータ電位」という用語は、研磨組成物中で測定されたときに負の表面電荷を示すシリカ研磨材を指す。本明細書で使用される場合、「正のゼータ電位」という用語は、研磨組成物中で測定されたときに正の表面電荷を示すシリカ研磨材を意味する。
シリカ研磨剤は、化学機械研磨組成物中で負のゼータ電位を有する。特定の理論に拘束されることを望むものではないが、化学機械研磨組成物中のシリカ研磨剤の負のゼータ電位は、炭素系膜の表面のカチオン性との好ましい相互作用を有し、本明細書に記載の好ましい研磨特徴を生み出すのに役立つと考えられている。いくつかの実施形態において、シリカ研磨剤は、研磨組成物中で測定したときに0mV未満のゼータ電位を有する、すなわち、シリカ研磨剤は、研磨組成物中で測定したときに負のゼータ電位を有する。例えば、シリカ研磨剤は、化学機械研磨組成物において-10mV以下のゼータ電位、化学機械研磨組成物において-20mV以下のゼータ電位、化学機械研磨組成物において-30mV以下のゼータ電位、または化学機械研磨組成物において-40mV以下のゼータ電位を有することができる。いくつかの実施形態において、シリカ研磨剤は、例えば、約-10mVから約-60mV、約-10mVから約-50mV、約-10mVから約-40mV、約-20mVから約-60mV、約-20mVから約-50mV、約-20mVから約-40mV、約-30mVから約-40mV、または約-20mVから約-30mVの、約0mVから約-60mVの負のゼータ電位を有する。
シリカ研磨剤(例えば、コロイド状シリカ粒子)は、修飾(例えば、表面修飾)または非修飾であることができ、負のネイティブゼータ電位または正のネイティブゼータ電位を有する。したがって、シリカ研磨剤(例えば、コロイド状シリカ粒子)は、化学機械研磨組成物に添加する前に、正のゼータ電位または負のゼータ電位を有することができる。例えば、シリカ粒子(例えば、コロイド状シリカ粒子)は、化学機械研磨組成物に添加する前に、0mV未満(例えば、-5mVまたはそれ以下)のネイティブゼータ電位を有することができる。あるいは、シリカ粒子(例えば、コロイド状シリカ粒子)は、化学機械研磨組成物に添加する前に、0mV以上(例えば、5mV、またはそれ以上)のネイティブゼータ電位を有することができる。本明細書に記載の本発明の化学機械研磨組成物に添加された場合、負のネイティブゼータ電位を有するシリカ研磨剤は、負のゼータ電位を維持する(例えば、(i)負のゼータ電位を正のゼータ電位に変換できないカチオン性界面活性剤を使用する、または(ii)負のゼータ電位を維持するアニオン性界面活性剤を使用することにより)。あるいは、本明細書に記載の本発明の化学機械研磨組成物に添加される場合、望ましくは、正のネイティブゼータ電位を有するシリカ研磨剤は、(例えば、正のゼータ電位を負のゼータ電位に変換することができるアニオン性界面活性剤を用いることによって)負のゼータ電位を有するシリカ研磨剤に変換される。
シリカ粒子(例えば、コロイド状シリカ粒子)および帯電シリカ粒子(例えば、コロイド状シリカ粒子)は、様々な方法によって調製することができ、そのいくつかの例は、商業的に使用されていて公知である。有用なシリカ粒子には、沈殿シリカまたは縮合重合シリカが含まれ、これは、「ゾルゲル」法と呼ばれる方法またはケイ酸イオン交換による方法など、既知の方法を使用して調製することができる。縮合重合シリカ粒子は、Si(OH)4を縮合させて実質的に球状(例えば、球状、卵状、または長円状)の粒子を形成させることによって調製されることが多い。前駆体Si(OH)4は、例えば、高純度アルコキシシランの加水分解、またはケイ酸塩水溶液の酸性化によって得ることができる。米国特許第5,230,833号は、溶液中のコロイド状シリカ粒子を調製する方法を記載している。
いくつかの実施形態では、シリカ研磨剤はコロイド状シリカである。当業者に知られているように、コロイド状シリカは、液相中の微細な非晶質、無孔質、そして典型的には球状の粒子の懸濁液である。コロイド状シリカは、縮合重合または沈殿したシリカ粒子の形態をとることができる。いくつかの実施形態では、シリカは、湿式法タイプのシリカ粒子の形態である。粒子、例えばコロイド状シリカは、任意の適切な平均サイズ(すなわち、平均粒子径)を有することができる。平均研磨剤粒子サイズが小さすぎる場合、研磨組成物は十分な除去速度を示さない可能性がある。対照的に、平均研磨剤粒子サイズが大きすぎる場合、研磨組成物は、例えば、劣悪な基板欠陥性などの望ましくない研磨性能を示すことがある。
したがって、シリカ研磨剤(例えば、シリカ粒子またはコロイド状シリカ粒子)は、約10nm以上、例えば、約15nm以上、約20nm以上、約25nm以上、約30nm以上、約35nm以上、約40nm以上、約45nm以上、または約50nm以上の平均粒子径を有することができる。代替的に、または追加的に、シリカ研磨剤は、約200nm以下、例えば、約175nm以下、約150nm以下、約125nm以下、約100nm以下、約75nm以下、約50nm以下、または約40nm以下の平均粒子径を有することができる。したがって、シリカ研磨剤は、前述の端点のうちの任意の2つによって境界付けられた平均粒子径を有することができる。
例えば、シリカ研磨剤(例えば、シリカ粒子またはコロイド状シリカ粒子)は、約10nm~約200nm、約20nm~約200nm、約20nm~約175nm、約20nm~約150nm、約25nm~約125nm、約25nm~約100nm、約30nm~約100nm、約30nm~約75nm、約30nm~約40nm、または約50nm~約100nmという平均粒子径を有することができる。非球状のシリカ研磨剤粒子の場合、粒子の大きさは、粒子を包含する最小の球体の直径である。研磨剤の粒径は、任意の適切な技術、例えば、レーザー回折技術を使用して測定することができる。適切な粒径測定装置は、例えば、Malvern Instruments(英国モルバーン)から入手可能である。
シリカ研磨剤(例えば、シリカ粒子またはコロイド状シリカ粒子)は、好ましくは、研磨組成物中でコロイド的に安定である。コロイドという用語は、液体担体(例えば、水)中の粒子の懸濁液を意味する。コロイド安定性とは、その懸濁液が時間を通じて維持されることを指す。本発明の文脈において、研磨剤は、研磨剤を100mLのメスシリンダーに入れ、2時間の時間、攪拌せずに放置した場合、コロイド的に安定していると考えられ、メスシリンダーの下部50mLに含まれる粒子の濃度(g/mL換算、[B])とメスシリンダーの上部50mLに含まれる粒子の濃度(g/mL換算、[T])の差を研磨組成物の粒子の初期濃度(g/mL換算、[C])で除したものが0.5以下である(すなわち、{[B]-[T]}/[C]≦0.5)。より好ましくは、[B]-[T]/[C]の値が0.3以下であり、最も好ましくは、0.1以下である。
シリカ研磨剤は、任意の適切な量で研磨組成物中に存在することができる。本発明の研磨組成物が少なすぎる研磨剤を含んでいる場合、その組成物は十分な除去速度を示さない可能性がある。対照的に、研磨組成物が多すぎる研磨剤を含んでいる場合、研磨組成物は望ましくない研磨性能を示すことがあり、および/または費用対効果がないことがあり、および/または安定性がないことがある。研磨組成物は、シリカ研磨剤を約10wt%以下、例えば9wt%以下、8wt%以下、7wt%以下、6wt%以下、5wt%以下、4wt%以下、3wt%以下、2wt%以下、1wt%以下、0.9wt%以下、0.8wt%以下、0.7wt%以下、0.6wt%以下または0.5wt%以下含むことができる。代替的に、または追加的に、研磨組成物は、シリカ研磨剤を0.001wt%以上、例えば0.005wt%以上、0.01wt%以上、0.05wt%以上、0.1wt%以上、0.2wt%以上、0.3wt%以上、0.4wt%以上、0.5wt%以上または1wt%以上含むことができる。したがって、研磨組成物は、前述の端点のうちいずれか2つに囲まれた量のシリカ研磨剤を適宜含むことができる。
例えば、いくつかの実施形態では、シリカ研磨剤は、研磨組成物の約0.001wt%~約10wt%の量、例えば約0.001wt%~約8wt%、約0.001wt%~約6wt%、約0.001wt%~約5wt%、約0.001wt%~約4wt%、約0.001wt%~約2wt%、約0.001wt%~約1wt%、約0.01wt%~約10wt%、約0.01wt%~約8wt%、約0.01wt%~約6wt%、約0.01wt%~約5wt%、約0.01wt%~約4wt%、約0.01wt%~約2wt%、約0.01wt%~約1wt%、約0.05wt%~約10wt%、約0.05wt%~約8wt%、約0.05wt%~約6wt%、約0.05wt%~約5wt%、約0.05wt%~約4wt%、約0.05wt%~約2wt%、約0.05wt%~約1wt%、約0.1wt%~約10wt%、約0.1wt%~約8wt%、約0.1wt%~約6wt%、約0.1wt%~約5wt%、約0.1wt%~約4wt%、約0.1wt%~約2wt%、約0.1wt%~約1wt%、約0.5wt%~約10wt%、約0.5wt%~約8wt%、約0.5wt%~約5wt%、約0.5wt%~約4wt%、約0.5wt%~約2wt%、約0.5wt%~約1wt%、約1wt%~約10wt%、約1wt%~約8wt%、約1wt%~約6wt%、約1wt%~約5wt%、約1wt%~約4wt%、または約1wt%~約2wt%の量で研磨組成物中に存在することができる。
研磨組成物は、鉄カチオンを含んでいる。鉄カチオンは、第二鉄(すなわち、鉄III)または第一鉄(すなわち、鉄II)として存在することができ、任意の適切な鉄含有塩として組成物に添加することができる。例えば、鉄カチオンは、硝酸鉄、硫酸鉄、ハロゲン化鉄(フッ化物、塩化物、臭化物、およびヨウ化物、ならびに過塩素酸塩、過臭素酸塩、および過ヨウ素酸塩を含む)、および酢酸鉄、アセチルアセトナート鉄、クエン酸鉄、グルコン酸鉄、マロン酸鉄、シュウ酸鉄、フタル酸鉄、コハク酸鉄およびそれらの組み合わせ等の有機鉄化合物を研磨組成物に添加することによって生じることができる。
研磨組成物は、任意の適切な量の鉄カチオンを含むことができる。研磨組成物は、約0.01ppm以上の鉄カチオン、例えば、0.1ppm以上、約0.5ppm以上、約1ppm以上、約5ppm以上、約10ppm以上、または約20ppm以上の鉄カチオンを含むことができる。代替的に、または追加的に、研磨組成物は、約100ppm以下、例えば、約80ppm以下、約60ppm以下、または約40ppm以下の鉄カチオンを含むことができる。したがって、研磨組成物は、前述の端点のうちの任意の2つによって拘束される量の鉄カチオンを含むことができる。例えば、研磨組成物は、約0.01ppm~約100ppm、例えば、約0.01ppm~約80ppm、約0.01ppm~約60ppm、約0.01ppm~約40ppm、約0.1ppm~約100ppm、約0.1ppm~約80ppm、約0.1ppm~約60ppm、約0.1ppm~約40ppm、約1ppm~約100ppm、約1ppm~約80ppm、約1ppm~約60ppm、約1ppm~約40ppm、約10ppm~約100ppm、約10ppm~約80ppm、約10ppm~約60ppm、または約10ppm~約40ppmの鉄カチオンを含むことができる。特定の理論に拘束されることを望むものではないが、鉄濃度を高めると、より高い炭素系膜の除去速度が得られると考えられている。しかしながら、より高い鉄濃度は、市販の炭素系膜を研磨する際に複数の欠陥問題と相関する可能性があるとも考えられている。
研磨組成物は、界面活性剤を含有する。界面活性剤は、カチオン性界面活性剤またはアニオン性界面活性剤であることができる。一般に、シリカ研磨剤が負のネイティブゼータ電位を有する場合、界面活性剤はカチオン性界面活性剤またはアニオン性界面活性剤であり、シリカ研磨剤が正のネイティブゼータ電位を有する場合、界面活性剤はアニオン性界面活性剤である。本明細書に記載されるように、シリカ研磨剤は、化学機械研磨組成物中で(すなわち、化学機械研磨組成物中で測定されるとき)負のゼータ電位を有する。したがって、得られる組成物が負のゼータ電位を有するシリカ研磨剤を有する限り、シリカ粒子と界面活性剤の任意の適切な組み合わせを使用することができる。特定の実施形態では、シリカ研磨剤が負のネイティブゼータ電位を有する場合、界面活性剤はカチオン性界面活性剤であり、シリカ研磨剤が正のネイティブゼータ電位を有する場合、界面活性剤は、得られる組成物が負のゼータ電位を有するシリカ研磨剤を有するように、アニオン性界面活性剤である。好ましい実施形態において、シリカ研磨剤は、正のネイティブゼータ電位を有し、界面活性剤は、化学機械研磨組成物中で測定したときにシリカ研磨剤が負のゼータ電位を有するように、アニオン性界面活性剤である。
いくつかの実施形態において、化学機械研磨組成物は、負のネイティブゼータ電位を有するシリカ研磨剤と、化学機械研磨組成物中で測定したときにシリカ研磨剤が負のゼータ電位を有するようにカチオン性界面活性剤とを含む。
いくつかの実施形態において、化学機械研磨組成物は、負のネイティブゼータ電位を有するシリカ研磨剤と、化学機械研磨組成物中で測定したときにシリカ研磨剤が負のゼータ電位を有するようにアニオン性界面活性剤とを含む。
いくつかの実施形態において、化学機械研磨組成物は、正のネイティブゼータ電位を有するシリカ研磨剤と、化学機械研磨組成物中で測定したときにシリカ研磨剤が負のゼータ電位を有するようにアニオン性界面活性剤とを含む。
特定の実施形態において、化学機械研磨組成物は、界面活性剤に対して反対の電荷を有するネイティブゼータ電位を有するシリカ研磨剤、すなわち、正のネイティブゼータ電位を有するシリカ研磨剤およびアニオン性界面活性剤または負のネイティブゼータ電位を有するシリカ研磨剤およびカチオン性界面活性剤を含む。
いくつかの実施形態では、界面活性剤はカチオン性界面活性剤である。カチオン性界面活性剤は、任意の適切なカチオン性界面活性剤であってよく、その多くは当技術分野で知られている。いくつかの実施形態において、カチオン性界面活性剤は、第4級アンモニウム塩を含んでいる。例示的なカチオン性界面活性剤としては、N,N,N’,N’,N’-ペンタメチル-N-タローアルキル-1,3-プロパンジアンモニウムジクロリド、(オキシジ-2,1-エタンジイル)ビス(ココアルキル)ジメチルアンモニウムジクロリドなどがあるが、これらに限定はしない、3-メタクリルアミドプロピルトリメチルアンモニウムクロリド(「MAPTAC」)、3-アクリルアミドプロピルトリメチルアンモニウムクロリド(「APTAC」)、ジアリルジメチルアンモニウムクロリド(「DADMAC」)、2-(アクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEA.MCQ」)、2-(メタクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEM.MCQ」)、N,N-ジメチルアミノエチルアクリレートベンジルクロリド(「DMAEA.BCQ」)、N,N-ジメチルアミノエチルメタクリレートベンジルクロリド(「DMAEM.BCQ」)およびこれらの組み合わせを含む。
いくつかの実施形態において、界面活性剤は、アニオン性界面活性剤である。 アニオン性界面活性剤は、任意の適切なアニオン性界面活性剤であることができ、その多くは当技術分野で知られている。いくつかの実施形態において、アニオン性界面活性剤は、アルキルスルホン酸、アルキルスルホン酸塩、アリールスルホン酸、アリールスルホン酸塩、アルキルアリールスルホン酸、アルキルアリールスルホン酸塩、およびそれらの組み合わせから選ばれる。特定の実施形態において、アニオン性界面活性剤は、飽和または不飽和C6-C40アルキルスルホン酸塩、飽和または不飽和C6-C40アルキルスルホン酸、飽和または不飽和C6-C40アルキルベンゼンスルホン酸塩、飽和または不飽和C6-C40アルキルベンゼンスルホン酸およびこれらの組み合わせから選ばれる。例示的な陰イオン界面活性剤としては、これらに限定されないが、オハイオ州ウエストチェスターのPilot Chemical Corporation、West社から市販されているCALSOFTTM界面活性剤(例えば、CALSOFTTM LPS-99-ドデシルベンゼンスルホン酸)またはペンシルベニア州アレンタウンのAir Products社から市販されているZETASPERSE(登録商標)界面活性剤(例えば、ZETASPERSE(登録商標)Z2300-エトキシル化C6-C12アルコール(CAS 68439-45-2)とC10-C14アルキルアリールスルホン酸塩の混合物)を含む。
いくつかの実施形態では、カチオン性界面活性剤および/またはアニオン性界面活性剤は、炭素数約6以上のアルキル鎖を含む。例えば、カチオン性界面活性剤および/またはアニオン性界面活性剤は、約8個以上の炭素、例えば、約10個以上の炭素、約12個以上の炭素、約14個の炭素、または約16個以上の炭素のアルキル鎖からなることができる。特定の理論に拘束されることを望むものではないが、炭素数約6以上(例えば、炭素数約12以上)のアルキル鎖を有する界面活性剤は、化学機械研磨組成物に望ましい量の濡れ性(すなわち、潤滑性)を提供すると考えられている。
研磨組成物は、任意の適切な量の界面活性剤を含むことができる。研磨組成物は、約10ppm以上、例えば、約20ppm以上、約50ppm以上、約100ppm以上、約200ppm以上、約300ppm以上、または約500ppm以上の界面活性剤を含むことができる。代替的に、または追加的に、研磨組成物は、約10,000ppm以下、例えば、約8,000ppm以下、約6,000ppm以下、約5,000ppm以下、約4,000ppm以下、または約3,000ppm以下の界面活性剤を含むことができる。したがって、研磨組成物は、前述の端点のうちの任意の2つによって束縛される量の界面活性剤を含むことができる。例えば、研磨組成物は、約10ppm~約10,000ppm、例えば、約10ppm~約8,000ppm、約10ppm~約6,000ppm、約10ppm~約5,000ppm、約10ppm~約4,000ppm、約10ppm~約3,000ppm、約50ppm~約10,000ppm、約50ppm~約8,000ppm、約50ppm~約6,000ppm、約50ppm~約5,000ppm、約50ppm~約4,000ppm、約50ppm~約3,000ppm、約10ppm~約10,000ppm、約100ppm~約8,000ppm、約100ppm~約6,000ppm、約100ppm~約5,000ppm、約100ppm~約4,000ppm、または約100ppm~約3,000ppmの界面活性剤を含むことができる。
研磨組成物は、任意に、リガンド(例えば、鉄カチオンのリガンド)を含む。したがって、いくつかの実施形態では、研磨組成物はリガンドを含み、他の実施形態では、組成物はリガンドを含んでいない。好ましい実施形態では、研磨組成物は、リガンド(例えば、鉄カチオンのためのリガンド)を含む。リガンドは、任意の適切なリガンドであることができ、その多くは当技術分野で知られている。いくつかの実施形態では、リガンドは、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを含む。例えば、リガンドは、アルケン部分;アルキン部分;二酸部分;アルコール部分;アルケン部分および二酸部分、アルケン部分およびアルコール部分;アルケン部分、二酸部分およびアルコール部分;アルキン部分および二酸部分;アルキン部分およびアルコール部分;アルキン部分、二酸部分およびアルコール部分;またはアルケン部分、アルキン部分、二酸部分およびアルコール部分;またはアルキン部分、二酸部分およびアルコール部分を含む任意の化合物(例えば、有機化合物)であってもよい。いくつかの実施形態では、リガンドは、アルケン部分および二酸部分;またはアルキン部分およびアルコール部分を含む。例示的なリガンドとしては、コハク酸、マレイン酸、マロン酸、フマル酸、フタル酸、イソフタル酸、テレフタル酸、シュウ酸、酒石酸、3,5-ジメチル-1-ヘキシン-3-オール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオールエトキシレート、2,5-ジメチル-3-ヘキシン-2,5-ジオール、3-メチル-1-ペンチン-3-オールおよびこれらの組み合わせが挙げられるが、これらに限定されない、
研磨組成物は、リガンドが存在する場合、任意の適切な量のリガンドを含むことができる。リガンドが存在する場合、研磨組成物は、約10ppm以上、例えば、約15ppm以上、約20ppm以上、約25ppm以上、約30ppm以上、約35ppm以上または約40ppm以上のリガンドを含むことができる。代替的に、または追加的に、研磨組成物は、約1000ppm以下、例えば、約800ppm以下、約600ppm以下、約400ppm以下、約200ppm以下、約100ppm以下、約80ppm以下、約60ppm以下、または約40ppm以下のリガンドを含むことができる。したがって、研磨組成物は、前述の端点のうちの任意の2つによって拘束される量のリガンドを含むことができる。例えば、研磨組成物は、約10ppm~約1000ppm、例えば、約10ppm~約800ppm、約10ppm~約600ppm、約10ppm~約400ppm、約10ppm~約200ppm、約10ppm~約100ppm、約10ppm~約80ppm、約10ppm~約60ppm、約10ppm~約40ppm、約20ppm~約1000ppm、約20ppm~約800ppm、約20ppm~約600ppm、約20ppm~約400ppm、約20ppm~約200ppm、約20ppm~約100ppm、約20ppm~約80ppm、約20ppm~約60ppmまたは約20ppm~約40ppmのリガンドを含むことができる、
化学機械研磨組成物は、研磨組成物のpHを調整することができる(すなわち、調整する)1つまたは複数の化合物(すなわち、pH調整化合物)を含むことができる。研磨組成物のpHは、研磨組成物のpHを調整することができる任意の適切な化合物を使用して調整することができる。pH調整化合物は、望ましくは、水溶性であり、研磨組成物の他の成分と相溶性である。典型的には、化学機械研磨組成物は、使用時点において約1~約7のpH(例えば、約1~約6、約1~約5、約2~約7、約2~約6、約2~約5、約3~約6、または約1~約4のpH)を有する。好ましくは、化学機械研磨組成物は、使用時点において約1~約4のpHを有する。
pHを調整し緩衝することができる化合物は、アンモニウム塩、アルカリ金属塩、カルボン酸、アルカリ金属水酸化物、アルカリ金属炭酸塩、アルカリ金属重炭酸塩、ホウ酸塩、およびこれらの混合物からなる群から選択することができる。
化学機械研磨組成物は、任意に、1つまたは複数の添加剤をさらに含む。例示的な添加剤としては、コンディショナー、酸(例えば、スルホン酸)、錯化剤、キレート剤、殺生物剤、スケール防止剤、および分散剤が挙げられる。
殺生物剤は、存在する場合、任意の好適な殺生物剤であってよく、任意の好適な量で研磨組成物中に存在することが可能である。好適な殺生物剤は、イソチアゾリノン殺生物剤である。典型的には、研磨組成物は、約1ppm~約50ppmの殺生物剤、好ましくは約10ppm~約20ppmの殺生物剤を含む。
研磨組成物は、任意の適切な技術によって製造することができ、その多くは当業者に公知である。研磨組成物は、バッチプロセスまたは連続プロセスで調製することができる。一般に、研磨組成物は、研磨組成物の成分を組み合わせることによって調製される。本明細書で使用する用語「成分」は、個々の成分(例えば、シリカ研磨剤、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加剤)、および成分の任意の組み合わせ(例えば、シリカ研磨剤、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加剤等)を含む。
例えば、研磨組成物は、(i)液体キャリアの全部または一部を提供し、(ii)そのような分散液を調製するための任意の適切な手段を用いて、シリカ研磨剤、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加剤を分散させ、(iii)適宜、分散のpHを調整し、(iv)任意で他の任意の成分および/または添加剤を適量混合物に添加することにより調製することができる。
あるいは、研磨組成物は、(i)1つ以上の成分(例えば、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加剤)をシリカ研磨剤スラリー中に添加し、(ii)1つ以上の成分を添加剤溶液(例えば、液体キャリア、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加剤)中に添加し、(iii)混合物を形成するためシリカ研磨剤スラリーおよび添加剤溶液を組み合わせ、(iv)任意で他の任意の添加剤の適量を混合物に加え、(v)混合物のpHを適切に調節することにより調整することができる。
研磨組成物は、シリカ研磨剤、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加剤、および水を含む1パッケージシステムとして供給することができる。あるいは、本発明の研磨組成物は、第1パッケージのシリカ研磨剤スラリーおよび第2パッケージの添加剤溶液を含む2パッケージシステムとして供給することができ、ここで、シリカ研磨剤スラリーは、シリカ研磨剤、および水から本質的になり、またはそれからなり、添加剤溶液は、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加物から本質的になり、またはそれからなる。2パッケージシステムは、シリカ研磨剤スラリーと添加剤溶液の2つのパッケージの配合比率を変えることで、研磨組成物の特性を調整することができる。
このような2パッケージの研磨システムを利用するためには、様々な方法を採用することができる。例えば、シリカ研磨剤スラリーと添加剤溶液は、供給配管の出口で接合・接続される異なる配管によって研磨テーブルに供給することができる。また、シリカ研磨剤スラリーと添加剤溶液は、研磨の少し前または直前に混合することもできるし、研磨テーブル上で同時に供給することもできる。さらに、2つのパッケージを混合する際に、所望により脱イオン水を加えて、研磨組成物および得られる基板の研磨特性を調整することができる。
同様に、3つ、4つ、またはそれ以上のパッケージシステムを本発明に関連して利用することができ、複数の容器の各々は、本発明の化学機械研磨組成物の異なる成分、1つまたは複数の任意成分、および/または異なる濃度の1つまたは複数の同一成分を含む。
2つ以上の貯蔵装置に含まれる成分を混合して、使用箇所またはその近くで研磨組成物を製造するために、貯蔵装置には、通常、各貯蔵装置から研磨組成物の使用箇所(例えば、プラテン、研磨パッドまたは基板表面)に通じる1つ以上の流路が設けられる。本明細書で利用されるように、「使用箇所」の用語は、研磨組成物が基板表面(例えば、研磨パッドまたは基板表面自体)に適用される箇所を意味する。「流路」の用語は、個々の貯蔵容器からそこに貯蔵された成分の使用箇所までの流れの経路を意味する。流路は、それぞれ、使用箇所に直接導くことができ、または流路のうちの2つ以上を任意の箇所で組み合わせて、単一の流路として使用箇所に導くことができる。さらに、流路(例えば、個々の流路または組み合わされた流路)の何れかは、成分(複数可)の使用箇所に到達する前に、まず、1つ以上の他の装置(例えば、ポンプ装置、測定装置、混合装置など)につなげることができる。
研磨組成物の成分は、独立して使用箇所に送達することができ(例えば、成分は基板表面に送達され、そこで成分が研磨プロセス中に混合される)、または成分の1つ以上は、使用箇所に送達する前に、例えば、使用箇所に送達する少し前または直前に、組み合わせることができる。成分が、プラテン上に混合された状態で添加される約5分前以降、例えば約4分以下、約3分以下、約2分以下、約1分以下、約45秒以下、約30秒以下、約10秒以下に組み合わされる場合、または使用箇所における成分の送達と同時に(例えば、成分はディスペンサーで結合される)に組み合わされる場合、成分は「使用箇所に送達する直前」に組み合わされる。また、成分が、使用箇所の5m以内、例えば使用箇所の1m以内、あるいは使用箇所の10cm以内(例えば使用箇所の1cm以内)で組み合わされる場合も、成分は「使用箇所に送達する直前」に組み合わされる。
研磨組成物の2つ以上の成分が、使用箇所に到達する前に組み合わされる場合、成分は、混合装置を使用することなく、流路内で組み合わされて使用箇所に送られ得る。あるいは、流路の1つ以上は、成分の2つ以上の組み合わせを容易にするために、混合装置に導くことができる。任意の適切な混合装置を使用することができる。例えば、混合装置は、2つ以上の成分が流れるノズルまたはジェット(例えば、高圧ノズルまたはジェット)であり得る。あるいは、混合装置は、研磨スラリーの2つ以上の成分がミキサーに導入される1つ以上の入口と、混合成分がミキサーから出て、直接または装置の他の要素を介して(例えば、1つ以上の流路を介して)使用箇所に送られる少なくとも1つの出口とを含む容器型混合装置であり得る。さらに、混合装置は、2つ以上のチャンバーを含むことができ、各チャンバーは、少なくとも1つの入口と少なくとも1つの出口を有し、2つ以上の成分が各チャンバーで組み合わされる。容器型混合装置が使用される場合、混合装置は、好ましくは、成分の組み合わせをさらに容易にするために、混合機構を好適に備える。混合機構は、当該技術分野において一般的に知られており、スターラー、ブレンダー、アジテーター、パドル付きバッフル、ガススパージャーシステム、バイブレーター等を含む。
研磨組成物はまた、使用前に適切な量の水で希釈されることを意図する濃縮物として提供され得る。そのような実施形態では、研磨組成物濃縮物は、濃縮物を適切な量の水で希釈すると、研磨組成物の各成分が、各成分について上記で言及した適切な範囲内の量で研磨組成物中に存在するように、研磨組成物の成分を含む。例えば、シリカ研磨剤、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加剤はそれぞれ、濃縮物を等容量の水で希釈したときに、(例えば、それぞれ2等量の水、3等量の水、または4等量の水)で希釈した場合、各成分が各成分について上述した範囲内の量で研磨組成物中に存在するように、各成分について上に記載した濃度よりも約2倍(例えば、約3倍、約4倍、または約5倍)大きい量で濃縮物に存在し得る。さらに、当業者には理解されるであろうが、シリカ研磨剤、界面活性剤、鉄カチオン、任意のリガンド、任意のpH調整剤、および/または任意の添加剤が少なくとも部分的にまたは完全に濃縮物に溶解するように、濃縮物は最終研磨組成物に存在する水の適切な割合を含み得る。
本発明はさらに、基板を化学機械的に研磨する方法であって:(i)基板を提供すること、(ii)研磨パッドを提供すること、(iii)(a)シリカ研磨剤;(b)界面活性剤;(c)鉄カチオン;(d)任意でリガンド;および(e)水を含む化学機械研磨組成物を提供すること、ここで、前記シリカ研磨剤は、化学機械研磨組成物中で負のゼータ電位を有し、(iv)前記基板を前記研磨パッドおよび前記化学機械研磨組成物に接触させること、および(v)前記研磨パッドおよび前記化学機械研磨組成物を前記基板に対して相対移動させて、前記基板の少なくとも一部を摩耗して、前記基板を研磨することを含むものを提供する。
化学機械研磨組成物は、任意の適切な基板を研磨するために使用することができ、低誘電率材料からなる少なくとも1つの層(典型的には表面層)を含む基板を研磨するのに特に有用である。好適な基板としては、半導体産業で使用されるウエハが挙げられる。ウエハは、典型的には、例えば、金属、金属酸化物、金属窒化物、金属複合体、金属合金、低誘電率材料、またはそれらの組み合わせを含む、またはからなる。本発明の方法は、炭素系膜(例えば、炭素ハードマスク材料)からなる基板を研磨するのに特に有用である。いくつかの実施形態において、基板は炭素系膜を含み、炭素系膜の少なくとも一部を、ある除去速度(Å/分)で摩耗して、基板を研磨する。
特定の実施形態では、基板は、炭素系膜を含む。炭素系膜は、炭素を含む任意の適切な材料(例えば、低誘電性材料)であることができ、その多くは当技術分野で知られている。いくつかの実施形態では、炭素系膜は、約50wt%より多い炭素、例えば、約60wt%より多い炭素、約70wt%より多い炭素、約80wt%より多い炭素、約90wt%より多い炭素、または約95wt%より多い炭素を含む。炭素系膜は、任意の適切な相を有することができる。例えば、炭素系膜は、非晶質、結晶質、またはそれらの組み合わせであり得る。特定の実施形態では、炭素系膜は非晶質である。例示的な炭素系膜は、Weigand他(「Evaluating spin-оn carbon materials at low temperatures for high wiggling resistance」、Advanced Etch Technology for Nanopatterning II. Vol.8685. 国際光学・フォトニクス学会、2013年)、およびKim他(「Study on the etching characteristics of amorphous carbon layer in oxygen plasma with carbonyl sulfide」、Journal of Vacuum Science&Technology A:Vacuum,Surfaces,and Films(2013)、31:2:021301、1-7ページ)に記載されている。
いくつかの実施形態において、基板は炭素系膜を含み、炭素系膜の少なくとも一部を、除去速度(Å/分)で摩耗して、基板を研磨する。本発明の化学機械研磨組成物は、望ましくは、本発明の方法に従って炭素系膜を含む基板を研磨する際に、高い除去速度を示す。例えば、本発明の実施形態に従って炭素系膜を含む基板を研磨する場合、研磨組成物は、望ましくは、約400Å/分以上、例えば約500Å/分以上、約600Å/分以上、約700Å/分以上、約800Å/分以上、約900Å/分以上、約1,000Å/分以上、約1,100Å/分以上、約1,200Å/分以上、約1,500Å/分以上、約2,000Å/分以上、約3,000Å/分以上、または約4,000Å/分以上の炭素系膜の除去速度を示す。
いくつかの実施形態では、基板は、酸化ケイ素、窒化ケイ素、ポリシリコン、窒化チタン、またはそれらの組み合わせをさらに含み、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの少なくとも一部を、除去速度(Å/分)で摩耗して、基板を研磨する。基板が酸化ケイ素、窒化ケイ素、ポリシリコン、窒化チタン、またはそれらの組み合わせをさらに含む実施形態では、炭素系膜の除去速度(Å/分)は、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの除去速度(Å/分)よりも大きい。例えば、炭素系膜の除去速度(Å/分)は、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの除去速度(Å/分)よりも少なくとも10倍大きい、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの除去速度(Å/分)よりも少なくとも20倍大きい、または酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの除去速度(Å/分)よりも少なくとも40倍大きい。
基板が酸化ケイ素をさらに含む実施形態では、酸化ケイ素は任意の適切な酸化ケイ素であることができ、その多くは当技術分野で知られている。酸化ケイ素の好適なタイプには、ボロホスホシリケートガラス(BPSG)、テトラエチルオルソシリケート(TEOS)、プラズマ強化テトラエチルオルソシリケート(PETEOS)、熱酸化物、アンドープシリケートガラス、および高密度プラズマ(HDP)酸化物があるがこれらに限定されない。本発明の化学機械研磨組成物は、望ましくは、本発明の方法に従って酸化ケイ素を含む基板を研磨する際に、低い除去速度を発揮する。例えば、本発明の実施形態に従って酸化ケイ素からなる基板を研磨する場合、研磨組成物は、望ましくは、約500Å/分以下、例えば約250Å/分以下、約200Å/分以下、約150Å/分以下、約100Å/分以下、約50Å/分以下、約25Å/分以下、約10Å/分以下または約5Å/分以下の酸化ケイ素の除去速度を示す。いくつかの実施形態では、研磨組成物は、検出するには低すぎる酸化ケイ素除去速度を示す。
基板がさらにポリシリコンを含む実施形態では、ポリシリコンは、任意の適切なポリシリコンであることができ、その多くは当技術分野で知られている。ポリシリコンは、任意の適切な相を有することができ、非晶質、結晶、またはそれらの組み合わせであることができる。本発明の化学機械研磨組成物は、望ましくは、本発明の方法に従ってポリシリコンからなる基板を研磨する際に、低い除去速度を示す。例えば、本発明の実施形態に従ってポリシリコンからなる基板を研磨する場合、研磨組成物は、望ましくは、約500Å/分以下、例えば約250Å/分以下、約200Å/分以下、約150Å/分以下、約100Å/分以下、約50Å/分以下、約25Å/分以下、約10Å/分以下または約5Å/分以下のポリシリコンの除去速度を示す。いくつかの実施形態において、研磨組成物は、検出されるには低すぎるポリシリコン除去速度を示す。
基板が窒化ケイ素をさらに含む実施形態では、窒化ケイ素は任意の適切な窒化ケイ素であることができ、その多くは当技術分野で知られている。本発明の化学機械研磨組成物は、望ましくは、本発明の方法に従って窒化ケイ素を含む基板を研磨する際に、低い除去速度を示す。例えば、本発明の実施形態に従って窒化ケイ素を含む基板を研磨する場合、研磨組成物は、望ましくは、約500Å/分以下、例えば、約250Å/分、約200Å/分、約150Å/分、約100Å/分、約50Å/分、約25Å/分、約10Å/分、または約5Å/分の窒化ケイ素の除去速度を示す。いくつかの実施形態において、研磨組成物は、検出するには低すぎる窒化ケイ素除去速度を示す。
基板が窒化チタンをさらに含む実施形態では、窒化チタンは任意の適切な窒化チタンであることができ、その多くは当技術分野で知られている。本発明の化学機械研磨組成物は、望ましくは、本発明の方法に従って窒化チタンを含む基板を研磨する際に、低い除去速度を示す。研磨組成物は、望ましくは、約500Å/分以下、例えば、約250Å/分、約200Å/分、約150Å/分、約100Å/分、約50Å/分、約25Å/分、約10Å/分、または約5Å/分の窒化チタンの除去速度を示す。いくつかの実施形態において、研磨組成物は、検出するには低すぎる窒化チタン除去速度を示す。
本発明の研磨組成物は、好適な技術によって決定されるように、基板を研磨する際に、低い粒子欠陥を示すことが望ましい。本発明の研磨組成物で研磨された基板上の粒子欠陥は、任意の適切な技術によって決定することができる。例えば、レーザー光散乱技術、例えば暗視野法線ビーム合成(DCN)および暗視野斜めビーム合成(DCO)は、研磨基板上の粒子欠陥を決定するために使用することができる。粒子の欠陥性を評価するための適切な装置は、例えば、KLA-Tencor(例えば、120nmの閾値または160nmの閾値で動作するSURFSCAN(登録商標)SPI装置)から入手可能である。
本発明の化学機械研磨組成物および方法は、化学機械研磨装置と組み合わせて使用するのに特に適している。典型的には、装置は、使用時に運動し、軌道運動、直線運動、または円運動から生じる速度を有するプラテンと、プラテンに接触し、運動時にプラテンと共に移動する研磨パッドと、研磨パッドの表面に対して基板を接触および移動させて研磨すべき基板を保持するキャリアとを備えている。基板の研磨は、基板を研磨パッドおよび本発明の研磨組成物に接触させた後、研磨パッドが基板に対して相対的に移動することにより、基板の少なくとも一部を摩耗して、基板を研磨することにより行われる。
基板は、任意の適切な研磨パッド(例えば、研磨面)を使用して、化学機械研磨組成物で研磨することができる。好適な研磨パッドには、例えば、織布製および不織布製の研磨パッドが含まれる。さらに、好適な研磨パッドは、さまざまな密度、硬度、厚さ、圧縮性、圧縮時に反発する能力、および圧縮弾性率の任意の好適なポリマーを含むことができる。好適なポリマーとしては、例えば、ポリ塩化ビニル、ポリフッ化ビニル、ナイロン、フルオロカーボン、ポリカーボネート、ポリエステル、ポリアクリレート、ポリエーテル、ポリエチレン、ポリアミド、ポリウレタン、ポリスチレン、ポリプロピレン、これらの共形成物、およびこれらの混合物が挙げられる。軟質ポリウレタン研磨パッドは、本発明の研磨方法と組み合わせることで特に有用である。代表的なパッドとしては、SURFIN(登録商標)000、SURFIN(登録商標)SSW1、SPM3100(例えば、Eminess Technologies社から市販)、POLITEX(登録商標)、EPIC(登録商標)D100パッド(Cabot Microelectronics社から市販)、IC1010パッド(Dow,Inc社から市販)およびFujibo POLYPAS(登録商標)27等があるが、これらに限定されない。
望ましくは、化学機械研磨装置は、in situの研磨終点検出システムをさらに備え、その多くは当技術分野で知られている。研磨されている基板の表面から反射された光または他の放射線を分析することによって、研磨プロセスを検査および監視するための技術は、当該技術分野で知られているものである。このような方法は、例えば、米国特許第5,196,353号、米国特許第5,433,651号、米国特許第5,609,511号、米国特許第5,643,046号、米国特許第5,658,183号、米国特許第5,730,642号、米国特許第5,838,447号、米国特許第5,872,633号、米国特許第5,893,796号、米国特許第5,949,927号および第5,964,643号に記載されている。望ましくは、研磨されている基板に関する研磨プロセスの進行状況の検査または監視は、研磨終点の決定、すなわち、特定の基板に関する研磨プロセスをいつ終了させるのかということの決定を可能にする。
本明細書に記載された本発明の実施形態を含む側面は、単独で、または1つ以上の他の側面もしくは実施形態と組み合わせて、有益であり得る。前述の説明を制限することなく、番号1~46の本開示の特定の非限定的な実施形態が以下に提供される。本開示を読めば当業者には明らかなように、個別に番号付けされた実施形態の各々は、先行または後続の個別に番号付けされた実施形態のいずれかと使用または組み合わせることができる。これは、このような実施形態のすべての組み合わせに対するサポートを提供することを意図しており、以下に明示的に提供される実施形態の組み合わせに限定されるものではない。
[実施形態]
(1)実施形態(1)において、以下を含む化学機械研磨組成物を提示する:
(a)シリカ研磨剤;
(b)界面活性剤;
(c)鉄カチオン;
(d)任意でリガンド;および
(e)水、
ここで、前記シリカ研磨剤は、前記化学機械研磨組成物中で負のゼータ電位を有する。
(2)実施形態(2)において、前記研磨組成物が、約0.001wt%~約10wt%の前記シリカ研磨剤を含む、実施形態1に記載の研磨組成物を提示する。
(3)実施形態(3)において、前記研磨組成物が、約0.05wt%~約5wt%の前記シリカ研磨剤を含む、実施形態1または実施形態2の研磨組成物を提示する。
(4)実施形態(4)において、前記シリカ研磨剤がコロイド状シリカである、実施形態(1)~(3)のいずれか1つに記載の研磨組成物を提示する。
(5)実施形態(5)において、前記研磨組成物が約1~約7のpHを有する、実施形態(1)~(4)のいずれか1つに記載の研磨組成物を提示する。
(6)実施形態(6)において、前記研磨組成物が約1~約4のpHを有する、実施形態(1)~(5)のいずれか1つに記載の研磨組成物を提示する。
(7)実施形態(7)において、前記界面活性剤がカチオン性界面活性剤である、実施形態(1)~(6)のいずれか1つに記載の研磨組成物を提示する。
(8)実施形態(8)において、前記カチオン性界面活性剤が第4級アンモニウム塩を含む、実施形態(7)に記載の研磨組成物を提示する。
(9)実施形態(9)において、前記カチオン性界面活性剤が、N,N,N’,N’,N’-ペンタメチル-N-タローアルキル-1,3-プロパンジアンモニウムジクロリド、(オキシジ-2,1-エタンジイル)ビス(ココアルキル)ジメチルアンモニウムジクロリド、3-メタクリルアミドプロピルトリメチルアンモニウムクロリド(「MAPTAC」)、3-アクリルアミドプロピルトリメチルアンモニウムクロリド(「APTAC」)、ジアリルジメチルアンモニウムクロリド(「DADMAC」)、2-(アクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEA.MCQ」)、2-(メタクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEM.MCQ」)、N,N-ジメチルアミノエチルアクリレートベンジルクロリド(「DMAEA.BCQ」)、N,N-ジメチルアミノエチルメタクリレートベンジルクロリド(「DMAEM.BCQ」)およびこれらの組み合わせから選ばれる、実施形態(7)に記載の研磨組成物を提示する。
(10)実施形態(10)において、前記界面活性剤がアニオン性界面活性剤である、実施形態(1)~(6)のいずれか1つに記載の研磨組成物を提示する。
(11)実施形態(11)において、前記アニオン性界面活性剤は、アルキルスルホン酸、アルキルスルホン酸塩、アリールスルホン酸、アリールスルホン酸塩、アルキルアリールスルホン酸、アルキルアリールスルホン酸塩、およびそれらの組み合わせから選ばれる、実施形態(10)に記載の研磨組成物を提示する。
(12)実施形態(12)において、前記アニオン性界面活性剤は、飽和または不飽和C6-C40アルキルスルホン酸塩、飽和または不飽和C6-C40アルキルスルホン酸、飽和または不飽和C6-C40アルキルベンゼンスルホン酸塩、飽和または不飽和C6-C40アルキルベンゼンスルホン酸およびこれらの組み合わせから選ばれる、実施形態(10)に記載の研磨組成物を提示する。
(13)実施形態(13)において、前記鉄カチオンが、約1ppm~約100ppmの量で研磨組成物中に存在する、実施形態(1)~(12)のいずれか1つに記載の研磨組成物を提示する。
(14)実施形態(14)において、前記鉄カチオンが、約10ppm~約80ppmの量で研磨組成物中に存在する、実施形態(1)~(13)のいずれか1つに記載の研磨組成物を提示する。
(15)実施形態(15)において、前記研磨組成物がリガンドを含む、実施形態(1)~(14)のいずれか1つに記載の研磨組成物を提示する。
(16)実施形態(16)において、前記リガンドは、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを含む、実施形態(15)に記載の研磨組成物を提示する。
(17)実施形態(17)において、前記リガンドは、アルケン部分および二酸部分を含む、実施形態(15)または実施形態(16)に記載の研磨組成物を提供する。
(18)実施形態(18)において、前記リガンドは、アルキン部分を含む、実施形態(15)または実施形態(16)に記載の研磨組成物を提示する。
(19)実施形態(19)において、前記リガンドは、アルコール部分をさらに含む、実施形態(18)に記載の研磨組成物を提示する。
(20)実施形態(20)において、前記リガンドは、コハク酸、マレイン酸、マロン酸、フマル酸、フタル酸、イソフタル酸、テレフタル酸、シュウ酸、酒石酸、3,5-ジメチル-1-ヘキシン-3-オール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオールエトキシレート、2,5-ジメチル-3-ヘキシン-2,5-ジオール、3-メチル-1-ペンチン-3-オールおよびこれらの組み合わせから選ばれる、実施形態(15)または(16)に記載の研磨組成物を提示する。
(21)実施形態(21)において、前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-10mV以下である、実施形態(1)~(20)のいずれか1つに記載の研磨組成物を提示する。
(22)実施形態(22)において、前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-20mV以下である、実施形態(1)~(21)のいずれか1つに記載の研磨組成物を提示する。
(23)実施形態(23)において、前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-30mV以下である、実施形態(1)~(22)のいずれか1つに記載の研磨組成物を提示する。
(24)実施形態(24)において、基板を化学機械的に研磨する方法であって:
(i)基板を提供すること、
(ii)研磨パッドを提供すること、
(iii)
(a)シリカ研磨剤;
(b)界面活性剤;
(c)鉄カチオン;
(d)任意でリガンド;および
(e)水を含む化学機械研磨組成物を提供すること、
ここで、前記シリカ研磨剤は、化学機械研磨組成物中で負のゼータ電位を有し、
(iv)前記基板を前記研磨パッドおよび前記化学機械研磨組成物に接触させること、および
(v)前記研磨パッドおよび前記化学機械研磨組成物を前記基板に対して相対移動させて、前記基板の少なくとも一部を摩耗して、前記基板を研磨することを含むものを提示する。
(25)実施形態(25)において、前記研磨組成物は、約0.001wt%~約10wt%の前記シリカ研磨剤を含む、実施形態(24)に記載の方法を提示する。
(26)実施形態(26)において、前記研磨組成物は、約0.05wt%~約5wt%の前記シリカ研磨剤を含む、実施形態(24)または実施形態(25)に記載の方法を提示する。
(27)実施形態(27)において、前記シリカ研磨剤はコロイド状シリカである、実施形態(24)~(26)のいずれか1つに記載の方法を提示する。
(28)実施形態(28)において、前記研磨組成物が約1~約7のpHを有する、実施形態(24)~(27)のいずれか1つに記載の方法を提示する。
(29)実施形態(29)において、前記研磨組成物が約1~約4のpHを有する、実施形態(24)~(28)のいずれか1つに記載の方法を提示する。
(30)実施形態(30)において、前記界面活性剤がカチオン性界面活性剤である、実施形態(24)~(29)のいずれか1つに記載の方法を提示する。
(31)実施形態(31)において、前記カチオン性界面活性剤が第4級アンモニウム塩を含む、実施形態(30)に記載の方法を提示する。
(32)実施形態(32)において、前記カチオン性界面活性剤は、N,N,N’,N’,N’-ペンタメチル-N-タローアルキル-1,3-プロパンジアンモニウムジクロリド、(オキシジ-2,1-エタンジイル)ビス(ココアルキル)ジメチルアンモニウムジクロリドなどがあるが、これらに限定はしない、3-メタクリルアミドプロピルトリメチルアンモニウムクロリド(「MAPTAC」)、3-アクリルアミドプロピルトリメチルアンモニウムクロリド(「APTAC」)、ジアリルジメチルアンモニウムクロリド(「DADMAC」)、2-(アクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEA.MCQ」)、2-(メタクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEM.MCQ」)、N,N-ジメチルアミノエチルアクリレートベンジルクロリド(「DMAEA.BCQ」)、N,N-ジメチルアミノエチルメタクリレートベンジルクロリド(「DMAEM.BCQ」)およびこれらの組み合わせから選ばれる、実施形態(30)に記載の方法を提示する。
(33)実施形態(33)において、前記界面活性剤がアニオン性界面活性剤である、実施形態(24)~(29)のいずれか1つに記載の方法を提示する。
(34)実施形態(34)において、前記アニオン性界面活性剤は、アルキルスルホン酸、アルキルスルホン酸塩、アリールスルホン酸、アリールスルホン酸塩、アルキルアリールスルホン酸、アルキルアリールスルホン酸塩、およびそれらの組み合わせから選ばれる、実施形態(33)に記載の方法を提示する。
(35)実施形態(35)において、前記アニオン性界面活性剤は、飽和または不飽和C6-C40アルキルスルホン酸塩、飽和または不飽和C6-C40アルキルスルホン酸、飽和または不飽和C6-C40アルキルベンゼンスルホン酸塩、飽和または不飽和C6-C40アルキルベンゼンスルホン酸およびこれらの組み合わせから選ばれる、実施形態(33)に記載の方法を提示する。
(36)実施形態(36)において、前記鉄カチオンが、約1ppm~約100ppmの量で研磨組成物中に存在する、実施形態(24)~(35)のいずれか1つに記載の方法を提示する。
(37)実施形態(37)において、前記鉄カチオンが、約10ppm~約80ppmの量で研磨組成物中に存在する、実施形態(24)~(36)のいずれか1つに記載の方法を提示する。
(38)実施形態(38)において、前記研磨組成物がリガンドを含む、実施形態(24)~(37)のいずれか1つに記載の方法を提示する。
(39)実施形態(39)において、前記リガンドは、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを含む、実施形態(38)に記載の方法を提示する。
(40)実施形態(40)において、前記リガンドは、アルケン部分および二酸部分を含む、実施形態(39)に記載の方法を提示する。
(41)実施形態(41)において、前記リガンドは、アルキン部分を含む、実施形態(39)に記載の方法を提示する。
(42)実施形態(42)において、前記リガンドは、アルコール部分をさらに含む、実施形態(41)に記載の方法を提示する。
(43)実施形態(43)において、前記リガンドは、コハク酸、マレイン酸、マロン酸、フマル酸、フタル酸、イソフタル酸、テレフタル酸、シュウ酸、酒石酸、3,5-ジメチル-1-ヘキシン-3-オール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオールエトキシレート、2,5-ジメチル-3-ヘキシン-2,5-ジオール、3-メチル-1-ペンチン-3-オールおよびこれらの組み合わせから選ばれる、実施形態(38)または(39)に記載の方法を提示する。
(44)実施形態(44)において、前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-10mV以下である、実施形態(24)~(43)のいずれか1つに記載の研磨組成物を提示する。
(45)実施形態(45)において、前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-20mV以下である、実施形態(24)~(44)のいずれか1つに記載の研磨組成物を提示する。
(46)実施形態(46)において、前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-30mV以下である、実施形態(24)~(45)のいずれか1つに記載の研磨組成物を提示する。
(47)実施形態(47)において、前記基板は炭素系膜からなり、炭素系膜の少なくとも一部を、ある除去速度(Å/分)で摩耗して、基板を研磨する、実施形態(24)~(46)のいずれか1つに記載の方法を提示する。
(48)実施形態(48)において、前記基板は、酸化ケイ素、窒化ケイ素、ポリシリコン、窒化チタン、またはそれらの組み合わせをさらに含み、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの少なくとも一部を、除去速度(Å/分)で摩耗して、基板を研磨する、実施形態(47)に記載の方法を提示する。
(49)実施形態(49)において、前記炭素系膜の前記除去速度(Å/分)は、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも大きい、実施形態(48)に記載の方法を提示する。
(50)実施形態(50)において、前記炭素系膜の前記除去速度(Å/分)は、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも10倍大きい、実施形態(49)に記載の方法を提示する。
(51)実施形態(51)において、前記炭素系膜の前記除去速度(Å/分)は、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも20倍大きい、実施形態(50)に記載の方法を提示する。
(52)実施形態(52)において、前記炭素系膜の前記除去速度(Å/分)は、酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも40倍大きい、実施形態(51)に記載の方法を提示する。
これらの以下の実施例は、本発明をさらに説明するものであるが、もちろん、いかなる意味でもその範囲を限定するものと解釈されるべきではない。
実施例全体を通して、以下の略語が使用される:除去率(RR);炭素膜(CF);炭素を含むスピンオン誘電体(SOD);テトラエチルオルソシリケート(TEOS);ポリシリコン(ポリSi);窒化ケイ素(SiN);および分子量(MW)。
以下の例では、SOD、TEOS、ポリSi、またはSiNをシリコン上にコーティングし、得られたパターン化基板を、A82(3M社、ミネソタ州セントポール)として市販されている製品で調整したFujiboパッドを用いて、Logitech2卓上研磨機で2PSI(13.7kPa)ダウンフォースで研磨した。Logitec社の研磨パラメータは、ヘッドスピード=93rpm、プラテンスピード=87rpm、総流量=150mL/分であった。除去速度は、分光エリプソメトリーを用いて膜厚を測定し、初期厚から最終厚を差し引くことによって計算した。
実施例1
この実施例は、本発明に係るシリカ研磨剤、界面活性剤、鉄カチオン、および任意にリガンドを含む研磨組成物の調製を実証する。発明に係る研磨組成物1A~1Fおよび比較対照である研磨組成物1Gおよび1Hを、請求項に記載された研磨方法の効率を実証するために、以下の実施例2~6において使用した。
実施例2~6で用いた各発明に係る組成物について、正のネイティブゼータ電位を有するシリカ粒子(粒子A:広いpH範囲でカチオン化処理した球状シリカ粒子で動的光散乱粒子径150nM)または負のネイティブゼータ電位(粒子B:広いpH範囲でアニオン化処理し動的光散乱粒子径75~80nmの長円形シリカ粒子)とアニオン性界面活性剤やカチオン性界面活性剤の組み合わせを示した。実施例2~6で使用した本発明に係る組成物の目的のために、アニオン性界面活性剤は、CALSOFTTM LPS-99(ドデシルベンゼンスルホン酸;Pilot Chemical Corporation社, オハイオ州ウエストチェスターから市販されている)またはZETASPERSE(登録商標)Z2300(エトキシル化C6-C12アルコール(CAS 68439-45-2)とC10-C14アルキルアリールスルホン酸塩の混合物;Air Products社,ペンシルベニア州アレンタウンから市販されている)、そしてカチオン性界面活性剤は塩化ジアリルジメチルアンモニウム(「DADMAC」)であった。鉄カチオン(すなわち、本明細書に記載の鉄化合物として)、リガンド、および/または硝酸カリウム(KNO3)を、表1に規定される量で本発明に係る研磨組成物のそれぞれに添加し、それぞれの本発明に係る研磨組成物のpHを2.5に調整した。本発明に係る研磨組成物A~Fはそれぞれ、得られるシリカ粒子のゼータ電位が0mV未満であり、すなわち負のゼータ電位を有していた。
比較対象である研磨組成物1Gが本発明に係る研磨組成物A~Fと異なるのは、比較対象である研磨組成物1Gが界面活性剤や鉄カチオンを含まず、得られるシリカ粒子のゼータ電位が0mV以上である、すなわち正のゼータ電位を有していたことである。比較対象である研磨組成物1Gに使用したシリカ粒子は、動的光散乱粒子径が45~55nmで、広いpH領域でカチオン化するように処理された長円状のシリカ粒子である粒子Cで、正のネイティブゼータ電位を有している。
比較対象である研磨組成物1Hは、鉄カチオンを含有しない以外は、本発明に係る研磨組成物1Aと同様であった。
得られた組成物を表1にまとめた。
Figure 2023542168000001
実施例2
この実施例は、本発明に従って調製された研磨組成物によって提供される有益な研磨性能を実証する。
SOD、TEOS、SiN、またはポリSiを含むパターン化基板を、実施例1の表1に定義した研磨組成物1A~1Gを用いて同一条件下で研磨した。この特定の実施例では、SODパターン化基板は、市販の炭素膜材料の炭素除去速度を測定するための代用品として使用された。研磨後、SOD、TEOS、SiN、およびポリSiについてのRRを決定し、その結果を表2に示す。
Figure 2023542168000002
表2から明らかなように、本発明に係る研磨組成物1Aおよび1C~1Fは、比較対象である研磨組成物1GのSOD除去速度と一致する、SODの除去速度をもたらした。しかし、本発明に係る研磨組成物1A~1Fの各々は、比較対象である研磨組成物1GのTEOSおよびポリSiの除去速度が高いことからわかるように、比較対象である研磨組成物1GよりもSODの研磨選択性が著しく高いことがわかった。このように、表2より、負のゼータ電位を有するシリカ研磨剤と鉄カチオンを有する研磨組成物は、負のゼータ電位を有するシリカ研磨剤と鉄カチオンを有しない研磨組成物に比べ、高いSOD RRが得られ、シリコン系膜に対する炭素系膜の除去選択性が向上することがわかった。
実施例3
この例は、本発明に従って調製された研磨組成物によって提供される研磨性能に対するリガンドおよび鉄カチオン濃度の効果を示す。
SODを含むパターン化された基板を、実施例1の表1に定義されているように、研磨組成物1B~1Eを用いて同一条件で研磨した。この特定の実施例では、SODのパターン化基板は、市販の炭素膜材料の炭素膜除去速度を測定するための代用品として使用された。研磨後、SODのRRを決定し、その結果を表3に示す。
Figure 2023542168000003
表3から明らかなように、オレフィンまたはアルキンを有するリガンドと二酸またはアルコールとの組み合わせを含む研磨組成物1C~1Eは、二酸のみを有するリガンドを含む研磨組成物1Bよりも鉄カチオン濃度が低いにもかかわらず、相対的に高いSODの除去速度を生じた。
したがって、表3は、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを有するリガンドを含む研磨組成物、好ましくはアルケン部分またはアルキン部分と二酸またはアルコールとの組み合わせは、より少ない鉄カチオンを必要とし、SOD除去速度からわかるように、高い炭素除去速度をもたらすことができることを示している。
実施例4
この実施例は、本発明に従って調製された研磨組成物によって提供される研磨性能に対するリガンドおよび鉄カチオン濃度の効果を実証するものである。
パターン化された基板は、Weigand他(「Evaluating spin-оn carbon materials at low temperatures for high wiggling resistance」、Advanced Etch Technology for Nanopatterning II. Vol.8685. 国際光学・フォトニクス学会、2013年)、およびKim他(「Study on the etching characteristics of amorphous carbon layer in oxygen plasma with carbonyl sulfide」、Journal of Vacuum Science&Technology A:Vacuum,Surfaces,and Films(2013)、31:2:021301、1-7ページ)に記載されているような炭素膜を含み、実施例1の表1に定義されるように、研磨組成物1B~1Eを用いて同一条件下で研磨された。研磨後、CF RRを決定し、予測された結果を、表3に提供されたSODの除去速度値の推定パーセントとして、表4に示す。
Figure 2023542168000004
表4に示す予測結果から明らかなように、オレフィンまたはアルキンを有するリガンドと二酸またはアルコールとの組み合わせを含む研磨組成物1C~1Eは、二酸のみを有するリガンドを含む研磨組成物1B(実施例3の表3参照)と比較して、研磨組成物1Bよりも低い鉄カチオン濃度であるにもかかわらず、より高い炭素除去速度を実現すると考えられる。
また、表4から明らかなように、研磨組成物1B~1Eによって生じるCF RRは、実施例2および3に記載されているように、市販の炭素膜材料の炭素膜除去速度を測定するための代用として用いられたSODの除去速度よりも低くなると考えられる。また、研磨組成物1Eは、研磨組成物1B~1Eの中で最も高いCF RRを有することになると考えられる。
実施例5
本実施例は、本発明に従って調製された研磨組成物によって提供される研磨性能に対する鉄カチオン濃度の効果を実証する。
SODを含むパターン化された基板を、実施例1の表1に定義されているように、本発明に係る研磨組成物1Aまたは比較対象である研磨組成物1Hを用いて同一条件下で研磨した。この特定の実施例では、SODパターン化基板は、市販の炭素膜材料の炭素膜除去速度を測定するための代用品として使用された。研磨後、SODのRRを決定し、その結果を表5に示す。
Figure 2023542168000005
表5から明らかなように、鉄カチオンを含む本発明に係る研磨組成物1Aは、比較対象である研磨組成物1Hによって生じるSOD除去速度と一致するSOD除去速度を生じた。特定の理論に拘束されることを望むものではないが、負のゼータ電位を有するシリカ研磨剤を含む研磨組成物は、SODを除去するのに非常に効率的であり、SODのパターン化基板は、研磨性能に対する鉄カチオン濃度の効果を測定するのに適した代用品ではないかもしれないと考えられている。すなわち、本発明に係る組成物のTEOS、SiN、ポリSiに対する炭素膜の選択性を判断するのには適しているが、本発明に係る研磨組成物の最大炭素膜除去速度を判断するのには、SOD基板は適していない場合がある。
実施例6でさらに説明するように、研磨組成物から鉄カチオンを完全に欠く場合、市販の炭素膜材料の炭素膜除去速度が著しく低下することがあると考えられる。
実施例6
この実施例は、本発明に従って調製された研磨組成物によって提供される研磨性能に対する鉄カチオン濃度の効果を実証するものである。
パターン化された基板は、例えばWeigand他(「Evaluating spin-оn carbon materials at low temperatures for high wiggling resistance」、Advanced Etch Technology for Nanopatterning II. Vol.8685. 国際光学・フォトニクス学会、2013年)、およびKim他(「Study on the etching characteristics of amorphous carbon layer in oxygen plasma with carbonyl sulfide」、Journal of Vacuum Science&Technology A:Vacuum,Surfaces,and Films(2013)、31:2:021301、1-7ページ)に記載されている炭素膜を含み、実施例1の表1に定義された本発明に係る研磨組成物1Aまたは比較対象である研磨組成物1Hを用いて同一条件下で研磨された。研磨後、CF RRを決定し、予測された結果を、実施例5で提供されたSOD除去速度値の推定パーセントとして、表6に示す。
Figure 2023542168000006
表6に記載された予測結果から明らかなように、鉄カチオンは、高いCF RRを維持するために重要な役割を果たすと信じられている。より詳細には、鉄カチオンが研磨組成物1Aから除去されると、CF RRは15%も減少する可能性があると考えられる。
鉄カチオン濃度が高くなると、より高い炭素膜除去速度が得られると考えられる。しかし、鉄カチオン濃度が高くなると、市販の炭素膜材料を研磨する際に、複数の欠陥問題が相関する可能性があるとも考えられる。したがって、高い炭素膜除去速度、高い選択性、および最小限の欠陥を維持するために、理想的な鉄カチオン濃度を使用することが重要である。本明細書に記載の発明は、高い炭素膜除去速度を維持しつつ、欠陥の問題を回避する手段を提供するものである。
この点について、実施例3~6は、鉄カチオンが最適な炭素膜除去速度を達成するために必要な成分であり、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを有するリガンドを加えることにより、これらの最適な炭素膜除去速度を維持するために必要な鉄カチオンのレベルを低減することができ、それにより炭素膜の研磨時の欠陥問題を回避することを示した。
本明細書で引用された刊行物、特許出願、および特許を含むすべての文献は、各文献が参照により組み込まれることが個別にかつ明確に示され、その全体が本明細書に記載されているのと同じ程度に、参照により組み込まれるものとする。
本発明を説明する文脈における(特に以下の請求項の文脈における)用語「a」、「an」、「前記」、「少なくとも1つ」および同様の参照語の使用は、本明細書において特に示されない限り、または文脈によって明らかに矛盾しない限り、単数と複数の双方を含むと解釈される。1つ以上の項目の列挙が続く用語「少なくとも1つ」の使用(例えば、「AとBの少なくとも1つ」)は、本明細書において別段の指示があるか、または文脈によって明らかに矛盾する場合を除き、列挙された項目から選択された1項目(AまたはB)または列挙された項目の2以上の任意の組み合わせ(AおよびB)を意味すると解釈される。用語「備える」、「有する」、「包含する」、「含む」は、特に断りのない限り、オープンエンドな用語として解釈される(すなわち、「含むが、これに限定されない」という意味である)。本明細書における値の範囲の記載は、本明細書で特に指示しない限り、範囲内に入る各個別の値を個別に参照するための略記法として役立つことを単に意図しており、各個別の値は、本明細書に個別に記載されているかのように本明細書に組み込まれる。本明細書に記載される全ての方法は、本明細書で特に示されない限り、または文脈によって明らかに矛盾しない限り、任意の適切な順序で実行することができる。本明細書で提供される任意のおよびすべての例、または例示的な言語(例えば、「等」)の使用は、単に本発明をより良く明確化することを意図しており、特に主張しない限り、本発明の範囲に対する制限を提起するものではない。本明細書のいかなる文言も、請求項に記載されていない構成要素を本発明の実施に必須であると示すものとして解釈されるべきではない。
本発明の好ましい実施形態は、本発明を実施するために本発明者らに知られている最良の態様を含めて、本明細書に記載される。それらの好ましい実施形態の変形は、前述の説明を読むことにより、当業者にとって明らかになるであろう。本発明者らは、当業者がこのような変形を適宜採用することを期待しており、本発明者らは、本明細書に具体的に記載した以外の方法で本発明を実施することを意図している。したがって、本発明は、適用される法律によって許可される、本明細書に添付された請求項に記載された主題のすべての変更および同等物を含む。さらに、そのすべての可能な変形における上述の要素の任意の組み合わせは、本明細書で特に示されない限り、または文脈によって明らかに矛盾しない限り、本発明によって包含される。
本発明の好ましい実施形態は、本発明を実施するために本発明者らに知られている最良の態様を含めて、本明細書に記載される。それらの好ましい実施形態の変形は、前述の説明を読むことにより、当業者にとって明らかになるであろう。本発明者らは、当業者がこのような変形を適宜採用することを期待しており、本発明者らは、本明細書に具体的に記載した以外の方法で本発明を実施することを意図している。したがって、本発明は、適用される法律によって許可される、本明細書に添付された請求項に記載された主題のすべての変更および同等物を含む。さらに、そのすべての可能な変形における上述の要素の任意の組み合わせは、本明細書で特に示されない限り、または文脈によって明らかに矛盾しない限り、本発明によって包含される。
本発明の実施形態としては、以下の実施形態を挙げることができる。
(付記1)
(a)シリカ研磨剤;
(b)界面活性剤;
(c)鉄カチオン;
(d)任意でリガンド;および
(e)水
を含み、前記シリカ研磨剤が、化学機械研磨組成物中で負のゼータ電位を有する、化学機械研磨組成物。
(付記2)
前記研磨組成物が、約0.001wt%~約10wt%の前記シリカ研磨剤を含む、付記1に記載の研磨組成物。
(付記3)
前記研磨組成物が、約0.05wt%~約5wt%の前記シリカ研磨剤を含む、付記1または2に記載の研磨組成物。
(付記4)
前記シリカ研磨剤がコロイド状シリカである、付記1~3のいずれか1項に記載の研磨組成物。
(付記5)
前記研磨組成物が約1~約7のpHを有する、付記1~4のいずれか1項に記y載の研磨組成物。
(付記6)
前記研磨組成物が約1~約4のpHを有する、付記1~5のいずれか1項に記載の研磨組成物。
(付記7)
前記界面活性剤がカチオン性界面活性剤である、付記1~6のいずれか1項に記載の研磨組成物。
(付記8)
前記カチオン性界面活性剤が第4級アンモニウム塩を含む、付記7に記載の研磨組成物。
(付記9)
前記カチオン性界面活性剤が、N,N,N’,N’,N’-ペンタメチル-N-タローアルキル-1,3-プロパンジアンモニウムジクロリド、(オキシジ-2,1-エタンジイル)ビス(ココアルキル)ジメチルアンモニウムジクロリド、3-メタクリルアミドプロピルトリメチルアンモニウムクロリド(「MAPTAC」)、3-アクリルアミドプロピルトリメチルアンモニウムクロリド(「APTAC」)、ジアリルジメチルアンモニウムクロリド(「DADMAC」)、2-(アクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEA.MCQ」)、2-(メタクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEM.MCQ」)、N,N-ジメチルアミノエチルアクリレートベンジルクロリド(「DMAEA.BCQ」)、N,N-ジメチルアミノエチルメタクリレートベンジルクロリド(「DMAEM.BCQ」)およびこれらの組み合わせから選ばれる、付記7に記載の研磨組成物。
(付記10)
前記界面活性剤がアニオン性界面活性剤である、付記1~6のいずれか1項に記載の研磨組成物。
(付記11)
前記アニオン性界面活性剤が、アルキルスルホン酸、アルキルスルホン酸塩、アリールスルホン酸、アリールスルホン酸塩、アルキルアリールスルホン酸、アルキルアリールスルホン酸塩、およびそれらの組み合わせから選ばれる、付記10に記載の研磨組成物。
(付記12)
前記アニオン性界面活性剤が、飽和または不飽和C 6 -C 40 アルキルスルホン酸塩、飽和または不飽和C 6 -C 40 アルキルスルホン酸、飽和または不飽和C 6 -C 40 アルキルベンゼンスルホン酸塩、飽和または不飽和C 6 -C 40 アルキルベンゼンスルホン酸およびこれらの組み合わせから選ばれる、付記10に記載の研磨組成物。
(付記13)
前記鉄カチオンが、約1ppm~約100ppmの量で前記研磨組成物中に存在する、付記1~12のいずれか1項に記載の研磨組成物。
(付記14)
前記鉄カチオンが、約10ppm~約80ppmの量で前記研磨組成物中に存在する、付記1~13のいずれか1項に記載の研磨組成物。
(付記15)
前記研磨組成物がリガンドを含む、付記1~14のいずれか1項に記載の研磨組成物。
(付記16)
前記リガンドが、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを含む、付記15に記載の研磨組成物。
(付記17)
前記リガンドが、アルケン部分および二酸部分を含む、付記15または16に記載の研磨組成物。
(付記18)
前記リガンドが、アルキン部分を含む、付記15または16に記載の研磨組成物。
(付記19)
前記リガンドが、アルコール部分をさらに含む、付記18に記載の研磨組成物。
(付記20)
前記リガンドが、コハク酸、マレイン酸、マロン酸、フマル酸、フタル酸、イソフタル酸、テレフタル酸、シュウ酸、酒石酸、3,5-ジメチル-1-ヘキシン-3-オール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオールエトキシレート、2,5-ジメチル-3-ヘキシン-2,5-ジオール、3-メチル-1-ペンチン-3-オールおよびこれらの組み合わせから選ばれる、付記15または16に記載の研磨組成物。
(付記21)
前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-10mV以下である、付記1~20のいずれか1項に記載の研磨組成物。
(付記22)
前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-20mV以下である、付記1~21のいずれか1項に記載の研磨組成物。
(付記23)
前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-30mV以下である、付記1~22のいずれか1項に記載の研磨組成物。
(付記24)
基板を化学機械的に研磨する方法であって:
(i)基板を提供すること、
(ii)研磨パッドを提供すること、
(iii)
(a)シリカ研磨剤;
(b)界面活性剤;
(c)鉄カチオン;
(d)任意でリガンド;および
(e)水
を含む化学機械研磨組成物を提供すること、
(ここで、前記シリカ研磨剤は、前記化学機械研磨組成物中で負のゼータ電位を有する)
(iv)前記基板を前記研磨パッドおよび前記化学機械研磨組成物に接触させること、および
(v)前記研磨パッドおよび前記化学機械研磨組成物を前記基板に対して相対移動させて、前記基板の少なくとも一部を摩耗して、前記基板を研磨することを含む、方法。
(付記25)
前記研磨組成物が、約0.001wt%~約10wt%の前記シリカ研磨剤を含む、付記24に記載の方法。
(付記26)
前記研磨組成物が、約0.05wt%~約5wt%の前記シリカ研磨剤を含む、付記24または25に記載の方法。
(付記27)
前記シリカ研磨剤がコロイド状シリカである、付記24~26のいずれか1項に記載の方法。
(付記28)
前記研磨組成物が約1~約7のpHを有する、付記24~27のいずれか1項に記載の方法。
(付記29)
前記研磨組成物が約1~約4のpHを有する、付記24~28のいずれか1項に記載の方法。
(付記30)
前記界面活性剤がカチオン性界面活性剤である、付記24~29のいずれか1項に記載の方法。
(付記31)
前記カチオン性界面活性剤が第4級アンモニウム塩を含む、付記30に記載の方法。
(付記32)
前記カチオン性界面活性剤が、N,N,N’,N’,N’-ペンタメチル-N-タローアルキル-1,3-プロパンジアンモニウムジクロリド、(オキシジ-2,1-エタンジイル)ビス(ココアルキル)ジメチルアンモニウムジクロリド、3-メタクリルアミドプロピルトリメチルアンモニウムクロリド(「MAPTAC」)、3-アクリルアミドプロピルトリメチルアンモニウムクロリド(「APTAC」)、ジアリルジメチルアンモニウムクロリド(「DADMAC」)、2-(アクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEA.MCQ」)、2-(メタクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEM.MCQ」)、N,N-ジメチルアミノエチルアクリレートベンジルクロリド(「DMAEA.BCQ」)、N,N-ジメチルアミノエチルメタクリレートベンジルクロリド(「DMAEM.BCQ」)およびこれらの組み合わせから選ばれる、付記30に記載の方法。
(付記33)
前記界面活性剤がアニオン性界面活性剤である、付記24~29のいずれか1項に記載の方法。
(付記34)
前記アニオン性界面活性剤が、アルキルスルホン酸、アルキルスルホン酸塩、アリールスルホン酸、アリールスルホン酸塩、アルキルアリールスルホン酸、アルキルアリールスルホン酸塩、およびそれらの組み合わせから選ばれる、付記33に記載の方法。
(付記35)
前記アニオン性界面活性剤が、飽和または不飽和C 6 -C 40 アルキルスルホン酸塩、飽和または不飽和C 6 -C 40 アルキルスルホン酸、飽和または不飽和C 6 -C 40 アルキルベンゼンスルホン酸塩、飽和または不飽和C 6 -C 40 アルキルベンゼンスルホン酸およびこれらの組み合わせから選ばれる、付記33に記載の方法。
(付記36)
前記鉄カチオンが、約1ppm~約100ppmの量で前記研磨組成物中に存在する、付記24~35のいずれか1項に記載の方法。
(付記37)
前記鉄カチオンが、約10ppm~約80ppmの量で前記研磨組成物中に存在する、付記24~36のいずれか1項に記載の方法。
(付記38)
前記研磨組成物がリガンドを含む、付記24~37のいずれか1項に記載の方法。
(付記39)
前記リガンドが、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを含む、付記38に記載の方法。
(付記40)
前記リガンドが、アルケン部分および二酸部分を含む、付記38または39に記載の方法。
(付記41)
前記リガンドが、アルキン部分を含む、付記38または39に記載の方法。
(付記42)
前記リガンドが、アルコール部分をさらに含む、付記41に記載の方法。
(付記43)
前記リガンドが、コハク酸、マレイン酸、マロン酸、フマル酸、フタル酸、イソフタル酸、テレフタル酸、シュウ酸、酒石酸、3,5-ジメチル-1-ヘキシン-3-オール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオールエトキシレート、2,5-ジメチル-3-ヘキシン-2,5-ジオール、3-メチル-1-ペンチン-3-オールおよびこれらの組み合わせから選ばれる、付記38または39に記載の方法。
(付記44)
前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-10mV以下である、付記24~43のいずれか1項に記載の方法。
(付記45)
前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-20mV以下である、付記24~44のいずれか1項に記載の方法。
(付記46)
前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-30mV以下である、付記24~45のいずれか1項に記載の方法。
(付記47)
前記基板が炭素系膜を含み、炭素系膜の少なくとも一部を、ある除去速度(Å/分)で摩耗して、前記基板を研磨する、付記24~46のいずれか1項に記載の方法。
(付記48)
前記基板が、酸化ケイ素、窒化ケイ素、ポリシリコン、窒化チタン、またはそれらの組み合わせをさらに含み、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの少なくとも一部を、ある除去速度(Å/分)で摩耗して、前記基板を研磨する、付記47に記載の方法。
(付記49)
前記炭素系膜の前記除去速度(Å/分)が、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも大きい、付記48に記載の方法。
(付記50)
前記炭素系膜の前記除去速度(Å/分)が、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも10倍大きい、付記49に記載の方法。
(付記51)
前記炭素系膜の前記除去速度(Å/分)が、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも20倍大きい、付記50に記載の方法。
(付記52)
前記炭素系膜の前記除去速度(Å/分)が、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも40倍大きい、付記51に記載の方法。

Claims (52)

  1. (a)シリカ研磨剤;
    (b)界面活性剤;
    (c)鉄カチオン;
    (d)任意でリガンド;および
    (e)水
    を含み、前記シリカ研磨剤が、化学機械研磨組成物中で負のゼータ電位を有する、化学機械研磨組成物。
  2. 前記研磨組成物が、約0.001wt%~約10wt%の前記シリカ研磨剤を含む、請求項1に記載の研磨組成物。
  3. 前記研磨組成物が、約0.05wt%~約5wt%の前記シリカ研磨剤を含む、請求項1または2に記載の研磨組成物。
  4. 前記シリカ研磨剤がコロイド状シリカである、請求項1~3のいずれか1項に記載の研磨組成物。
  5. 前記研磨組成物が約1~約7のpHを有する、請求項1~4のいずれか1項に記載の研磨組成物。
  6. 前記研磨組成物が約1~約4のpHを有する、請求項1~5のいずれか1項に記載の研磨組成物。
  7. 前記界面活性剤がカチオン性界面活性剤である、請求項1~6のいずれか1項に記載の研磨組成物。
  8. 前記カチオン性界面活性剤が第4級アンモニウム塩を含む、請求項7に記載の研磨組成物。
  9. 前記カチオン性界面活性剤が、N,N,N’,N’,N’-ペンタメチル-N-タローアルキル-1,3-プロパンジアンモニウムジクロリド、(オキシジ-2,1-エタンジイル)ビス(ココアルキル)ジメチルアンモニウムジクロリド、3-メタクリルアミドプロピルトリメチルアンモニウムクロリド(「MAPTAC」)、3-アクリルアミドプロピルトリメチルアンモニウムクロリド(「APTAC」)、ジアリルジメチルアンモニウムクロリド(「DADMAC」)、2-(アクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEA.MCQ」)、2-(メタクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEM.MCQ」)、N,N-ジメチルアミノエチルアクリレートベンジルクロリド(「DMAEA.BCQ」)、N,N-ジメチルアミノエチルメタクリレートベンジルクロリド(「DMAEM.BCQ」)およびこれらの組み合わせから選ばれる、請求項7に記載の研磨組成物。
  10. 前記界面活性剤がアニオン性界面活性剤である、請求項1~6のいずれか1項に記載の研磨組成物。
  11. 前記アニオン性界面活性剤が、アルキルスルホン酸、アルキルスルホン酸塩、アリールスルホン酸、アリールスルホン酸塩、アルキルアリールスルホン酸、アルキルアリールスルホン酸塩、およびそれらの組み合わせから選ばれる、請求項10に記載の研磨組成物。
  12. 前記アニオン性界面活性剤が、飽和または不飽和C6-C40アルキルスルホン酸塩、飽和または不飽和C6-C40アルキルスルホン酸、飽和または不飽和C6-C40アルキルベンゼンスルホン酸塩、飽和または不飽和C6-C40アルキルベンゼンスルホン酸およびこれらの組み合わせから選ばれる、請求項10に記載の研磨組成物。
  13. 前記鉄カチオンが、約1ppm~約100ppmの量で前記研磨組成物中に存在する、請求項1~12のいずれか1項に記載の研磨組成物。
  14. 前記鉄カチオンが、約10ppm~約80ppmの量で前記研磨組成物中に存在する、請求項1~13のいずれか1項に記載の研磨組成物。
  15. 前記研磨組成物がリガンドを含む、請求項1~14のいずれか1項に記載の研磨組成物。
  16. 前記リガンドが、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを含む、請求項15に記載の研磨組成物。
  17. 前記リガンドが、アルケン部分および二酸部分を含む、請求項15または16に記載の研磨組成物。
  18. 前記リガンドが、アルキン部分を含む、請求項15または16に記載の研磨組成物。
  19. 前記リガンドが、アルコール部分をさらに含む、請求項18に記載の研磨組成物。
  20. 前記リガンドが、コハク酸、マレイン酸、マロン酸、フマル酸、フタル酸、イソフタル酸、テレフタル酸、シュウ酸、酒石酸、3,5-ジメチル-1-ヘキシン-3-オール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオールエトキシレート、2,5-ジメチル-3-ヘキシン-2,5-ジオール、3-メチル-1-ペンチン-3-オールおよびこれらの組み合わせから選ばれる、請求項15または16に記載の研磨組成物。
  21. 前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-10mV以下である、請求項1~20のいずれか1項に記載の研磨組成物。
  22. 前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-20mV以下である、請求項1~21のいずれか1項に記載の研磨組成物。
  23. 前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-30mV以下である、請求項1~22のいずれか1項に記載の研磨組成物。
  24. 基板を化学機械的に研磨する方法であって:
    (i)基板を提供すること、
    (ii)研磨パッドを提供すること、
    (iii)
    (a)シリカ研磨剤;
    (b)界面活性剤;
    (c)鉄カチオン;
    (d)任意でリガンド;および
    (e)水
    を含む化学機械研磨組成物を提供すること、
    (ここで、前記シリカ研磨剤は、前記化学機械研磨組成物中で負のゼータ電位を有する)
    (iv)前記基板を前記研磨パッドおよび前記化学機械研磨組成物に接触させること、および
    (v)前記研磨パッドおよび前記化学機械研磨組成物を前記基板に対して相対移動させて、前記基板の少なくとも一部を摩耗して、前記基板を研磨することを含む、方法。
  25. 前記研磨組成物が、約0.001wt%~約10wt%の前記シリカ研磨剤を含む、請求項24に記載の方法。
  26. 前記研磨組成物が、約0.05wt%~約5wt%の前記シリカ研磨剤を含む、請求項24または25に記載の方法。
  27. 前記シリカ研磨剤がコロイド状シリカである、請求項24~26のいずれか1項に記載の方法。
  28. 前記研磨組成物が約1~約7のpHを有する、請求項24~27のいずれか1項に記載の方法。
  29. 前記研磨組成物が約1~約4のpHを有する、請求項24~28のいずれか1項に記載の方法。
  30. 前記界面活性剤がカチオン性界面活性剤である、請求項24~29のいずれか1項に記載の方法。
  31. 前記カチオン性界面活性剤が第4級アンモニウム塩を含む、請求項30に記載の方法。
  32. 前記カチオン性界面活性剤が、N,N,N’,N’,N’-ペンタメチル-N-タローアルキル-1,3-プロパンジアンモニウムジクロリド、(オキシジ-2,1-エタンジイル)ビス(ココアルキル)ジメチルアンモニウムジクロリド、3-メタクリルアミドプロピルトリメチルアンモニウムクロリド(「MAPTAC」)、3-アクリルアミドプロピルトリメチルアンモニウムクロリド(「APTAC」)、ジアリルジメチルアンモニウムクロリド(「DADMAC」)、2-(アクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEA.MCQ」)、2-(メタクリロイルオキシ)-N,N,N-トリメチルエタンアミニウムクロリド(「DMAEM.MCQ」)、N,N-ジメチルアミノエチルアクリレートベンジルクロリド(「DMAEA.BCQ」)、N,N-ジメチルアミノエチルメタクリレートベンジルクロリド(「DMAEM.BCQ」)およびこれらの組み合わせから選ばれる、請求項30に記載の方法。
  33. 前記界面活性剤がアニオン性界面活性剤である、請求項24~29のいずれか1項に記載の方法。
  34. 前記アニオン性界面活性剤が、アルキルスルホン酸、アルキルスルホン酸塩、アリールスルホン酸、アリールスルホン酸塩、アルキルアリールスルホン酸、アルキルアリールスルホン酸塩、およびそれらの組み合わせから選ばれる、請求項33に記載の方法。
  35. 前記アニオン性界面活性剤が、飽和または不飽和C6-C40アルキルスルホン酸塩、飽和または不飽和C6-C40アルキルスルホン酸、飽和または不飽和C6-C40アルキルベンゼンスルホン酸塩、飽和または不飽和C6-C40アルキルベンゼンスルホン酸およびこれらの組み合わせから選ばれる、請求項33に記載の方法。
  36. 前記鉄カチオンが、約1ppm~約100ppmの量で前記研磨組成物中に存在する、請求項24~35のいずれか1項に記載の方法。
  37. 前記鉄カチオンが、約10ppm~約80ppmの量で前記研磨組成物中に存在する、請求項24~36のいずれか1項に記載の方法。
  38. 前記研磨組成物がリガンドを含む、請求項24~37のいずれか1項に記載の方法。
  39. 前記リガンドが、アルケン部分、アルキン部分、二酸部分、アルコール部分、またはそれらの組み合わせを含む、請求項38に記載の方法。
  40. 前記リガンドが、アルケン部分および二酸部分を含む、請求項38または39に記載の方法。
  41. 前記リガンドが、アルキン部分を含む、請求項38または39に記載の方法。
  42. 前記リガンドが、アルコール部分をさらに含む、請求項41に記載の方法。
  43. 前記リガンドが、コハク酸、マレイン酸、マロン酸、フマル酸、フタル酸、イソフタル酸、テレフタル酸、シュウ酸、酒石酸、3,5-ジメチル-1-ヘキシン-3-オール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオール、2,4,7,9-テトラメチル-5-デシン-4,7-ジオールエトキシレート、2,5-ジメチル-3-ヘキシン-2,5-ジオール、3-メチル-1-ペンチン-3-オールおよびこれらの組み合わせから選ばれる、請求項38または39に記載の方法。
  44. 前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-10mV以下である、請求項24~43のいずれか1項に記載の方法。
  45. 前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-20mV以下である、請求項24~44のいずれか1項に記載の方法。
  46. 前記化学機械研磨組成物において、シリカ研磨剤のゼータ電位が-30mV以下である、請求項24~45のいずれか1項に記載の方法。
  47. 前記基板が炭素系膜を含み、炭素系膜の少なくとも一部を、ある除去速度(Å/分)で摩耗して、前記基板を研磨する、請求項24~46のいずれか1項に記載の方法。
  48. 前記基板が、酸化ケイ素、窒化ケイ素、ポリシリコン、窒化チタン、またはそれらの組み合わせをさらに含み、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの少なくとも一部を、ある除去速度(Å/分)で摩耗して、前記基板を研磨する、請求項47に記載の方法。
  49. 前記炭素系膜の前記除去速度(Å/分)が、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも大きい、請求項48に記載の方法。
  50. 前記炭素系膜の前記除去速度(Å/分)が、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも10倍大きい、請求項49に記載の方法。
  51. 前記炭素系膜の前記除去速度(Å/分)が、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも20倍大きい、請求項50に記載の方法。
  52. 前記炭素系膜の前記除去速度(Å/分)が、前記酸化ケイ素、窒化ケイ素、ポリシリコン、または窒化チタンの前記除去速度(Å/分)よりも少なくとも40倍大きい、請求項51に記載の方法。
JP2023517941A 2020-09-18 2021-09-14 炭素系膜の選択的研磨用シリカ系スラリー Pending JP2023542168A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063080194P 2020-09-18 2020-09-18
US63/080,194 2020-09-18
PCT/US2021/050276 WO2022060735A1 (en) 2020-09-18 2021-09-14 Silica-based slurry for selective polishing of carbon-based films

Publications (1)

Publication Number Publication Date
JP2023542168A true JP2023542168A (ja) 2023-10-05

Family

ID=80740012

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023517941A Pending JP2023542168A (ja) 2020-09-18 2021-09-14 炭素系膜の選択的研磨用シリカ系スラリー

Country Status (7)

Country Link
US (1) US11802220B2 (ja)
EP (1) EP4214286A1 (ja)
JP (1) JP2023542168A (ja)
KR (1) KR20230067677A (ja)
CN (1) CN116209542A (ja)
TW (1) TW202219207A (ja)
WO (1) WO2022060735A1 (ja)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
JP2008277723A (ja) * 2007-03-30 2008-11-13 Fujifilm Corp 金属用研磨液及び研磨方法
JP5371416B2 (ja) * 2008-12-25 2013-12-18 富士フイルム株式会社 研磨液及び研磨方法
US20150021513A1 (en) 2013-07-17 2015-01-22 Yun-jeong Kim Cmp slurry composition for polishing an organic layer and method of forming a semiconductor device using the same
KR20150009914A (ko) * 2013-07-17 2015-01-27 삼성전자주식회사 유기막 연마용 cmp 슬러리 조성물 및 이를 이용하는 반도체 장치의 제조 방법
US10032644B2 (en) * 2015-06-05 2018-07-24 Versum Materials Us, Llc Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives
JP6936316B2 (ja) * 2016-09-29 2021-09-15 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド タングステンのための化学機械研磨法
US10600655B2 (en) 2017-08-10 2020-03-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for tungsten
US10647887B2 (en) * 2018-01-08 2020-05-12 Cabot Microelectronics Corporation Tungsten buff polishing compositions with improved topography
US11111435B2 (en) 2018-07-31 2021-09-07 Versum Materials Us, Llc Tungsten chemical mechanical planarization (CMP) with low dishing and low erosion topography
US20200102476A1 (en) 2018-09-28 2020-04-02 Versum Materials Us, Llc Barrier Slurry Removal Rate Improvement

Also Published As

Publication number Publication date
EP4214286A1 (en) 2023-07-26
US20220089908A1 (en) 2022-03-24
US11802220B2 (en) 2023-10-31
WO2022060735A1 (en) 2022-03-24
KR20230067677A (ko) 2023-05-16
TW202219207A (zh) 2022-05-16
CN116209542A (zh) 2023-06-02

Similar Documents

Publication Publication Date Title
JP6434501B2 (ja) 酸化物および窒化物に選択的な高除去速度および低欠陥を有するcmp組成物
EP3055376B1 (en) Mixed abrasive polishing compositions
KR102283152B1 (ko) 기판을 연마하기 위한 습식 공정 세리아 조성물, 및 이와 관련된 방법
EP3230395B1 (en) Cmp compositons exhibiting reduced dishing in sti wafer polishing
KR102283156B1 (ko) 기판을 선택적으로 연마하기 위한 습식-공정 세리아 조성물, 및 이와 관련된 방법
KR102650526B1 (ko) 개선된 안정성 및 개선된 연마 특징을 갖는 선택적 질화물 슬러리
US20140349483A1 (en) Cmp compositions selective for oxide over polysilicon and nitride with high removal rate and low defectivity
JP6930976B2 (ja) 低k基板の研磨方法
US10640679B2 (en) CMP compositions selective for oxide and nitride with improved dishing and pattern selectivity
KR102444552B1 (ko) 높은 제거 속도 및 낮은 결함성을 갖는, 폴리실리콘 및 질화물에 비해 산화물에 대해 선택적인 cmp 조성물
JP2023542168A (ja) 炭素系膜の選択的研磨用シリカ系スラリー
EP3526298B1 (en) Cmp compositions selective for oxide and nitride with improved dishing and pattern selectivity
WO2023150245A1 (en) Ceria-based slurry compositions for selective and nonselective cmp of silicon oxide, silicon nitride, and polysilicon
WO2023150244A1 (en) Ceria-based slurry compositions for selective and nonselective cmp of silicon oxide, silicon nitride, and polysilicon

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230515

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230515

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240312

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240319

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240619