JP2023532276A - プラズマインピーダンスが半径方向に変化するキャリアリング - Google Patents

プラズマインピーダンスが半径方向に変化するキャリアリング Download PDF

Info

Publication number
JP2023532276A
JP2023532276A JP2022579931A JP2022579931A JP2023532276A JP 2023532276 A JP2023532276 A JP 2023532276A JP 2022579931 A JP2022579931 A JP 2022579931A JP 2022579931 A JP2022579931 A JP 2022579931A JP 2023532276 A JP2023532276 A JP 2023532276A
Authority
JP
Japan
Prior art keywords
ring
plasma
processing system
plasma processing
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022579931A
Other languages
English (en)
Inventor
ラインバーガー・ニック・レイ・ジュニア
シャイク・ファヤズ・エイ.
イル リー・カン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2023532276A publication Critical patent/JP2023532276A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2007Holding mechanisms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Abstract

【課題】【解決手段】プラズマインピーダンスが半径方向に変化するキャリアリングが提供される。いくつかの実施形態において、キャリアリングは、取り外し可能な内側リングを保持する外側リングを含んでもよい。外側リングは、セラミックなどの誘電体材料で形成されてもよい。内側リングは、所望のインピーダンスを提供するためのアルミニウムなどの金属で形成されてもよい。いくつかの他の実施形態において、キャリアリングは、半径方向にインピーダンスが変化する単一の部材から形成される。【選択図】図3

Description

<関連出願の相互参照>
本出願の一部として、PCT願書様式を本明細書と同時に提出する。同時に提出したPCT願書様式において特定される、本出願が利益または優先権を主張する各出願は、その内容全体が参照により、すべての目的のために本明細書に組み込まれる。
本開示は、処理チャンバ内で処理中の半導体ウエハを支持するキャリアリングに関する。
ここに提供される背景技術の記載は、本開示の背景を概略的に提示することを目的としている。この背景技術に記載されている範囲内での本発明者らの研究、およびその他の点で出願時に先行技術と認められない可能性がある記載の態様は、明示的にも暗示的にも、本開示に対する先行技術とは認められない。
一態様において、マルチステーションプラズマ処理システムを含むキャリアリングが提供される。前記システムは、第1の処理ステーションであって、基板が前記第1の処理ステーションで処理されるときに、前記基板の裏側上の第1の位置セットにて前記基板を支持するように構成された第1の支持特徴セットを含む第1の処理ステーションと、第2の処理ステーションであって、前記基板が前記第2の処理ステーションで処理されるときに、前記基板の前記裏側上の第2の位置セットにて前記基板を保持するように構成された第2の支持特徴セットを含む第2の処理ステーションとを含み、前記第1の位置セットは、前記第2の位置セットと重複しない。
一態様において、キャリアリングが提供される。前記キャリアリングは、誘電体材料で形成され、係合特徴を有する外側リングと、金属で形成された内側リングとを含み、前記内側リングは、前記外側リングの前記係合特徴と係合し、前記内側リングは、処理チャンバ内で処理中の半導体ウエハを支持するよう構成される。
別の態様において、プラズマ処理システムが提供される。前記プラズマ処理システムは、シャワー台座と基板支持台とを含む。前記基板支持台は、第1のプラズマインピーダンスを有し、前記シャワー台座から離間した関係で基板を保持するように構成された内側部分と、前記第1のプラズマインピーダンスと異なる第2のプラズマインピーダンスを有する外側部分と、を含む。
図1Aは、本開示の特定の実施形態に係る基板処理システムの概略図である。 図1Bは、本開示の特定の実施形態に係る基板処理システムの概略図である。
図2は、本開示の特定の実施形態に係るマルチステーション処理ツールの上面図である。
図3は、本開示の特定の実施形態に係るマルチステーション処理ツールの概略図である。
図4Aは、本開示の特定の実施形態に係るウエハキャリアリングの斜視図である。 図4Bは、本開示の特定の実施形態に係るウエハキャリアリングの斜視図である。
図5Aは、本開示の特定の実施形態に係るウエハキャリアリングの断面図である。
図5Bは、本開示の特定の実施形態に係るウエハキャリアリングの断面図であり、半径方向に変化する電気インピーダンスを示す図である。
図6は、本開示の特定の実施形態に係る、基板処理システムを制御するための例示的な制御モジュールの概略図である。
以下の説明において、提示する実施形態の詳細な理解に供するため、数々の具体的詳細を示すが、本開示の実施形態は、これらの具体的詳細の一部またはすべてを除いて実施されてもよい。他の例においては、本開示の実施形態が不必要に曖昧になることを避けるため、周知のプロセス工程については、詳細な説明を省いている。本開示の実施形態は、これらの具体的な実施形態に関連して説明されるが、これは、本開示の実施形態を限定することを意図したものではないことが理解される。
本開示の一部は、プラズマ励起化学気相堆積(PECVD:Plasma-Enhanced Chemical Vapor Deposition)に関する。PECVDはプラズマ堆積の一種であり、ウエハなどの基板上に薄膜を気体状態(すなわち、蒸気)から固体状態にして堆積するために用いられる。PECVDシステムは、液体前駆体を蒸気前駆体に変換し、蒸気前駆体をチャンバに供給する。PECVDシステムは、液体前駆体を制御された態様で気化させて蒸気前駆体を生成する気化器を含んでもよい。一般的に、PECVDに用いられるチャンバは、処理中にウエハを支持するためのセラミック製台座を使用し、これにより、高温下での処理が可能になる。
デバイスを形成するためのPECVD堆積および他の処理のほとんどは、ウエハの表(おもて)面(例えば、上側)で行われる。堆積層が積み重なるにつれて、ウエハに応力が導入される場合がある。この応力により、ウエハに反りが生じる場合があるが、これは望ましくない。反りが大きいと、後続の処理工程に悪影響を及ぼす場合がある。ウエハの裏側に材料を堆積させることで、ウエハの反りや応力を打ち消せる場合がある。しかし、ウエハの裏側に堆積させるには、ウエハを裏返し、裏側が上になるように載置する必要がある。ウエハを裏返すことにより、ハンドリングの増加、粒子への曝露の可能性、および/または、歩留まりの低下などの問題が別途生じる。
本開示の実施形態は、プラズマインピーダンスが半径方向に変化するキャリアリングの実装形態を提供する。キャリアリングは、そのプラズマインピーダンスが半径方向に変化するように、半径方向に変化する材料および/または半径方向に変化する構造体から形成されてもよい。半径方向に変化するプラズマインピーダンスにより、基板裏側への堆積におけるプラズマ調節を実現してもよい。キャリアリングが呈するインピーダンスの変化により、裏側への堆積中のプラズマ強度を変化させることができる。インピーダンスが増加すると、一般にプラズマ強度は低下し、インピーダンスが低下すると、一般にプラズマ強度は増加する。1つの実装形態において、キャリアリングは、リングが全体として所望のインピーダンスを呈するように、内側金属リングおよび外側セラミックリングから形成される。インピーダンスは、内側リングに選択される1つ以上の材料(例えば、どのセラミックを選択するか、または、非セラミック材料に切り替えることさえ行うかなど)、および外側リングに選択される1つ以上の材料(例えば、どの金属を選択するか、または非金属材料に切り替えることさえ行うかなど)を変化させることによって、変化させてもよい。別の実装形態において、キャリアリングは、特性が半径方向に変化する単一の材料で形成される。具体例として、キャリアリングは、厚さに応じてインピーダンスが変化する材料で形成されてもよい。そのような例において、キャリアリングは、様々な半径方向の距離において所望のインピーダンスを有するように、外周の厚さを大きくまたは小さくするとともに、内周の厚さを小さくまたは大きくしてもよい。さらに別の実装形態において、キャリアリングは、滑らかに、または1つ以上の段階で半径方向に変化する2つ以上の材料で形成される(例えば、第1の材料からなる内側領域と、それと永久的または半永久的に接合される第2の材料からなる外側領域とで形成され、材料間の遷移は徐々に、または明確に区別できる形で生じる)。
これらの態様でインピーダンスを制御する(例えば、変化させる)ことにより、様々な裏側および/または表側反応プロセス(堆積プロセス、エッチングプロセス、プラズマ励起化学気相堆積(PECVD)、化学気相堆積(CVD:Chemical Vapor Deposition)、原子層堆積(ALD:Atomic Layer Deposition)、プラズマ励起原子層堆積(PEALD:Plasma Enhanced Atomic Layer Deposition)、減圧化学気相堆積(LPCVD:Low Pressure Chemical Vapor Deposition)など)を容易にしてもよい。具体例として、キャリアリングは、PECVDによる裏側および/または表側堆積プロセス中に、プラズマに対する所望のインピーダンスを提供するように設計されてもよい。一例として、キャリアリングの内側部分を比較的薄く、かつ/または金属で形成することにより、接地に対するインピーダンスを減少させて、キャリアリングの内側部分近傍のプラズマ強度を増加させるとともに、キャリアリングの外側部分を比較的厚く、かつ/またはセラミックで形成することにより、接地に対するインピーダンスを増加させて、キャリアリングの外側部分近傍におけるプラズマ強度を低下させてもよい。キャリアリングの厚さを半径方向に変化させること、キャリアリングの材料を半径方向に変化させること、金属リングおよびセラミックリングの相対的なサイズを変えること、および/または内側リングと外側リングの材料を変えることによって、キャリアリングのインピーダンスを半径方向に沿って調整し、所望のインピーダンス勾配およびプラズマ強度勾配を達成することができる。このようにして、基板の縁部に沿ったプラズマ強度を細かく調整することができる。
高度なメモリやロジックチップの製造に用いられるマルチレベル半導体処理フローでは、基板が圧縮方向および引張方向に大きく反ってしまっていた。このような中程度から重度の基板の反りのために、様々な製造プロセスの処理条件が損なわれることにより、プロセス制御の問題や、リソグラフィのチャッキングおよび重ね合わせの問題が生じ、これによって歩留まり損失が増大する場合がある。一実施形態において、反りを制御する一つの方法は、基板の反対側(すなわち、裏側)に犠牲膜または複数の膜を堆積させて反りを反対方向に補償し、基板を平坦化させることである。従来の二重電極無線周波数(「RF」)PECVDシステムは、RFまたは接地とすることができる1つのガス流入電極を備えている。一般に、ガス流入電極(図1においてシャワーヘッド104とも呼ばれる)はPECVD反応器の上側に位置するため、反応物がウエハの表側に流れ、ウエハの表側のみに堆積される。
一実施形態によれば、二重ガス流入電極を有するRF PECVDシステムが開示される。電極のいずれか一方は、化学気相堆積(CVD)による膜堆積のためのプラズマ励起を可能にするAC場を提供するRF電極とすることができる。この二重ガス流入電極PECVDシステムは、ウエハの両側または片側のみに選択的に膜を堆積させることができる。一例として、ガス流入台座(本明細書において「シャワー台座」と呼ぶ)は、設備セットアップに基づいて標準的な搬送機構を介して、チャンバ内の隣接するステーション間で、またはチャンバ外で搬送するためにウエハを保持することができ、さらにウエハの裏側からガスを流すことができる。
一実施形態において、裏側ガス流により、ウエハの裏側へのPECVD堆積を可能にし、表側ガス流により、ウエハの表側に堆積させることができる。このシステムは、膜堆積を生じさせる反応物をオン・オフし、反応物を非反応ガス(例えば、不活性ガス)に置き換えることによって、堆積させる側を選択的に有効にするように設定することができる。また、このシステムの別の態様として、反応物流通ガスから基板の側までの距離を制御できるようにする。この制御により、裏側の補償などの用途に必要な堆積プロファイルおよび膜特性を達成することが可能になる。
別の実施形態において、シャワー台座およびシャワーヘッドは、適切な反応物混合を可能にし、ウエハの裏側または表側でのPECVD堆積プロセスのための適切な流体力学を実現するシャワーヘッドに似た特徴を提供する構成を含む。さらに、いくつかの実施形態では、堆積のためにウエハの所望の(一方または両方の)側に対するプラズマを抑制または許容できるように、間隙を制御可能とする。制御される間隙は、例えば、図1Aおよび図1Bに示すように、ウエハの上側とシャワーヘッド104の上面との間の間隙と、図1Aおよび図1Bに示すように、ウエハの裏側とシャワー台座106の上面との間の間隙とを含むことができる。例えば、ウエハの裏側への堆積中、ウエハの上側とシャワーヘッドの上面との間の隙間は最小化される。
シャワー台座106は、ガスを均等に分配するためのシャワーヘッド穴パターンおよび内側プレナムを含むようにさらに構成される。ガスの均等な分配を実現するシャワーヘッド穴パターンおよび内側プレナムにより、プロセスガスを適切に均等に分配しながらウエハの底部に向かって供給することができる。また、実施形態において、ガス流入台座(すなわち、シャワー台座)は、プロセスガスを適切な温度にするためのアクティブヒータを有することができる。シャワー台座106とシャワーヘッド104を組み合わせることで、両方の主要な特性を同時に機能させることが可能になる。シャワー台座106は、一実施形態では、引き続きウエハを加熱するとともに、反応器チャンバ内または反応器外でのウエハ搬送機能を実現できる一方で、シャワーヘッド104のコンポーネントはプロセスガス流を可能にすることができる。したがって、本明細書に開示するガス流入台座(すなわち、シャワー台座)は、ウエハのいずれかの側に選択的に堆積させる従来のPECVDプロセスの実装形態を可能にする。これらの構成はまた、上部電極または下部電極に選択的にRF電力を供給し、堆積を必要とするウエハの側でプラズマを動的に有効化/無効化するようにも構成される。
概して、シャワー台座は、ウエハの裏側に膜を堆積させることによって、応力および反りの問題に有効ないくつかの利点を提供する。裏側の膜により、表側の堆積からの応力を打ち消して、反りが見られない(または実質的に反りが見られない(例えば、約150μm未満の反り))中立な応力(または実質的に中立な応力(例えば、約±150MPa未満)のウエハがもたらされる。表側に堆積した膜が伸張性である場合、全体の応力の均衡させるために裏側の膜も伸張性である必要がある。同様に、表側の膜が圧縮性である場合、裏側の膜も圧縮性である必要がある。裏側の膜は、様々な反応機構(例えば、化学気相堆積(CVD)、プラズマ励起化学気相堆積(PECVD)、原子層堆積(ALD)、プラズマ励起原子層堆積(PEALD)、減圧化学気相堆積(LPCVD)など)によって堆積されてもよい。プラズマ励起化学気相堆積は高い堆積速度が達成できるため、様々な場面で使用される。
特定の堆積パラメータを調整して、所望の応力レベルを有する裏側膜を製造することができる。このような堆積パラメータの1つは、堆積した裏側膜の厚さである。膜が厚いほど、ウエハ内に大きな応力が誘発され、同一組成かつ同一条件で堆積された膜が薄いほど、ウエハ内に誘発される応力は小さくなる。したがって、裏側層を形成する際に消費される材料の量を最小限にするために、この層は、高応力膜の形成を促進する条件下で比較的薄く堆積されてもよい。
堆積パラメータの調整に加えて、またはそれに代えて、ウエハキャリアリングのインピーダンス特性を調整して、応力レベルや半径方向の均一性または非一様性などの所望の特性を有する膜を製造することができる。特に、本明細書に開示する実施形態は、プラズマに対するインピーダンスが半径方向に変化するキャリアリングを含む。このようなキャリアリングは、ウエハの第1の側上の膜の均一性を、これらの膜の縁部と中央部との間の厚さ変動を低減することなどにより、ウエハの反対側での追加のまたは過剰な堆積を生じさせることなく、向上させることができる。本開示の大部分は、基板の裏側への膜堆積に言及しているが、本明細書に開示するウエハキャリアリングおよび他の要素は、基板の表側への膜堆積にも使用でき、基板の表側または裏側の膜のエッチングにも使用でき、さらに、基板の表側または裏側に対する他の半導体処理工程にも使用できる。
上述したように、堆積材料のスタックにより、ウエハに応力と反りが生じる可能性が特に高い。これらの問題を引き起こす可能性のあるスタックの一例として、酸化物と窒化物の層が交互に積層されたスタックが挙げられる(例えば、シリコン酸化物/シリコン窒化物/シリコン酸化物/シリコン窒化物、など)。反りを生じさせやすいスタックの別の一例としては、酸化物とポリシリコンの層が交互に積層されたものが挙げられる(例えば、シリコン酸化物/ポリシリコン/シリコン酸化物/ポリシリコン、など)。問題となり得るスタック材料の他の例としては、タングステン窒化物およびチタン窒化物が挙げられる(ただし、これらに限定されない)。スタック内の材料は、プラズマ励起化学気相堆積(PECVD)、減圧化学気相堆積(LPCVD)、有機金属化学気相堆積(MOCVD:Metal Organic Chemical Vapor Deposition)、原子層堆積(ALD)、プラズマ励起原子層堆積(PEALD)、または直接金属堆積(DMD:Direct Metal Deposition)などの化学気相堆積手法によって堆積されてもよい。なお、これらの例は、限定することを意図したものではない。本開示の特定の実施形態は、ウエハの表側に存在する材料に起因してウエハの応力および/または反りが誘発される場合に常に有用であり得る。
表側のスタックは、任意の数の層および厚さに堆積されてもよい。一般的な例では、スタックは、約32~72の層を含み、約2~4μmの合計厚さを有する。スタックによってウエハに誘発される応力は、約-500MPa~約+500MPaの場合があり、その結果、約200~400μm(300mmウエハの場合)の反りが発生することが多く、場合によってはさらに大きな反りが発生することがある。
様々な実施形態において、ウエハの裏側に堆積される材料は、誘電体材料であってもよい。いくつかの場合、酸化物および/または窒化物(例えば、シリコン酸化物/シリコン窒化物)が用いられる。使用可能なシリコン含有反応物の例としては、シラン、ハロシラン、およびアミノシランが挙げられる(ただし、これらに限定されない)。シランは、水素基および/または炭素基を含むが、ハロゲンを含まない。シランの例としては、シラン(SiH4)、ジシラン(Si26)、および有機シラン(メチルシラン、エチルシラン、イソプロピルシラン、t-ブチルシラン、ジメチルシラン、ジエチルシラン、ジ-t-ブチルシラン、アリルシラン、sec-ブチルシラン、テキシルシラン、イソアミルシラン、t-ブチルジシラン、ジ-t-ブチルジシランなど)が挙げられる。ハロシランは、少なくとも1つのハロゲン基を含み、水素基および/または炭素基を含んでも含まなくてもよい。ハロシランの例としては、ヨードシラン、ブロモシラン、クロロシラン、およびフルオロシランが挙げられる。ハロシラン、特にフルオロシランは、シリコン材料をエッチング可能な反応性ハロゲン化物種を形成する場合があるが、本明細書に記載の特定の実施形態では、プラズマ点火時にシリコン含有反応物は存在しない。具体的なクロロシランとしては、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロルメチルシラン、ジクロルメチルシラン、クロロジメチルシラン、クロロエチルシラン、t-ブチルクロロシラン、ジ-t-ブチルクロロシラン、クロロイソプロピルシラン、クロロ-sec-ブチルシラン、t-ブチルジメチルクロロシラン、テキシジメチルクロロシランなどが挙げられる。アミノシランは、シリコン原子に結合した少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、および炭素をさらに含んでもよい。アミノシランの例としては、モノ-、ジ-、トリ-、およびテトラ-アミノシラン(それぞれ、H3Si(NH24、H2Si(NH22、HSi(NH23、およびSi(NH24)、ならびに置換モノ-、ジ-、トリ-、およびテトラ-アミノシラン(例えば、t-ブチルアミノシラン、メチルアミノシラン、tert-ブチルシランアミン、ビス(三級ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS))、tert-ブチルシリルカルバミン酸塩、SiH(CH3)-(N(CH322、SiHCl-(N(CH322、(Si(CH32NH)3)などが挙げられる。アミノシランのさらなる例としては、トリシリルアミン(N(SiH3))が挙げられる。他に可能性のあるシリコン含有反応物としては、テトラエチルオルトシリケート(TEOS)、ならびに環状および非環状TEOS変種(テトラメトキシシラン(TMOS)、フルオロトリエトキシシラン(FTES)、トリメチルシラン(TMS)、オクタメチルテトラシクロシロキサン(OMCTS)、テトラメチルシクロテトラシロキサン(TMCTSO)、ジメチルジメトキシシラン(DMDS)、ヘキサメチルジシラザン(HMDS)、ヘキサメチルジシロキサン(HMDSO)、ヘキサメチルシクロトリシロキサン(HMCTSO)、ジメチルジエトキシシラン(DMDEOS)、メチルトリメトキシシラン(MTMOS)、テトラメチルジシロキサン(TMDSO)、ジビニルテトラメチルジシロキサン(VSI2)、メチルトリエトキシシラン(MTEOS)、ジメチルテトラメトキシジシロキサン(DMTMODSO)、エチルトリエトキシシラン(ETEOS)、エチルトリメトキシシラン(ETMOS)、ヘキサメトキシジシラン(HMODS)、ビス(トリエトキシシリル)エタン(BTEOSE)、ビス(トリメトキシシリル)エタン(BTMOSE)、ジメチルエトキシシラン(DMEOS)、テトラエトキシジメチルジシロキサン(TEODMDSO)、テトラキス(トリメチルシロキシ)シラン(TTMSOS)、テトラメチルジエトキシジシロキサン(TMDEODSO)、トリエトキシシラン(TIEOS)、トリメトキシシラン(TIMEOS)、またはテトラプロポキシシラン(TPOS)など)が挙げられる。
窒素含有反応物の例としては、アンモニア、ヒドラジン、アミン(例えば、炭素を持つアミン)(メチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、t-ブチルアミン、ジ-t-ブチルアミン、シクロプロピルアミン、sec-ブチルアミン、シクロブチルアミン、イソアミルアミン、2-メチルブタン-2-アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジ-t-ブチルヒドラジンなど)、ならびに、芳香族含有アミン(アニリン、ピリジン、およびベンジルアミンなど)が挙げられる(ただし、これらに限定されない)。アミンは、一級、二級、三級、または四級(例えば、テトラアルキルアンモニウム化合物)であってもよい。窒素含有反応物は、窒素以外のヘテロ原子を含むことができ、例えば、ヒドロキシルアミン、t-ブチルオキシカルボニルアミン、およびN-t-ブチルヒドロキシルアミンは窒素含有反応物である。
酸素含有共反応物の例としては、酸素、オゾン、亜酸化窒素、一酸化炭素、一酸化窒素、二酸化窒素、酸化硫黄、二酸化硫黄、酸素含有炭化水素(CxHyOz)、水、これらの混合物などが挙げられる。
これらの反応物の流量は、裏側層を堆積させる反応の種類に大きく依存する。CVD/PECVDを用いて裏側層を堆積させる場合、シリコン含有反応物の流量は、約0.5~10mL/分(噴霧化前)、例えば、約0.5~5ml/分であってもよい。窒素含有反応物、酸素含有反応物、または他の共反応物の流量は、約3~25標準リットル/分(SLM)、例えば、約3~10SLMであってもよい。
特定の実装形態において、さらなる処理の後に裏側層を除去してもよい。このような場合、裏側層の組成は、適切なタイミングで基板から容易に除去できるように選択する必要がある。この点に関して、所望の除去化学反応において、裏側層の材料(例えば、誘電体)と下地となる基板の材料(例えば、シリコン)との間に高い選択比がある必要がある。
裏側層の厚さは、裏側層の堆積条件だけでなく、ウエハの表側への堆積によって誘発される応力の量にも依存する場合がある。裏側層は、ウエハへの応力が無視できるようになる(例えば、約150MPa未満)厚さまで堆積されてもよい。これらの実施形態または他の実施形態において、裏側層は、ウエハの反りが無視できるようになる(例えば、約150μm未満の反り)厚さまで堆積されてもよい。いくつかの場合、これは、約0.1~2μm(例えば、約0.3~2μm、約0.1~1μm、または約0.3~1μm)の裏側層厚さに相当する。シリコン窒化物を使用して裏側層を形成する場合、約50~200μmの反りを緩和するには、厚さ約0.3μmの膜で十分である。上述したように、より高応力の裏側層を使用することで、必要とされる層の厚さを低減してもよい。これにより、材料を節約し、コストを削減しやすくなる。
本実施形態は、プロセス、装置、システム、装置、または方法など、多くの態様で実施可能であることを理解されたい。以下、いくつかの実施形態を説明する。
膜の堆積は、一実施形態において、プラズマ励起化学気相堆積(PECVD)システムで実施される。PECVDシステムは、多くの異なる形態をとってもよい。PECVDシステムは、1つ以上のウエハを収容し、ウエハ処理に適した1つ以上のチャンバまたは「反応器」を含む。各チャンバまたは反応器は、複数の処理ステーションを含んでもよい。各チャンバまたは反応器は、処理のために1つ以上のウエハを収容してもよい。1つ以上のチャンバは、ウエハを1つ以上の所定の位置に保持する(その位置において回転、振動、または他の撹拌などの動きがあるか否かを問わない)。堆積中のウエハは、プロセス中に反応器チャンバ内で1つのステーションから別のステーションに搬送されてもよい。もちろん、膜の堆積は、単一のステーションで完全に行われてもよいし、膜の任意の部分が任意の数のステーションで堆積されてもよい。
プロセス中、各ウエハは、台座、ウエハチャック、および/または他のウエハ保持装置によって所定の位置に保持される。特定の工程用に、装置は、ウエハを加熱するための加熱プレートなどのヒータを含んでもよい。
図1Aは、基板処理システム100を示す図である。基板処理システム100は、ウエハ128の処理に用いられる。このシステムは、チャンバ102を含む。中央の柱は、基板128の上面が処理されているとき、例えば、上面に膜が形成されているときに、台座を支持するように構成されている。本明細書に開示する実施形態に係る台座を、シャワー台座106と呼ぶ。シャワー台座106の上方に、シャワーヘッド104が配置されている。一実施形態において、シャワーヘッド104は、整合ネットワーク125を介して電源122に電気的に結合されている。電源122は、制御モジュール120、例えば、コントローラによって制御される。他の実施形態において、シャワーヘッド104の代わりにシャワー台座106に電力を供給することが可能である。制御モジュール120は、特定のレシピに対するプロセス入力および制御を実行することにより、基板処理システム100を動作させるように構成されている。基板128の上面が堆積膜を保持しているか、基板128の下面が堆積膜を保持しているかに応じて、コントローラモジュール120は、プロセスレシピに対して、様々な動作入力(例えば、電力レベル、タイミングパラメータ、プロセスガス、ウエハ128の機械的な動き、ウエハ128のシャワー台座106からの高さなど)を設定する。
中央の柱は、リフトピンを含むこともできる。リフトピンは、リフトピン制御手段によって制御される。リフトピンは、エンドエフェクタがウエハをピックアップできるようにウエハ128をシャワー台座106から上昇させたり、エンドエフェクタによる載置後にウエハ128を下降させたりするのに用いられる。エンドエフェクタ(図示しない)、は、ウエハ128をスペーサ130の上に載置することもできる。後述するように、スペーサ130は、ウエハに面しているシャワーヘッド104の下面と、ウエハに面しているシャワー台座106の上面との間のウエハ128の分離間隔を制御できる寸法を有する。
基板処理システム100は、ガス源110(例えば、施設からのガス化学物質供給および/または不活性ガス)に接続されるガスマニホールド108をさらに含む。基板の上面上で実行される処理に応じて、制御モジュール120は、ガスマニホールド108を介したガス源110の供給を制御する。そして、選択されたガスはシャワーヘッド104内に流され、ウエハが台座140に載置されているときに、そのウエハ128に面するシャワーヘッド104の面との間で画定される空間容積内に分配される。
基板処理システム100は、ガス源114(例えば、施設からのガス化学物質供給および/または不活性ガス)に接続されるガスマニホールド112をさらに含む。基板の下面上で実行される処理に応じて、制御モジュール120は、ガスマニホールド112を介したガス源114の供給を制御する。そして、選択されたガスはシャワーヘッド104内に流され、ウエハがスペーサ130上に載置されているときにそのウエハ128の下面/下側に面するシャワー台座106の面との間で画定される空間容積に分配される。スペーサ130は、ウエハの上面への堆積を低減しつつ、ウエハ128の下面への堆積を最適化する分離を実現する。一実施形態において、ウエハ128の下面を対象に堆積させる間、シャワーヘッド104を介して不活性ガスをウエハ128の上面上に流す。これにより、反応物ガスが上面から押しやられ、シャワー台座106から供給される反応物ガスをウエハ128の下面に向けることが可能になる。
さらに、ガスは事前に混合されても、されなくてもよい。プロセスの堆積およびプラズマ処理段階中に正しいガスが供給されるように、適切なバルブおよびマスフロー制御機構を採用してもよい。プロセスガスは、出口を介してチャンバから排出される。真空ポンプ(例えば、1段式もしくは2段式の機械式ドライポンプおよび/またはターボ分子ポンプ)がプロセスガスを吸い出し、閉ループ制御の流量制限装置(スロットルバルブまたは振り子バルブなど)によって反応器内を適切な低圧に維持する。
また、シャワー台座106の外側領域を取り囲むキャリアリング124が図示されている。キャリアリング124は、図4A、図4B、図5、および図6を参照してより詳細に説明するように、半径方向に変化するインピーダンスを有してもよい。ウエハ128の上面が処理されているとき、例えば、材料がその上に堆積されているとき、キャリアリング124は、シャワー台座106の中央にあるウエハ支持領域から一段下がったキャリアリング支持領域の上に置かれるように構成されている。キャリアリング124は、そのディスク構造の外縁側(例えば外半径)と、そのディスク構造の、ウエハ128が着座する場所に最も近いウエハ縁部側(例えば内半径)とを含む。キャリアリング124のウエハ縁部側は、キャリアリング124がスペーサ130によって保持されているときにウエハ128を持ち上げるように構成されている複数の接触支持構造を含む。
図1Bにおいて、スパイダーフォーク132を用いて、キャリアリング124を持ち上げてそのプロセス高さに維持することにより、ウエハ128の下面への堆積を可能にしている。したがって、キャリアリング124は、ウエハ128とともに持ち上げられ、例えばマルチステーションシステムにおいて、別のステーションまで回転させることができる。
図2は、マルチステーション処理ツールの上面図である。ここでは、4つの処理ステーションが設けられている。図1Aおよび図1Bの実施形態ではチャンバ102を示しているが、これは、図2および図3のチャンバ102で実装することができる。チャンバ102は、4つのチャンバステーションを有する。図2および図3はチャンバ部分の上面図であるが、説明のためにチャンバの上部分は取り除いている。スパイダーフォーク132が4つのステーションにアクセスする。各スパイダーフォーク132、またはフォークは、第1および第2のアームを含み、各々のアームは、シャワー台座106の各側部の一部の周囲に位置している。この図では、スパイダーフォーク132は、キャリアリング124の下にあることを示唆するために破線で描かれている。スパイダーフォーク132は、係合・回転機構220を用いて、それぞれのキャリアリング124をその下面から同時に持ち上げてステーションから浮かせて、その後、少なくとも1つ以上のステーションを回転させてから、キャリアリング124(キャリアリングの少なくとも1つが、ウエハ128を支持している)を次の場所に下降させるように構成され、それによって、それぞれのウエハ128に対してさらなるプラズマプロセス、処理および/または膜堆積を行うことができる。上述したように、一実施形態において、スパイダーフォーク132を用いて、例えば図1Bに示すように、ウエハ128の上側への堆積を実質的に防止しつつ、ウエハ128の裏側への堆積を可能にする高さまでウエハ128を持ち上げることができる。
図3は、搬入ロードロック148および搬出ロードロック140を備えたマルチステーション処理ツールの一実施形態の概略図である。ロボット142は、大気圧下で、ポッド150を通じてロードされたカセットから、大気圧ポート144を介して搬入ロードロック148内に基板128を移動させるように構成される。搬入ロードロック148は、真空源(図示しない)に結合されている。これにより、大気圧ポート144が閉じられると、搬入ロードロック148は排気されてもよい。搬入ロードロック148はまた、処理チャンバ102と接するチャンバ搬送ポート146を含む。したがって、チャンバ搬送146が開いたとき、別のロボット(図示しない)が、処理に供するために基板を搬入ロードロック148から第1のプロセスステーションのシャワー台座106に移動させてもよい。
図示の処理チャンバ102は、図3に示す実施形態において1~4の番号が付された4つのプロセスステーションを含む。いくつかの実施形態において、処理チャンバ102は、基板が真空破壊および/または空気への曝露を受けることなくプロセスステーション間でキャリアリング124によって搬送できるように、低圧環境を維持するように構成されてもよい。図3aに示す各プロセスステーションは、裏側への堆積を行うときにプロセスガスを供給するように構成されたシャワー台座106を含む。裏側への堆積中、スペーサまたはスパイダーフォークによってウエハをシャワー台座106から持ち上げるか否かにかかわらず、シャワーヘッド104は、ウエハ106の上面上への堆積を防止または低減するために基板の上面上に不活性ガスを供給するよう構成される。
図3はまた、処理チャンバ102内でウエハを搬送し、裏側への堆積中にウエハ128を持ち上げるためのスパイダーフォーク132を図示している。また、以下でより詳細に説明するように、スパイダーフォーク132は回転して、1つのステーションから別のステーションへとウエハを搬送することができる。搬送は、スパイダーフォーク132がキャリアリング124を外側の下面から持ち上げられるようにすることによって行われる。そして、これによりウエハを持ち上げ、次にウエハおよびキャリア124を一緒に次のステーションまで回転させる。1つの構成において、スパイダーフォーク132は、処理中の高熱に耐えられるようにセラミック材料で形成される。
他の実施形態において、スパイダーフォーク132を用いてウエハの持ち上げと搬送を行う代わりに、パドル型の構造もウエハを持ち上げて搬送するように機能することができる。パドルは、スパイダーフォーム132を据えるのと同様にステーション間に配置することができ、同じように機能することができる。したがって、理解を容易にするために、スパイダーフォーム132に関する言及はパドル構成にも適用されると理解されたい。パドル構成は、(例えば、ウエハ裏側への堆積中の)持ち上げと、ステーション間の搬送とを制御することができる。
概して、本明細書に開示する実施形態は、動的な制御の下でウエハの表側および/または裏側にPECVD膜を堆積させるシステムに関する。一実施形態は、容量結合型PECVDシステムを規定する二重ガス流入電極を含む。このシステムは、ガス流入シャワーヘッド104およびシャワー台座106を含む。一実施形態において、ガス流入台座(すなわち、シャワー台座)は、シャワーヘッドと台座を組み合わせたものであり、ウエハの裏側への堆積を可能にする。この電極の形状は、シャワーヘッドの特徴(ガス混合プレナム、穴、穴パターン、ガス噴射防止バッフル板など)と、台座の特徴(組み込み制御ヒータ、ウエハリフト機構、プラズマ抑制リング保持機能、可動性など)とを組み合わせたものである。これにより、台座からのRF電力の有無にかかわらず、ウエハの搬送およびガス処理が可能になる。
一実施形態において、システムは、電極に対する基板の平行度を厳密に制御できるウエハリフト機構を有する。一実施形態において、これは、リフト機構を2つの電極に対して平行に設定し、製造公差を制御することによって実現される(例えば、スピンドルまたはリフトピン機構)。別の実施形態は、ウエハリフト部品を上昇させることによって規定されるが、このオプションの場合、堆積される側を動的に制御することができない。
1つの構成において、リフト機構は、堆積プロセス中に距離を動的に制御して、堆積される側、堆積プロファイル、および堆積膜の特性を制御することを可能にする。システムはさらに、反応物を流す側を選択的に有効化/無効化することができる。一方の側は反応物を流し、他方の側は不活性ガスを流して堆積やプラズマを抑制することができる。
一実施形態において、プラズマまたは膜堆積を必要としないウエハの側との間隙を厳密に制御して、プラズマを抑制し、したがってプラズマ損傷を低減または無くしてもよい。一例として、このシステムは、約2mm~約0.5mm、別の実施形態では約1mm~約0.05の最小間隙(ウエハの反りによって制限される)を許容し、このような間隙を制御することができる。一実施形態において、この間隙は、プロセス条件に依存する。
一実施形態において、ガス流入台座(すなわち、シャワー台座)により、特に限定されないが、以下が可能になる。(a)処理前にウエハを処理温度で熱的に安定させる、(b)ウエハの裏側の異なる領域に選択的に膜を堆積させるためにシャワー台座上の穴パターンを選択的に設計する、(c)膜特性の所望の半径方向分布を達成しやすくなるプラズマ閉じ込め、穴パターン、および縁部インピーダンスを達成するために取り付けることができるキャリアリングまたは任意の複数の交換可能リング、(d)チャンバ内、および別のチャンバまたはカセットへウエハを外部搬送するための安定したウエハ搬送機構(リフトピン、RF結合機能、最小接触アレイなど)、(e)ガス混合機能(例えば、内側プレナム、バッフル板、マニホールドライン開口など)を実装する、(f)ガス流入台座(すなわち、シャワー台座)内に区画を追加して、ウエハの裏側の異なる領域への選択的なガス流を可能にし、流量コントローラおよび/または複数のプレナムを介して流量制御を可能にする、または、これらの機能の任意の組み合わせ。
別の実施形態において、ウエハリフト機構を用いた動的な間隙制御により、以下が可能になる。(a)堆積物または反応物流入電極から堆積を必要とするウエハの側までの距離を制御する、または両側に堆積できるように距離を中間に制御する、(b)リフト機構により堆積プロセス中に距離を動的に制御して、堆積される側、堆積プロファイル、および堆積膜の特性を制御する。別の実施形態において、ウエハの裏側に堆積させるための堆積モードでは、リソグラフィに関連する重ね合わせの問題を回避するために、膜縁部の排除を制御することが非常に望ましい。このシステムで用いられるリフト機構は、縁部への堆積を遮蔽するための設計特徴を有するキャリアリング124を介して行われる。これにより、キャリアリングの設計および形状を介した縁部の排除制御が規定される。
図4Aおよび図4Bはそれぞれ、複数の材料で形成されたウエハキャリアリング424の底部斜視図および上部斜視図である。図4Aおよび図4Bに示すように、キャリアリング424は、内側リング426および外側リング428から形成されてもよい。内側リング426は、第1のインピーダンスを有する第1の材料で形成されてもよく、外側リング428は、第1のインピーダンスと異なる第2のインピーダンスを有する第2の材料で形成されてもよい。一具体例として、内側リング426は、プラズマに対するインピーダンスが比較的低い1つ以上の金属で形成されてもよく、外側リング428は、プラズマに対するインピーダンスが比較的高い1つ以上のセラミックで形成されてもよい。
内側リング426の材料および/または外側リング428の材料は、所望の特性(応力レベル、厚さの均一性など)を有する膜が堆積されるように調整されたプラズマインピーダンスが得られるように選択されてもよい。例えば、所望の特性(周縁部に沿って厚さが増加するなど)を有する膜を堆積させるために、基板の周縁部に沿ってインピーダンスを比較的低くする必要がある場合、作製者は、アルミニウムなどの比較的低いインピーダンスレベルを有する1つ以上の第1の金属で形成された内側リング426を選択してもよい。これに対して、所望の特性(周縁部に沿って厚さが減少するなど)を有する膜を堆積させるために、基板の周縁部に沿ってインピーダンスを比較的高くする必要がある場合、作製者は、セラミックなどの誘電体材料で形成された内側リング426を選択してもよい。
一般に、内側リング426を金属材料で形成されたものに交換すると、処理されている基板の周縁部に沿って接地に対するインピーダンスが減少し、これにより、基板の周縁部に沿ってプラズマ密度が増加する場合がある。これに対して、内側リング426をセラミックなどの誘電体材料で形成されたものに交換すると、処理されている基板の周縁部に沿って接地に対するインピーダンスが増加し、これにより、基板の周縁部に沿ってプラズマ密度が減少する場合がある。いくつかの実施形態において、プラズマ密度の増加により、基板の周縁部に沿った膜厚が減少する場合がある。他の実施形態において、プロセス条件およびプロセスレシピパラメータに応じて、プラズマ密度の増加により、基板の周縁部に沿った膜厚が増加する場合がある。したがって、作製者は、適切なインピーダンスを得るために、内側リング426を金属材料で形成されたもの、または誘電体材料で形成されたものに交換することにより、基板の周縁部に沿った膜厚を調整することができる。そして、適切なインピーダンスを得ることにより、適切なプラズマ密度が得られ、これにより、プロセス条件およびプロセスレシピパラメータに応じて、基板の周縁部に沿って所望の膜厚を達成することができる。
いくつかの実施形態では、内側リング426は、外側リング428と取り外し可能に嵌合するように構成されてもよい。一例として、外側リング428は、溝、棚、張出部、または凹部などの係合特徴を有してもよく、内側リング426は、外側リング428の1つ以上の係合特徴の上または内部に載置されることなどによって、これら1つ以上の係合特徴と係合するように構成されてもよい。別の例では、内側リング426は、溝、棚、張出部、または凹部などの1つ以上の係合特徴を含んでもよく、外側リング428は、内側リング426の1つ以上の係合特徴と係合するように構成されてもよい。望ましい場合、外側リング428は1つ以上の第1の嵌合構造を含んでもよく、内側リング426は1つ以上の第2の嵌合構造を含んでもよく、第1および第2の嵌合構造は互いに係合して、内側リング426および外側リング428を互いに回転整列するように保持してもよい。一例として、内側リング426は、突出部430の形の1つ以上の嵌合構造を含んでもよい。突出部430は、外側リング428における凹部432の形の1つ以上の対応する嵌合構造と係合する。外側リング428の1つ以上の嵌合構造および内側リング426の1つ以上の嵌合構造は、外側リング428が内側リング426に対して、軸を中心とした第1の方向および軸を中心とした第2の方向に回転するのを防止するように構成されてもよい。内側リング426は外側リング428から分離できるので、異なる材料から形成される異なる内側リング426間で容易に交換することができ、ウエハキャリアリング424を迅速に調整することができる。
本明細書に開示する複数の材料で形成されたウエハキャリアリング424を、裏側への堆積および/または表側への堆積に利用してもよい。裏側への堆積および表側への堆積の両方において、ウエハキャリアリング424により、特に処理中の基板の周縁部に沿ったインピーダンスの調整機能を実現してもよい。
図5Aは、電気インピーダンスが半径方向に変化するウエハキャリアリング524の断面図であり、図5Bは、ウエハキャリアリング524内で半径方向に変化する電気インピーダンスの一例を示す図である。図5Bに示すように、ウエハキャリアリング524内の電気インピーダンスは、リング524内の半径方向位置に応じて比較的滑らかに変化してもよい(例えば、内側領域550のインピーダンスと外側領域554のインピーダンスとの間で比較的滑らかに移行してもよい)。
ウエハキャリアリング524は、リング524内の半径方向位置に応じて、異なる電気インピーダンスを有してもよい。一例として、内側領域550は、プラズマに対して第1の電気インピーダンスを有してもよく、中間領域552は、第2の電気インピーダンスを有してもよく、外側領域554は、第3の電気インピーダンスを有してもよい。第2の電気インピーダンスの大きさは、いくつかの例では、第1および第3の電気インピーダンスの大きさの間であってもよい。他の構成において、第2の電気インピーダンスの大きさは、第1および第3の電気インピーダンスの両方の大きさよりも小さいか、または大きい。いくつかの構成において、内側領域550は、中間領域552よりもプラズマに対する電気インピーダンスが低く、中間領域552は、外側領域554よりもプラズマに対する電気インピーダンスが低い。これにより、リング524によって運搬されるウエハの縁部が、より高強度のプラズマに曝露されるようにする。いくつかの実施形態において、ウエハキャリアリング524は、内周付近の内縁560と外周付近の外縁562との間でインピーダンスが比較的滑らかに変化する。
いくつかの実施形態では、ウエハキャリアリング524は、半径方向位置に応じて電気インピーダンスが変化する単一の部材から形成される。第1の例として、ウエハキャリアリング524の形状によって、図5Aおよび図5Bに示すように、インピーダンスを半径方向に変化させてもよい。具体的には、比較的薄い内側部分550は、比較的厚さが薄いために、より低いまたはより高い電気インピーダンスを有してもよい。さらに、外側部分554は、比較的厚くてもよく、その追加の厚さによって、より高いまたはより低い電気インピーダンスを有してもよい。ウエハキャリアリング524のインピーダンスが厚さの増加に伴って増加するか減少するかは、ウエハキャリアリング524がどの材料で形成されているかに応じて決まる。例として、金属は一般に、リング524における厚い領域であるほどインピーダンスが低くなり、リング524における薄い領域であるほどインピーダンスが高くなる。一方で、セラミックおよび他の絶縁体は一般に、リング524における薄い領域であるほどインピーダンスが低くなり、リング524における厚い領域であるほどインピーダンスが高くなる。
半径方向の位置に応じて電気インピーダンスが変化するようにウエハキャリアリング524を形成可能な別の例として、ウエハキャリアリング524は、リング524内で半径方向に変化する1つ以上の材料で形成されてもよい。一例として、ウエハキャリアリング524は、金属を含浸させたセラミックなどの媒体で形成されてもよく、金属密度は、半径方向に変化してもよい。このような例において、内側領域550は金属密度が比較的高く、したがってインピーダンスが比較的低くてもよく、外側領域554は金属密度が比較的低く、したがってインピーダンスが比較的高くてもよい。中間領域552は、内側領域550および外側領域554の密度およびインピーダンスの間の、中間の金属密度および中間のインピーダンスを有してもよい。このような例において、キャリアリング524は、プラズマがキャリアリング524をエッチング除去するのを防ぐために、比較的薄い保護カバーで被覆されてもよい。保護カバーの材料は、金属または他の好適な耐プラズマ材料とすることができる。このような保護カバーは、キャリアリング524が、十分な耐プラズマ性を有さない材料で形成される場合に所望されてもよい。
半径方向の位置に応じて電気インピーダンスが変化するようにウエハキャリアリング524を形成可能な別の例として、ウエハキャリアリング524は、永久的、半永久的、または取り外し可能に接合される複数の材料で形成されてもよい。一例として、内側領域550(例えば、領域A)は、プラズマに対して比較的低いインピーダンスを有する第1の金属で形成されてもよく、中間領域552(例えば、領域B)は、プラズマに対して中間のインピーダンスを有する第2の金属で形成されてもよく、外側領域554(例えば、領域C)は、プラズマに対して比較的高いインピーダンスを有する第3の金属で形成されてもよい。ここで、3つの金属のすべては、はんだ付け、溶接、接着、永久的もしくは半永久的な締結具、ならびに/または、これらと他の接合技術(化学的、機械的、磁気的、もしくは他の方式を問わず)との任意の組み合わせなどの任意の所望の技術によって永久的に接合される。
図5Aおよび図5Bに示すように、ウエハキャリアリング524は、切り欠き558または他の構造を含んでもよい。切り欠き558は、処理および/または搬送中にウエハを保持するように構成されてもよい。切り欠き558は、張出部とも呼ばれ、ウエハキャリアリング524の内周の周りに延びてもよい。
プラズマインピーダンスが半径方向に変化するウエハキャリアリング524を、裏側への堆積および/または表側への堆積に利用してもよい。裏側への堆積および表側への堆積の両方において、ウエハキャリアリング524により、特に処理中の基板の周縁部に沿ったインピーダンスの調整機能を実現してもよい。
図6は、上述したシステムを制御するための制御モジュール600を示す図である。一実施形態において、図1の制御モジュール110は、例示するコンポーネントのいくつかを含んでもよい。例えば、制御モジュール600は、プロセッサ、メモリ、および1つ以上のインタフェースを含んでもよい。制御モジュール600を用いて、検知値に部分的に基づいて、システム内の装置を制御してもよい。あくまでも一例として、制御モジュール600は、検知値および他の制御パラメータに基づいて、バルブ602、フィルタヒータ604、ポンプ606、および他の装置608のうちの1つ以上を制御してもよい。制御モジュール600は、あくまでも一例として、圧力計610、流量計612、温度センサ614、および/または他のセンサ616からの検知値を受信する。また、制御モジュール600を用いて、前駆体の供給および膜の堆積中のプロセス条件を制御してもよい。制御モジュール600は通常、1つ以上のメモリ装置および1つ以上のプロセッサを含む。
制御モジュール600は、前駆体供給システムおよび堆積装置の動作を制御してもよい。制御モジュール600は、特定のプロセスのプロセスタイミング、供給システム温度、各フィルタの圧力差、バルブ位置、ガスの混合、チャンバ圧力、チャンバ温度、ウエハ温度、RF電力レベル、ウエハチャックまたは台座位置、および他のパラメータを制御するための命令セットを含むコンピュータプログラムを実行する。また、制御モジュール600は、圧力差を監視し、蒸気前駆体の供給を1つ以上の経路から1つ以上の他の経路に自動的に切り替えてもよい。いくつかの実施形態において、制御モジュール600に関連付けられたメモリ装置に記憶された他のコンピュータプログラムを使用してもよい。
通常、制御モジュール600に関連付けられたユーザインタフェースが設けられる。ユーザインタフェースは、ディスプレイ618(装置および/またはプロセス条件の表示画面および/またはグラフィックソフトウェア表示)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力装置620とを含んでもよい。
プロセスシーケンスにおける前駆体の供給、堆積、および他のプロセスを制御するためのコンピュータプログラムコードは、例えばアセンブリ言語、C、C++、Pascal、Fortranなど、任意の従来のコンピュータ読み取り可能なプログラミング言語で記述することができる。コンパイルされたオブジェクトコードまたはスクリプトをプロセッサが実行することにより、プログラムで特定されたタスクを実行する。
制御モジュールのパラメータは、例えば、フィルタ圧力差、プロセスガス組成および流量、温度、圧力、プラズマ条件(RF電力レベルおよび低周波RF周波数など)、冷却ガス圧力、チャンバ壁温度などのプロセス条件に関する。
システムソフトウェアは、多くの異なる方法で設計または構成されてもよい。例えば、本発明の堆積プロセスを実行するのに必要なチャンバコンポーネントの動作を制御するために、様々なチャンバコンポーネントのサブルーチンまたは制御オブジェクトが記述されてもよい。このためのプログラムまたはプログラムの一部の例としては、基板位置決めコード、プロセスガス制御コード、圧力制御コード、ヒータ制御コード、およびプラズマ制御コードが挙げられる。
基板位置決めプログラムは、基板を台座またはチャックに載置するとともに、基板とチャンバの他の部品(ガス入口および/またはターゲットなど)との間の間隔を制御するために用いられるチャンバコンポーネントを制御するためのプログラムコードを含んでもよい。プロセスガス制御プログラムは、ガス組成および流量を制御するためのコードを含んでもよく、さらに任意で、堆積前にチャンバ内の圧力を安定させるために、チャンバにガスを流すためのコードを含んでもよい。フィルタ監視プログラムは、測定された差を所定の値と比較するコード、および/または経路を切り替えるためのコードを含む。圧力制御プログラムは、例えば、チャンバの排気システムにおけるスロットルバルブを調節することによってチャンバ内の圧力を制御するためのコードを含んでもよい。ヒータ制御プログラムは、前駆体供給システム、基板、および/またはシステムの他の部分のコンポーネントを加熱するための加熱ユニットへの電流を制御するためのコードを含んでもよい。あるいは、ヒータ制御プログラムは、ウエハチャックへの伝熱ガス(ヘリウムなど)の供給を制御してもよい。
堆積中に監視可能なセンサの例としては、マスフロー制御モジュール、圧力計610などの圧力センサ、および供給システム、台座またはチャックに配置された熱電対(例えば、温度センサ614)が挙げられる(ただし、これらに限定されない)。これらのセンサからのデータとともに、適切にプログラムされたフィードバックおよび制御アルゴリズムを用いて、所望のプロセス条件を維持してもよい。以上は、本発明の実施形態を単一チャンバまたはマルチチャンバ半導体処理ツールで実施することを説明したものである。
いくつかの実施形態において、プラズマは、1つ以上のプラズマモニタによってインサイチュで監視されてもよい。1つのシナリオでは、1つ以上の電圧、電流センサ(例えば、VIプローブ)によってプラズマ電力が監視されてもよい。別のシナリオでは、1つ以上の発光分光センサ(OES)によってプラズマ密度および/またはプロセスガス濃度が測定されてもよい。いくつかの実施形態において、このようなインサイチュのプラズマモニタからの測定値に基づいて、1つ以上のプラズマパラメータをプログラム的に調整してもよい。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループで用いられてもよい。いくつかの実施形態において、他のモニタを用いてプラズマおよび他のプロセス特性を監視してもよいことが理解される。このようなモニタは、赤外線(IR)モニタ、音響モニタ、圧力トランスデューサを含んでもよい(ただし、これらに限定されない)。
本開示の実施形態を実施するために、いずれの適切なチャンバを使用してもよい。堆積装置の例としては、ALTUS(登録商標)製品ファミリー、VECTOR(登録商標)製品ファミリー、および/もしくはSPEED(登録商標)製品ファミリーの装置(それぞれ、Lam Research Corp.(カリフォルニア州フリーモント)製)、または他の様々な市販の処理システムのいずれかが挙げられる(ただし、これらに限定されない)。2つ以上のステーションが同じ機能を実行してもよい。同様に、2つ以上のステーションが異なる機能を実行してもよい。各ステーションは、所望に応じて特定の機能/方法を実行するように設計/構成されてもよい。
システム制御ロジックは、任意の適切な方法で構成されてもよい。一般に、ロジックは、ハードウェアおよび/またはソフトウェアとして設計または構成されてもよい。駆動回路を制御するための命令は、ハードコード化されてもよいし、ソフトウェアとして提供されてもよい。命令は、「プログラミング」によって提供されてもよい。このようなプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、および特定のアルゴリズムをハードウェアとして実装した他のデバイスにおけるハードコード化されたロジックなど、任意の形態のロジックを含むものとして理解される。また、プログラミングは、汎用プロセッサ上で実行可能なソフトウェアまたはファームウェア命令を含むものとして理解される。システム制御ソフトウェアは、任意の適切なコンピュータ読み取り可能なプログラミング言語でコード化されてもよい。
プロセスシーケンスにおけるプロセスを制御するためのコンピュータプログラムコードは、例えばアセンブリ言語、C、C++、Pascal、Fortranなど、任意の従来のコンピュータ読み取り可能なプログラミング言語で記述することができる。コンパイルされたオブジェクトコードまたはスクリプトをプロセッサが実行することにより、プログラムで特定されたタスクを実行する。また、上述したように、プログラムコードはハードコード化されてもよい。
コントローラパラメータは、例えば、プロセスガス組成および流量、温度、圧力、冷却ガス圧力、基板温度、ならびにチャンバ壁温度などのプロセス条件に関する。これらのパラメータは、レシピとしてユーザに提供される。レシピは、ユーザインタフェースを利用して入力されてもよい。プロセスを監視するための信号は、システムコントローラのアナログおよび/またはデジタル入力接続によって提供されてもよい。プロセスを制御するための信号は、堆積装置のアナログおよびデジタル出力接続に載せて出力されてもよい。
システムソフトウェアは、多くの異なる方法で設計または構成されてもよい。例えば、本開示の実施形態に係る堆積プロセス(および、場合によっては他のプロセス)を実行するのに必要なチャンバコンポーネントの動作を制御するために、様々なチャンバコンポーネントのサブルーチンまたは制御オブジェクトが記述されてもよい。このためのプログラムまたはプログラムの一部の例としては、基板位置決めコード、プロセスガス制御コード、圧力制御コード、およびヒータ制御コードが挙げられる。
いくつかの実装形態において、コントローラはシステムの一部であり、システムは上述した例の一部であってもよい。このようなシステムは、1つ以上の処理ツール、1つ以上のチャンバ、1つ以上の処理用プラットフォーム、および/または特定の処理用コンポーネント(ウエハ台座やガス流量システムなど)を含む半導体処理装置を含むことができる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、処理後におけるシステムの動作を制御するための電子機器と一体化されてもよい。電子機器は「コントローラ」と呼ぶこともでき、1つ以上のシステムの様々なコンポーネントまたはサブ部品を制御してもよい。コントローラは、処理要件および/またはシステムの種類に応じて、本明細書に開示するプロセスのいずれも制御するようにプログラムされてもよい。これらのプロセスには、プロセスガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、いくつかのシステムにおける無線周波数(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールに対するウエハの搬入出、ならびに、特定のシステムと接続または連携されたその他の搬送ツールおよび/またはロードロックに対するウエハの搬入出が含まれる。
広義には、コントローラは、様々な集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器として定義されてもよく、命令の受信、命令の送出、動作の制御、洗浄動作の有効化、エンドポイント測定の有効化などを行う。集積回路は、プログラム命令を記憶するファームウェアとしてのチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つ以上のマイクロプロセッサ、もしくはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含んでもよい。プログラム命令は、様々な個別の設定(またはプログラムファイル)としてコントローラに通信される命令であってもよく、半導体ウエハ上でもしくは半導体ウエハ用に、またはシステムに対して、特定のプロセスを実施するための動作パラメータを定義する。いくつかの実施形態において、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハダイの製造において1つ以上の処理工程を達成するためにプロセスエンジニアによって定義されるレシピの一部であってもよい。
いくつかの実装形態において、コントローラは、コンピュータの一部であってもよいし、コンピュータに結合されていてもよい。ここで、コンピュータは、システムと一体化しているか、システムに結合されているか、その他の形でシステムとネットワーク接続されているか、これらを組み合わせた形態をとる。例えば、コントローラは、「クラウド」上に存在してもよいし、工場ホストコンピュータシステムのすべてまたは一部に存在してもよい。これにより、ウエハ処理のリモートアクセスが可能になる。コンピュータは、システムへのリモートアクセスを有効化して、製造工程の進捗状況の監視、過去の製造工程履歴の調査、または複数の製造工程から傾向もしくは性能指標の調査を行うことができ、現在の処理のパラメータを変更したり、現在の処理に続く処理工程を設定したり、新たなプロセスを開始したりできる。いくつかの例において、リモートコンピュータ(例えば、サーバ)からシステムに対して、ネットワークを介してプロセスレシピを提供できる。ここで、ネットワークは、ローカルネットワークまたはインターネットを含んでもよい。リモートコンピュータは、パラメータおよび/または設定の入力やプログラミングを可能にするユーザインタフェースを含んでもよい。これらのパラメータおよび/または設定はその後、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データとして命令を受信する。このデータは、1つ以上の動作において実行される各処理工程のパラメータを指定する。なお、これらのパラメータは、実行するプロセスの種類、およびコントローラが連携または制御するように構成されているツールの種類に対して固有のパラメータであってもよいことを理解されたい。したがって、上述したように、コントローラは、1つ以上の個別のコントローラを備えることなどによって分散されてもよい。これらの個別のコントローラはネットワーク化され、本明細書に記載のプロセスおよび制御といった共通の目的に向けて動作する。このような目的のための分散コントローラの一例として、(例えばプラットフォームレベルで、または遠隔コンピュータの一部として)遠隔設置された1つ以上の集積回路と通信するチャンバに搭載された1つ以上の集積回路が挙げられる。これらの集積回路は協働して、チャンバにおけるプロセスを制御する。
システムの非限定的な例として、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相堆積(PVD:Physical Vapor Deposition)チャンバまたはモジュール、化学気相堆積(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE:Atomic Layer Etch)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに半導体ウエハの製造および/または生産に関連するかもしくは使用可能なその他のあらゆる半導体処理システムが挙げられる。
本願において、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」および「部分的に製造された集積回路」という用語は、互換的に用いられる。「部分的に製造された集積回路」という用語が、集積回路製造の多数の工程のいずれかにおけるシリコンウエハを意味し得ることは、当業者であれば理解できる。半導体装置の分野で用いられるウエハまたは基板の直径は通常、200mmまたは300mmであるが、直径450mm基板の採用も進んでいる。本明細書では、ウエハ基板の異なる側を説明するために、「表」および「裏」という用語を用いている。表側は、ほとんどの堆積および処理が行われる側であり、半導体装置自体が製造される側であることが理解される。裏側はウエハの反対側であり、通常、製造中に行われる処理は最小限であるか、またはまったく処理が行われない。
本明細書で提供される流量および電力レベルは、別段の指定がない限り、300mm基板での処理に適切である。当業者であれば、他のサイズの基板についてこれらの流量および電力レベルを適宜調整してもよいことが理解される。以下の詳細な説明では、本発明がウエハに対して実施されることを前提としている。しかし、本発明はこれに限定されない。ワークピースは、種々の形状、サイズおよび材料で構成されてもよい。半導体ウエハの他に、本発明を利用可能なワークピースとして、プリント回路基板などの各種の物品が含まれる。
本明細書に記載の装置/プロセスを、例えば、半導体装置、ディスプレイ、LED、太陽電池パネルなどを製造もしくは生産するためのリソグラフィパターニングツールまたはプロセスと組み合わせて用いてもよい。(必ずしもそうではないが)典型的には、このようなツール/プロセスは、共通の製造施設において一緒に用いられるかまたは実行される。典型的には、膜のリソグラフィパターニングは以下の工程の一部または全部を含み、各工程は多くの利用可能なツールによって実行可能である。(1)スピンオンツールまたはスプレーオンツールを用いてワークピース、すなわち基板にフォトレジストを塗布する工程、(2)ホットプレート、炉、またはUV硬化装置を用いてフォトレジストを硬化する工程、(3)ウエハステッパなどのツールを用いてフォトレジストを可視光、紫外線、またはX線に露光する工程、(4)ウェットベンチなどのツールを用いて、レジストを選択的に除去するようにレジストを現像して、レジストパターンを形成する工程、(5)ドライエッチングツールまたはプラズマエッチングツールを用いて、レジストパターンを下層の膜またはワークピースに転写する工程、および(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。
[総括]
明確な理解に資する目的で上記の実施形態をある程度詳細に説明してきたが、添付の特許請求の範囲内で、一部変更や変形を行ってもよいことは明らかである。なお、本実施形態のプロセス、システムおよび装置を実現する方法として多くの代替方法が存在する。したがって、本実施形態は、あくまでも例示であって本開示を限定しないと考えられるべきであり、実施形態は、本明細書に記載の詳細に限定されない。
別の実施形態において、シャワー台座およびシャワーヘッドは、適切な反応物混合を可能にし、ウエハの裏側または表側でのPECVD堆積プロセスのための適切な流体力学を実現するシャワーヘッドに似た特徴を提供する構成を含む。さらに、いくつかの実施形態では、堆積のためにウエハの所望の(一方または両方の)側に対するプラズマを抑制または許容できるように、間隙を制御可能とする。制御される間隙は、例えば、図1Aおよび図1Bに示すように、ウエハの上側とシャワーヘッド104の面との間の間隙と、図1Aおよび図1Bに示すように、ウエハの裏側とシャワー台座106の上面との間の間隙とを含むことができる。例えば、ウエハの裏側への堆積中、ウエハの上側とシャワーヘッドの上面との間の隙間は最小化される。
図3は、搬入ロードロック148および搬出ロードロック140を備えたマルチステーション処理ツールの一実施形態の概略図である。ロボット142は、大気圧下で、ポッド150を通じてロードされたカセットから、大気圧ポート144を介して搬入ロードロック148内に基板128を移動させるように構成される。搬入ロードロック148は、真空源(図示しない)に結合されている。これにより、大気圧ポート144が閉じられると、搬入ロードロック148は排気されてもよい。搬入ロードロック148はまた、処理チャンバ102と接するチャンバ搬送ポート146を含む。したがって、チャンバ搬送ポート146が開いたとき、別のロボット(図示しない)が、処理に供するために基板を搬入ロードロック148から第1のプロセスステーションのシャワー台座106に移動させてもよい。
図示の処理チャンバ102は、図3に示す実施形態において1~4の番号が付された4つのプロセスステーションを含む。いくつかの実施形態において、処理チャンバ102は、基板が真空破壊および/または空気への曝露を受けることなくプロセスステーション間でキャリアリング124によって搬送できるように、低圧環境を維持するように構成されてもよい。図3aに示す各プロセスステーションは、裏側への堆積を行うときにプロセスガスを供給するように構成されたシャワー台座106を含む。裏側への堆積中、スペーサまたはスパイダーフォークによってウエハをシャワー台座106から持ち上げるか否かにかかわらず、シャワーヘッド104は、ウエハ128の上面上への堆積を防止または低減するために基板の上面上に不活性ガスを供給するよう構成される。
図3はまた、処理チャンバ102内でウエハを搬送し、裏側への堆積中にウエハ128を持ち上げるためのスパイダーフォーク132を図示している。また、以下でより詳細に説明するように、スパイダーフォーク132は回転して、1つのステーションから別のステーションへとウエハを搬送することができる。搬送は、スパイダーフォーク132がキャリアリング124を外側の下面から持ち上げられるようにすることによって行われる。そして、これによりウエハを持ち上げ、次にウエハおよびキャリアリング124を一緒に次のステーションまで回転させる。1つの構成において、スパイダーフォーク132は、処理中の高熱に耐えられるようにセラミック材料で形成される。
他の実施形態において、スパイダーフォーク132を用いてウエハの持ち上げと搬送を行う代わりに、パドル型の構造もウエハを持ち上げて搬送するように機能することができる。パドルは、スパイダーフォーク132を据えるのと同様にステーション間に配置することができ、同じように機能することができる。したがって、理解を容易にするために、スパイダーフォーク132に関する言及はパドル構成にも適用されると理解されたい。パドル構成は、(例えば、ウエハ裏側への堆積中の)持ち上げと、ステーション間の搬送とを制御することができる。
[総括]
明確な理解に資する目的で上記の実施形態をある程度詳細に説明してきたが、添付の特許請求の範囲内で、一部変更や変形を行ってもよいことは明らかである。なお、本実施形態のプロセス、システムおよび装置を実現する方法として多くの代替方法が存在する。したがって、本実施形態は、あくまでも例示であって本開示を限定しないと考えられるべきであり、実施形態は、本明細書に記載の詳細に限定されない。例えば、本開示は以下の形態として実現してもよい。
[形態1]
キャリアリングであって、
誘電体材料で形成され、係合特徴を有する外側リングと、
金属で形成された内側リングと、を備え、
前記内側リングは、前記外側リングの前記係合特徴と係合し、前記内側リングは、処理チャンバ内で処理中の半導体ウエハを支持するように構成される、
キャリアリング。
[形態2]
形態1に記載のキャリアリングであって、
前記誘電体材料は、セラミックを含む、
キャリアリング。
[形態3]
形態1または2に記載のキャリアリングであって、
前記金属は、アルミニウムを含む、
キャリアリング。
[形態4]
形態1または2に記載のキャリアリングであって、
前記外側リングは第1の嵌合構造を含み、前記内側リングは第2の嵌合構造を含み、前記第1の嵌合構造および前記第2の嵌合構造は互いに係合して、前記内側リングおよび前記外側リングを回転整列するように保持する、
キャリアリング。
[形態5]
形態4に記載のキャリアリングであって、
前記外側リングの前記第1の嵌合構造および前記内側リングの前記第2の嵌合構造は全体として、前記外側リングが前記内側リングに対して、軸を中心とした第1の方向および前記軸を中心とした第2の方向に回転するのを防止するように構成される、
キャリアリング。
[形態6]
形態5に記載のキャリアリングであって、
前記第1の嵌合構造は、前記外側リングに設けられた少なくとも1つの凹部を含み、前記第2の嵌合構造は、前記内側リングに設けられた少なくとも1つの突出部を含む、
キャリアリング。
[形態7]
形態1または2に記載のキャリアリングであって、
前記係合特徴は張出部を含み、前記内側リングは前記張出部に載置されるように構成される、
キャリアリング。
[形態8]
プラズマ処理システムであって、
シャワー台座と、
基板支持台と、
を備え、
前記基板支持台は、
第1のプラズマインピーダンスを有し、前記シャワー台座から離間した関係で基板を保持するように構成された内側部分と、
前記第1のプラズマインピーダンスと異なる第2のプラズマインピーダンスを有する外側部分と、を含む、
プラズマ処理システム。
[形態9]
形態8に記載のプラズマ処理システムであって、
前記基板支持台は、第3のプラズマインピーダンスを有する追加の内側部分をさらに備え、前記第3のプラズマインピーダンスは、前記第1のプラズマインピーダンスおよび前記第2のプラズマインピーダンスの両方と異なり、前記基板支持台は、前記内側部分ではなく前記追加の内側部分が、前記シャワー台座から離間した関係で前記基板を保持するように構成されるように、前記内側部分が前記追加の内側部分と交換可能なように構成される、
プラズマ処理システム。
[形態10]
形態8または9に記載のプラズマ処理システムであって、
前記内側部分は内側リングを含み、前記外側部分は外側リングを含み、前記内側リングおよび前記外側リングはそれぞれ、前記内側リングを前記外側リングと回転整列するように保持するように構成された1つ以上の嵌合構造を含む、
プラズマ処理システム。
[形態11]
形態10に記載のプラズマ処理システムであって、
前記外側リングの前記1つ以上の嵌合構造および前記内側リングの前記1つ以上の嵌合構造は全体として、前記外側リングが前記内側リングに対して、軸を中心とした第1の方向および前記軸を中心とした第2の方向に回転するのを防止するように構成される、
プラズマ処理システム。
[形態12]
形態8または9に記載のプラズマ処理システムであって、
前記内側部分は、金属を含む、
プラズマ処理システム。
[形態13]
形態12に記載のプラズマ処理システムであって、
前記外側部分は、誘電体を含む、
プラズマ処理システム。
[形態14]
形態12に記載のプラズマ処理システムであって、
前記外側部分は、セラミックを含む、
プラズマ処理システム。
[形態15]
形態8または9に記載のプラズマ処理システムであって、
前記基板支持台は、キャリアリングを含み、前記プラズマ処理システムは、
前記シャワー台座を含む第1の処理チャンバと、
第2の処理チャンバと、
前記キャリアリングを前記第1の処理チャンバから前記第2の処理チャンバに移動させるように構成されたインデクサと、をさらに含み、
前記キャリアリングは、前記インデクサが前記キャリアリングを前記第1の処理チャンバから前記第2の処理チャンバに移動させる間、前記基板を保持するように構成される、
プラズマ処理システム。
[形態16]
形態8または9に記載のプラズマ処理システムであって、
前記シャワー台座に結合され、ガス源からガスを供給するように構成されたガスマニフェストと、
前記基板が前記シャワー台座から前記離間した関係で前記内側部分によって支持されている間に、前記基板の裏側に膜を堆積させる一部として、前記ガスからプラズマを発生させるために前記シャワー台座に電力を供給するように構成された無線周波数(RF)電源と、
をさらに含む、プラズマ処理システム。
[形態17]
形態8または9に記載のプラズマ処理システムであって、
前記内側部分および前記外側部分は共通の材料で形成され、前記内側部分は第1の厚さを有し、前記外側部分は第2の厚さを有し、前記第1の厚さは、前記第2のプラズマインピーダンスが前記第1のプラズマインピーダンスと異なるものとなるように、前記第2の厚さよりも小さい、
プラズマ処理システム。
[形態18]
形態8または9に記載のプラズマ処理システムであって、
前記内側部分および前記外側部分は、第1の材料および半径方向に濃度が変化する第2の材料で形成され、前記内側部分は前記第2の材料の第1の濃度を有し、前記外側部分は前記第2の材料の第2の濃度を有し、前記第1の濃度は、前記第2のプラズマインピーダンスが前記第1のプラズマインピーダンスと異なるものとなるように、前記第2の濃度と異なる、
プラズマ処理システム。
[形態19]
形態8または9に記載のプラズマ処理システムであって、
前記内側部分および前記外側部分は、第1の材料および前記第1の材料内で半径方向に濃度が変化する導体で形成され、前記内側部分は前記導体の第1の濃度を有し、前記外側部分は前記導体の第2の濃度を有し、前記第1の濃度は、前記内側部分に関連する前記第1のプラズマインピーダンスが前記外側部分に関連する前記第2のプラズマインピーダンスよりも大きくなるように、前記第2の濃度よりも大きい、
プラズマ処理システム。
[形態20]
形態8または9に記載のプラズマ処理システムであって、
前記内側部分は、張出部を有する内周を含み、前記張出部は、前記基板を前記シャワー台座から前記離間した関係で保持するように構成される、
プラズマ処理システム。

Claims (20)

  1. キャリアリングであって、
    誘電体材料で形成され、係合特徴を有する外側リングと、
    金属で形成された内側リングと、を備え、
    前記内側リングは、前記外側リングの前記係合特徴と係合し、前記内側リングは、処理チャンバ内で処理中の半導体ウエハを支持するように構成される、
    キャリアリング。
  2. 請求項1に記載のキャリアリングであって、
    前記誘電体材料は、セラミックを含む、
    キャリアリング。
  3. 請求項1または2に記載のキャリアリングであって、
    前記金属は、アルミニウムを含む、
    キャリアリング。
  4. 請求項1または2に記載のキャリアリングであって、
    前記外側リングは第1の嵌合構造を含み、前記内側リングは第2の嵌合構造を含み、前記第1の嵌合構造および前記第2の嵌合構造は互いに係合して、前記内側リングおよび前記外側リングを回転整列するように保持する、
    キャリアリング。
  5. 請求項4に記載のキャリアリングであって、
    前記外側リングの前記第1の嵌合構造および前記内側リングの前記第2の嵌合構造は全体として、前記外側リングが前記内側リングに対して、軸を中心とした第1の方向および前記軸を中心とした第2の方向に回転するのを防止するように構成される、
    キャリアリング。
  6. 請求項5に記載のキャリアリングであって、
    前記第1の嵌合構造は、前記外側リングに設けられた少なくとも1つの凹部を含み、前記第2の嵌合構造は、前記内側リングに設けられた少なくとも1つの突出部を含む、
    キャリアリング。
  7. 請求項1または2に記載のキャリアリングであって、
    前記係合特徴は張出部を含み、前記内側リングは前記張出部に載置されるように構成される、
    キャリアリング。
  8. プラズマ処理システムであって、
    シャワー台座と、
    基板支持台と、
    を備え、
    前記基板支持台は、
    第1のプラズマインピーダンスを有し、前記シャワー台座から離間した関係で基板を保持するように構成された内側部分と、
    前記第1のプラズマインピーダンスと異なる第2のプラズマインピーダンスを有する外側部分と、を含む、
    プラズマ処理システム。
  9. 請求項8に記載のプラズマ処理システムであって、
    前記基板支持台は、第3のプラズマインピーダンスを有する追加の内側部分をさらに備え、前記第3のプラズマインピーダンスは、前記第1のプラズマインピーダンスおよび前記第2のプラズマインピーダンスの両方と異なり、前記基板支持台は、前記内側部分ではなく前記追加の内側部分が、前記シャワー台座から離間した関係で前記基板を保持するように構成されるように、前記内側部分が前記追加の内側部分と交換可能なように構成される、
    プラズマ処理システム。
  10. 請求項8または9に記載のプラズマ処理システムであって、
    前記内側部分は内側リングを含み、前記外側部分は外側リングを含み、前記内側リングおよび前記外側リングはそれぞれ、前記内側リングを前記外側リングと回転整列するように保持するように構成された1つ以上の嵌合構造を含む、
    プラズマ処理システム。
  11. 請求項10に記載のプラズマ処理システムであって、
    前記外側リングの前記1つ以上の嵌合構造および前記内側リングの前記1つ以上の嵌合構造は全体として、前記外側リングが前記内側リングに対して、軸を中心とした第1の方向および前記軸を中心とした第2の方向に回転するのを防止するように構成される、
    プラズマ処理システム。
  12. 請求項8または9に記載のプラズマ処理システムであって、
    前記内側部分は、金属を含む、
    プラズマ処理システム。
  13. 請求項12に記載のプラズマ処理システムであって、
    前記外側部分は、誘電体を含む、
    プラズマ処理システム。
  14. 請求項12に記載のプラズマ処理システムであって、
    前記外側部分は、セラミックを含む、
    プラズマ処理システム。
  15. 請求項8または9に記載のプラズマ処理システムであって、
    前記基板支持台は、キャリアリングを含み、前記プラズマ処理システムは、
    前記シャワー台座を含む第1の処理チャンバと、
    第2の処理チャンバと、
    前記キャリアリングを前記第1の処理チャンバから前記第2の処理チャンバに移動させるように構成されたインデクサと、をさらに含み、
    前記キャリアリングは、前記インデクサが前記キャリアリングを前記第1の処理チャンバから前記第2の処理チャンバに移動させる間、前記基板を保持するように構成される、
    プラズマ処理システム。
  16. 請求項8または9に記載のプラズマ処理システムであって、
    前記シャワー台座に結合され、ガス源からガスを供給するように構成されたガスマニフェストと、
    前記基板が前記シャワー台座から前記離間した関係で前記内側部分によって支持されている間に、前記基板の裏側に膜を堆積させる一部として、前記ガスからプラズマを発生させるために前記シャワー台座に電力を供給するように構成された無線周波数(RF)電源と、
    をさらに含む、プラズマ処理システム。
  17. 請求項8または9に記載のプラズマ処理システムであって、
    前記内側部分および前記外側部分は共通の材料で形成され、前記内側部分は第1の厚さを有し、前記外側部分は第2の厚さを有し、前記第1の厚さは、前記第2のプラズマインピーダンスが前記第1のプラズマインピーダンスと異なるものとなるように、前記第2の厚さよりも小さい、
    プラズマ処理システム。
  18. 請求項8または9に記載のプラズマ処理システムであって、
    前記内側部分および前記外側部分は、第1の材料および半径方向に濃度が変化する第2の材料で形成され、前記内側部分は前記第2の材料の第1の濃度を有し、前記外側部分は前記第2の材料の第2の濃度を有し、前記第1の濃度は、前記第2のプラズマインピーダンスが前記第1のプラズマインピーダンスと異なるものとなるように、前記第2の濃度と異なる、
    プラズマ処理システム。
  19. 請求項8または9に記載のプラズマ処理システムであって、
    前記内側部分および前記外側部分は、第1の材料および前記第1の材料内で半径方向に濃度が変化する導体で形成され、前記内側部分は前記導体の第1の濃度を有し、前記外側部分は前記導体の第2の濃度を有し、前記第1の濃度は、前記内側部分に関連する前記第1のプラズマインピーダンスが前記外側部分に関連する前記第2のプラズマインピーダンスよりも大きくなるように、前記第2の濃度よりも大きい、
    プラズマ処理システム。
  20. 請求項8または9に記載のプラズマ処理システムであって、
    前記内側部分は、張出部を有する内周を含み、前記張出部は、前記基板を前記シャワー台座から前記離間した関係で保持するように構成される、
    プラズマ処理システム。
JP2022579931A 2020-06-25 2021-06-21 プラズマインピーダンスが半径方向に変化するキャリアリング Pending JP2023532276A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062705412P 2020-06-25 2020-06-25
US62/705,412 2020-06-25
PCT/US2021/038210 WO2021262583A1 (en) 2020-06-25 2021-06-21 Carrier rings with radially-varied plasma impedance

Publications (1)

Publication Number Publication Date
JP2023532276A true JP2023532276A (ja) 2023-07-27

Family

ID=79281726

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022579931A Pending JP2023532276A (ja) 2020-06-25 2021-06-21 プラズマインピーダンスが半径方向に変化するキャリアリング

Country Status (5)

Country Link
US (1) US20230238223A1 (ja)
JP (1) JP2023532276A (ja)
KR (2) KR20220104300A (ja)
CN (1) CN115885061A (ja)
WO (1) WO2021262583A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230156441A (ko) * 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
CN116288281A (zh) 2020-02-11 2023-06-23 朗姆研究公司 用于控制晶片晶边/边缘上的沉积的承载环设计

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8865602B2 (en) * 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
US20150001180A1 (en) * 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US10211046B2 (en) * 2013-07-19 2019-02-19 Applied Materials, Inc. Substrate support ring for more uniform layer thickness
US10475627B2 (en) * 2016-03-25 2019-11-12 Lam Research Corporation Carrier ring wall for reduction of back-diffusion of reactive species and suppression of local parasitic plasma ignition
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate

Also Published As

Publication number Publication date
US20230238223A1 (en) 2023-07-27
WO2021262583A1 (en) 2021-12-30
CN115885061A (zh) 2023-03-31
KR20230152801A (ko) 2023-11-03
KR20220104300A (ko) 2022-07-26

Similar Documents

Publication Publication Date Title
US11851760B2 (en) PECVD deposition system for deposition on selective side of the substrate
US11946142B2 (en) Spatially tunable deposition to compensate within wafer differential bow
US20230238223A1 (en) Carrier rings with radially-varied plasma impedance
KR102494202B1 (ko) 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230517