JP2022545273A - 温度制御型のシャンデリア型シャワーヘッド - Google Patents

温度制御型のシャンデリア型シャワーヘッド Download PDF

Info

Publication number
JP2022545273A
JP2022545273A JP2022512291A JP2022512291A JP2022545273A JP 2022545273 A JP2022545273 A JP 2022545273A JP 2022512291 A JP2022512291 A JP 2022512291A JP 2022512291 A JP2022512291 A JP 2022512291A JP 2022545273 A JP2022545273 A JP 2022545273A
Authority
JP
Japan
Prior art keywords
stem
plate assembly
cooling
cooling channel
cooling plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022512291A
Other languages
English (en)
Inventor
ルオ・ビン
トマス・ティモシー・スコット
シック・マシュー・ビー.
ウィルツ・ジョン・マイケル
ドネリー・ショーン・エム.
セレップ・マイケル・ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022545273A publication Critical patent/JP2022545273A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

【解決手段】高温用途でのシャワーヘッドの熱制御を促進するように設計された様々な特徴を含む半導体処理装置のシャワーヘッドを開示する。【選択図】図2

Description

[参照による援用]
本出願の一部としてPCT出願書様式を本明細書と同時に出願する。本出願が主張している、同時出願したPCT出願書様式に記載されている各出願の利益または各出願対する優先権を、あらゆる目的のために参照によりその全容を本願に援用する。
半導体処理ツールは多くの場合、処理ガスを半導体基板またはウエハ全体に比較的均等に分散させるように設計された構成要素を備えている。このような構成要素は、業界では一般に「シャワーヘッド」と呼ばれる。シャワーヘッドは通常、半導体基板またはウエハが処理されるとしてよい半導体処理容積に対面するフェースプレートを有する。フェースプレートは、複数のガス分散口を有していてよく、このガス分散口が、プレナム容積内のガスを、フェースプレートを通して基板とフェースプレートとの間(またはウエハを支持しているウエハ支持体とフェースプレートとの間)の反応空間に流入させる。シャワーヘッドは通常、埋め込み型とシャンデリア型のカテゴリに大きく分類される。埋め込み型のシャワーヘッドは通常、処理チャンバの蓋に組み込まれている。すなわちシャワーヘッドは、シャワーヘッドとチャンバの蓋の両方の機能を果たす。シャンデリア型のシャワーヘッドは、処理チャンバの蓋としては機能せず、代わりにステムを介して半導体処理チャンバ内に懸架され、ステムは、このようなシャワーヘッドをこのようなチャンバの蓋に接続し、処理ガスをこのようなシャワーヘッドに送達するための流体の流路または通路を作る機能を果たす。
本明細書に記載した主題の1つ以上の実施態様の詳細を添付の図面と以下の説明文に記載している。その他の特徴、態様、および利点は、本文、図面、および特許請求の範囲から明らかになるであろう。
いくつかの実施態様では、シャワーヘッドを有する装置が提供される。シャワーヘッドは、フェースプレートおよびバックプレートを有し、ガス分散プレナムがフェースプレートとバックプレートとの間に介在する状態であってよい。シャワーヘッドは、ステムも有していてよく、ステムは、ガス入口、1つ以上のヒータ要素、および冷却プレートアッセンブリを有する。このようなシャワーヘッドでは、ステムは、冷却プレートアッセンブリで支持されてよく、冷却プレートアッセンブリから中心軸に沿って延在していてよい。また、1つ以上のヒータ要素は、ステムの中に少なくとも部分的に位置していてよく、少なくとも中心軸に平行な方向に沿って延在していてよく、冷却プレートアッセンブリは、内冷却チャネルおよび外冷却チャネルを有していてよく、外冷却チャネルは、中心軸に沿って見た場合の内冷却チャネルの周りに延在していてよく、内冷却チャネルと外冷却チャネルは両方とも、中心軸に沿って見た場合の1つ以上のヒータ要素の周りに延在していてよい。
いくつかのこのような実施態様では、ステムベースも含まれてよい。ステムベースは、バックプレートとステムとの間に介在してよく、中心軸に沿って見た場合にサイズがステムよりも大きく、中心軸に沿って見た場合にサイズがバックプレートよりも小さい。
いくつかの実施態様では、ステムベースは、中心軸に沿って見た場合のステムベースの外周に沿って配置された複数のスカラップを含んでいてよく、バックプレートは、それに対応する複数の溶接アクセス孔を含んでいてよく、各溶接アクセス孔は、スカラップのうちの1つと並置されてよい。
いくつかの別の実施態様では、1つ以上のヒータ要素の各々は、冷却プレートアッセンブリからガス分散プレナムとステムベースとの間の場所まで延在していてよい。
いくつかの実施態様では、ヒータ要素は少なくとも3つあってよい。
いくつかの実施態様では、冷却プレートアッセンブリは、第1のプレートおよび第2のプレートを含んでいてよく、第1のプレートの第1の面は、第2のプレートの第2の面に接着されてよく、内冷却チャネルは、第2のプレートの第2の面の中に第1の面から離れて延在してよく、第1のプレートは、1つ以上の突起部を有していてよく、突起部は、第1の面から内冷却チャネルの1つ以上の対応する部分の中を通ってバックプレートに向かって延在する。
いくつかの実施態様では、内冷却チャネルは、内側壁および外側壁を有していてよく、内側壁は、外側壁に取り囲まれていてよく、内側壁は、第1の放射状パターンに配置された第1の複数の第1の凸状突出部を有していてよい。
いくつかの実施態様では、各突起部は、第1の凹状のくぼみを有していてよく、第1の凹状のくぼみの中に第1の凸状突出部のうちの1つが収まる。いくつかの別の実施態様では、内側壁は、第2の放射状パターンに配置された第2の複数の第2の凸状突出部を有していてよい。いくつかの追加の実施態様では、外側壁は、第3の放射状パターンに配置された複数の第3の凸状突出部を有していてよい。さらにいくつかの別の実施態様では、各々の第1の凸状突出部は、対応する第3の凸状突出部のうちの1つから内冷却チャネルを横切って位置していてよい。
いくつかの実施態様では、各突起部は、その突起部の第1の凹状のくぼみに対面する突起部の側に第2の凹状のくぼみを有していてよく、第3の凸状突出部のうちの1つは、第2の凹状のくぼみの各々の中に収まるとしてよい。
いくつかの実施態様では、各々の第2の凸状突出部は、2つの隣接する第3の凸状突出部の間で周沿いに介在してよい。
いくつかの実施態様では、突起部は3つあってよい。
いくつかの実施態様では、各突起部と第2のプレートとの間には間隙があってよい。
いくつかの実施態様では、1つ以上の突起部の少なくとも第1の突起部は、第2のプレートと接触していなくてよい。
いくつかの実施態様では、冷却プレートアッセンブリは、複数の貫通孔を有していてよく、ステムは、ステムの上面に複数のねじ孔を有していてよく、各ねじ孔は、冷却プレートアッセンブリの貫通孔のうちの1つと同一線上にあってよく、ステムの上面は、冷却プレートアッセンブリの下面に当接してよく、対応するクランプ固定具が、冷却プレートアッセンブリの各貫通孔に挿入されて、それと同一線上のステムのねじ孔にねじ込まれてよく、ステムの上面と冷却プレートアッセンブリの下面のいずれか一方または両方にザグリがあってよく、各ザグリは、冷却プレートアッセンブリを通る貫通孔のうちの1つに中心があってよい。いくつかのこのような実施態様では、ザグリは、ステムの上面にあってよい。いくつかの他の実施態様または代替となるこのような実施態様では、ねじ孔は、螺旋インサートによってできるねじ山を有していてよい。
本明細書に記載した主題の1つ以上の実施態様の詳細は、添付の図面および以下の説明に記載している。その他の特徴、態様および利点は、説明文、図面、および特許請求の範囲から明らかになるであろう。以下の図面の相対寸法は、縮尺通りではないことがある点に留意されたい。
本明細書に開示した様々な実施態様は、添付の図面では例として例示しているのであって限定的に例示しているのではなく、図面では同類の符号は同様の要素を指している。
温度制御型シャワーヘッドの例の等角図である。
図1の温度制御型シャワーヘッドの例の等角破断図である。
図1の温度制御型シャワーヘッドの例の上断面図である。
図1の温度制御型シャワーヘッドの例の別の等角破断図である。
内冷却チャネルの構成が異なる温度制御型シャワーヘッドの例の図である。
内冷却チャネルの構成が異なる温度制御型シャワーヘッドの別の例の図である。
図4の一部の詳細図である。
2つの部材の間のねじ山接合の概略図である。
2つの部材の間の別のねじ山接合の概略図である。
図1の温度制御型シャワーヘッドの一部の等角部分分解図である。
図10の温度制御型シャワーヘッドの部分の別の等角部分分解図である。
図1の温度制御型シャワーヘッドの例の冷却プレートアッセンブリの断面図である。
図1の温度制御型シャワーヘッドの例の冷却プレートアッセンブリの別の断面図である。
図12の詳細図である。
図13の詳細図である。
図1の例示的な温度制御型シャワーヘッドを設置した半導体処理チャンバの概略図である。
図1から図15は、各図で縮尺通りに描かれているが、縮尺は図ごとに異なっていることがある。
以下の説明では、提示した概念を完全に理解してもらうために多くの特定の詳細を記載している。提示した概念は、これらの特定の詳細の一部または全部がなくとも実施されてよい。他の場合では、記載した概念を不必要に不明瞭にしないように、公知の処理操作を詳細に説明していない。いくつかの概念を特定の実施形態に結びつけて説明するが、これらの実施形態を限定的なものと意図してはいないことが理解されるであろう。
本明細書では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」などの用語は、入れ替えて使用可能である。半導体デバイス業界で使用されるウエハまたは基板は通常、直径が200mm、300mm、または450mmであるが、円形以外であってもよく、これ以外の寸法であってもよい。半導体ウエハに加えて、本発明を利用し得るその他のワークピースとして、プリント回路基板、磁気記録媒体、磁気記録センサ、ミラー、光学素子、マイクロメカニカルデバイスなどの様々な物品がある。
本開示の図面および説明の一部では、いくつかの規則を採用している。例えば、様々な箇所で、「容積(volumes)」、例えば「プレナム容積」と記載している。これらの容積は、全体的に様々な図で示差されていることがあるが、図およびそれに付随する数値の識別子は、そのような容積の近似値を表しており、実際の容積は、例えばその容積の境界である様々な固体表面にまで及ぶとしてよいことが理解される。それよりも小さい様々な容積、例えばプレナム容積の境界につながるガス入口またはその他の孔は、それらのプレナム容積と流体接続していてよい。
「上(above)」、「上(on top)」、「下(below)」、「下(underneath)」などの相対的な用語の使用している場合、シャワーヘッドを普通に使用しているときの構成要素とその構成要素の向きとの空間関係、または構成要素と紙面上の図面の向きとの空間関係を指すことを理解すべきであることを理解されたい。正常な使用では、シャワーヘッドは通常、基板処理の動作中、ガスを基板に向かって下向きに分散させるように向けられている。
いくつかの半導体処理動作では、例えばシャワーヘッドを介して半導体処理チャンバに導入されるときに、圧縮を防ぐため、またはガスを適温にするために、シャワーヘッドを流れるガスを加熱することが望ましいとしてよい。シャンデリア型のシャワーヘッドでこのように制御した加熱を実現するために、このようなシャンデリア型のシャワーヘッドのステムにある孔にカートリッジヒータを導入してよく、カートリッジヒータは、ステムを通るガス流入経路に平行に走っている。このようなカートリッジヒータは、半導体処理動作の特定の要件に応じて、500℃~800℃の温度に達することがある。
シャワーヘッドは、他の機構を通じて、例えば半導体処理動作の結果として熱を受け取ることもある。例えば、いくつかの半導体処理動作では、ウエハを支持しているペデスタルの温度は、600℃~700℃、例えば650℃の温度に達することがあり、半導体処理チャンバに導入されるガスは、高圧高周波場に曝露されて摂氏数千度になることがあるプラズマ環境を生成することがある。さらに、新しく改善された半導体処理動作の開発に伴い、処理温度が上昇する傾向が見られ得る。このような半導体処理動作由来の熱は、シャワーヘッドの中に伝達されることがあり、カートリッジヒータによってもたらされる熱とともに、シャワーヘッドがおよそ300℃~360℃の温度、例えば350℃に達する原因となることがある。その際、シャワーヘッドに蓄積される熱は普通、過熱を避けるためにシャワーヘッドの外に伝達される必要がある場合があり、このようなシャワーヘッドから外への唯一の伝達経路は、そのシャワーヘッドのステムを介し、ステムを支持する構造を通るものである。放射状および対流状の熱伝達は、シャワーヘッドから外へ熱を伝達する機能も果たすことがあるが、熱伝達の主な機構は、伝導性熱伝達である。
本明細書に提示するのは、ガスをシャワーヘッドに高温で送達しないためだけでなく、シャワーヘッドからの過剰な熱を、ステムを介して効果的に伝導させるために、高温処理で使用してよい熱を制御したシャワーヘッドに関する概念である。
図1は、温度制御型シャワーヘッドの一例の等角図を示し、図2は、図1の温度制御型シャワーヘッドの例の等角破断図を示している。図1および図2には、シャワーヘッド100を示している。シャワーヘッド100は、フェースプレート114を有し、フェースプレートは、下側に多数のガス分散孔144を有していてよい(図1には見えていないが図2を参照)。フェースプレート114は、バックプレート146と接続していてよく、一方バックプレートは、ステム112を介して、またいくつかの実施態様ではステムベース118を介して、冷却プレートアッセンブリ102と構造的かつ熱的に接続していてよい。ステム112は、1つ以上の孔、例えばガンドリル加工した孔を有していてよく、孔は、例えばカートリッジヒータまたはヒータ要素110を受け入れるような寸法であってよい。図示した例のシャワーヘッド100にはヒータ要素110が3つあり、ヒータ要素は、ステム112のガス入口104の3つの側に沿って配置され、中心ガス経路138のほぼ全長に沿って延在している(図2を参照)。いくつかの実施態様では、ほぼ同じ深さまで延在する追加の孔またはボアを設けてよく、その孔は、温度プローブ、例えば熱電対を受け入れるように構成されてよく、温度プローブは、ガス分散プレナムに近いシャワーヘッド100内の温度を測定するために孔に挿入されてよい。
図1のシャワーヘッドの例では、フェースプレート114は、例えば図1のフェースプレート114に対するコールアウトのすぐ内側の継ぎ目のところで、例えば周囲を溶接またはろう接による接続でバックプレート146と接続されてよい。フェースプレート114とバックプレート146との間の空間は、シャワーヘッド100へのガス分散プレナムとして作用するとしてよい。図示した例では、中心ガス経路138から流れる処理ガスをガス分散口に達する前に径方向外向きに流すために、ガス分散プレナムの中にバッフル板142が配置されている。バッフル板142は、例えば、複数の柱を用いてフェースプレート114に接着されてよく、柱は、フェースプレート114からバッフル板142まで延在していてよく、バッフル板142に溶接されるかスエージ加工されてよい。
図1に示したようなシャワーヘッド100が動作中に受ける可能性がある高温により、フェースプレート114は、複数の伸張性支持体、例えばシャワーヘッド100のガス分散プレナム内のフェースプレート114からバックプレート146の対応する孔の中まで上向きに延在する支持柱154によって、フェースプレート114の中心により近く(フェースプレート114の潜在的に熱に起因するたわみの量が最も多くなるところ)でさらに支持されてよい。2つのこのような支持柱154が図2に見られる。そして支持柱154は、例えば溶接またはろう接による接続を介してバックプレート146に接着されてよい。例えば、支持柱154をバックプレート146に接合するために摩擦攪拌溶接プロセスを用いてよい。
図2からわかるように、バックプレート146は、複数の溶接アクセス孔(またはろう接アクセス孔)116を有し、各々のアクセス孔が、フェースプレート114の該当する支持柱を有して孔の内端を塞いでいる。支持柱154と溶接アクセス孔116の底部との間の境界は、比較的密接に適合してよく、それによって溶接またはろう接が簡単になる。
バックプレート146の別の特徴は、バックプレート146の径方向の厚みが均一ではなく、バックプレート146がステムベース118に近いほど厚くなっている点である。このように厚みが増していることで、フェースプレート114の周よりもステム112の近くでフェースプレート114の熱伝導の必要性が増すのと並行して、バックプレート146の熱伝導断面積を増やす役割を果たすとしてよい。同じように、ステムベース118は、追加の熱質量を提供するとしてよく、この熱質量は、フェースプレート114の外径近くで生じる熱の追加の熱流路を提供するとしてよい。ただし、ステムベース118は、中心ガス経路138の中心軸に平行な方向に延在する複数の長尺スカラップ120も有していてよい。各々のこのようなスカラップ120は、溶接アクセス孔116にアクセスするための溶接またはろう接システムの隙間となってよい。
これらの長尺スカラップ120は、図1の温度制御型シャワーヘッドの例の上断面図を示している図3によりはっきりと示されている。図からわかるように、12個の支持柱154からなる輪が2つあり、12個の支持柱154からなる内側の輪は、その支持柱154の溶接アクセス孔116がステムベース118の断面に重なるように配置されている。設けられている長尺スカラップ120は、溶接アクセス孔116へのアクセスを可能にし、一方溶接アクセス孔は、支持柱154の上部へのアクセスを提供し、それによって支持柱をバックプレート146に溶接またはろう接することが可能になる。
冷却プレートアッセンブリ102は、図示したように積層構造を有していてよいが、これ以外の実施態様で、他の製造技術、例えば付加製造または鋳造を用いて同様の構造だが積層構造ではないものを提供してよい。冷却プレートアッセンブリ102は、カバープレート132を含んでいてよく、カバープレート132は、例えば拡散接合またはろう接を介して第1のプレート126に接着され、第1のプレート126は第2のプレート128に接着され、第2のプレート128は第3のプレート130に接着される。このような構造を本明細書では「プレート」と称するが、プレートは、それ以外の場合は全体的に平坦な面から延在するフィーチャを含んでいてよく、「プレート」は、このような構造に平面ではない外観を与える3次元構造を有した状態のままであることが理解されるであろう。
上記で説明したように、冷却プレートアッセンブリは、接着した積層構造であってよい。ただし、冷却プレートアッセンブリ102をステム112に接続する固定具を利用することがやはり望ましいとしてよい。このような実施態様では、ステムは、固定具を受け入れてよい複数のねじ孔を有していてよく、固定具は、冷却プレートアッセンブリ102にある対応する孔に挿入されてから締め付けられ、それによってステム112を冷却プレートアッセンブリ102と良好に熱接触するように引き付ける。これを図4に示しており、この図は、図2とは異なる断面で切り取った、図1の温度制御型シャワーヘッドの例の別の等角破断図を示している。図4は、2つのクランプ固定具も含んでおり、クランプ固定具は、冷却プレートアッセンブリ102を通ってステム112の中に延在するのが見えている。このようなクランプ固定具とステム112との間の境界は、図7の拡大図に示しており、図7は、図4の破線の長方形で囲まれた部分の詳細図である。
冷却プレートアッセンブリ102の内冷却チャネルフィーチャ136は、図に示した場所から鉛直方向に移動していてもよいことも理解されるであろう。例えば、いくつかの実施態様では、内冷却チャネル136は、(フェースプレート114に最も近い)最も下の面が図示した状態よりもフェースプレート114に近くなるように、鉛直方向下向きにずれてよい。図5は、例えば内冷却チャネル536がシャワーヘッド100内に示されている場所から外冷却チャネル534に対して鉛直方向下向きに変位するシャワーヘッド500を示している。例えば、内冷却チャネル136の上部は、図2では外冷却チャネル134と同じ高さにあるように全体的に示されているが、内冷却チャネル536の上部は、水平軸に沿って(シャワーヘッドの中心軸に垂直に)見たときに少なくとも内冷却チャネル536および外冷却チャネル534の水平方向の部分が互いに重ならないように、外冷却チャネル534の高さから距離Aだけ下向きに変位する。このような実施態様では、内冷却チャネル536と外冷却チャネル534の冷却作用は、内冷却チャネル536がステム512からの熱を除去するようにより強く作用し、外冷却チャネル534がヒータコア510からの熱を除去するように強く作用する状態で、鉛直方向にずれていてよい。図からわかるように、冷却プレートアッセンブリ502は、冷却プレートアッセンブリ102よりも大幅に下向きに延在し、いくつかの点では、ステム512の一部を形成しているとみなしてよい。いくつかの実施態様では、図5に示したように、内冷却チャネル536は、実際にステム512の上面に機械加工されてよく、冷却プレートアッセンブリ502は、内冷却チャネル536に冷却流体を提供してよい口およびチャネルを有するとともに、その中に延在する突起部540も有していてよい。冷却流体を鉛直方向に分かれている2つのチャネルに流すために、内冷却チャネル536と外冷却チャネル534との間を接続する鉛直方向の立上がり管通路があってよい。他の実施態様では、内冷却チャネル536が依然として外冷却チャネル534から鉛直方向下向きに変位してもよいが、内冷却チャネル536は、図2の実施態様のように、依然として冷却プレートアッセンブリの構造内に完全に含まれている状態である(そのため、冷却流体とステム512との直接の接触を避ける)。
図6に示したようないくつかの別の実施態様では、図2に示したものよりも遥かに深い深さまで下向きに延在するシャワーヘッド600用の内冷却チャネル636を設けてよい。このような例では、熱交換の量を増やせるように内冷却チャネル636内の表面積が遥かに多くなるとしてよく、それによってこのような冷却チャネルの冷却能力が増す。内冷却チャネル636は、例えばベローズ622の底部を越えて延在してよく、図6に示したように、冷却プレートアッセンブリ602の取付フランジ624の底部も越えて延在してよい。突起部640は、それに応じて長くなって、図6に示したように内冷却チャネル636のほぼ底部まで延在してよい。ただし、前出の例と同様に、冷却プレートアッセンブリ602のより高いところに外冷却チャネル634を設けてよい。
図7からわかるように、ステム112は、クランプ固定具184を受け入れてよい盲ねじ孔を有していてよい。この特定の例では、盲ねじ孔には、クランプ固定具166を締め付けるときに孔からステム112の材料が剥がれるのを回避するために、螺旋ねじインサート178が備わっている。ステム112と第2のプレート128とが接触する面は、熱接触面182として機能してよく、ステム112から冷却プレートアッセンブリ102に熱を伝達するための主要な境界であってよい。この境界全体への熱伝導率を高めるために、クランプ固定具は、ステム112を第2のプレート128に対してより適切にしっかりと圧縮して境界全体への熱伝導率が増すように、かなりのトルクを受けるとしてよい。これを達成するための重要なフィーチャがザグリ180にあり、その目的を以下に説明する。
図8は、2つの部材の間のねじ山接合の概略図である。この2つの部材は、例えばステム112と第2のプレート128であってよい。ステム112の孔には螺旋インサート178を備えてよく、螺旋インサートにはクランプ固定具184をねじ込んでよい。左の図は、ねじ付き固定具184に任意の有意な値でトルクがかかる前のこの境界を示している。右の図は、クランプ固定具184にトルクをかけ、それによってクランプ固定具に張力をかけ、螺旋インサート178を上向きに引っ張ったときに何が起こり得るかを示している。これにより、右の図に示したように、螺旋インサート178が埋め込まれている材料、例えばステム112のアルミニウムがいくらか上に膨張または隆起する。これにより、少なくとも各ねじ付きインサート/孔の周りの領域で、2つの部材の間にわずかな間隙(ここでは改良にするために誇張されている)ができることがある。このような間隙は、熱伝達を妨げるおそれがあり、2つの部材の間の熱伝達の効率を下げるおそれがある。
図9は、2つの部材の間の別のねじ山接合の概略図である。この例では、図8に示したものと同じ構成が示されているが、ステム112にあるねじ付きインサートの周りにザグリ180が含まれている点が異なる。ザグリは、クランプ固定具184にトルクがかけられて張力がかかった状態になったときにステム112の材料の局所的な歪みまたは隆起を許容するセットバック部となる。セットバック部は、ステム112の隆起または歪みによってステム112と第2のプレート128との間に間隙が形成されないようにし、それによって2つの部分の間に高質な熱接触境界が保持されることを実現する。いくつかの実施態様では、ザグリは、他方の部材、例えば第2のプレート128、または両方の部材に設けられてよい。
冷却プレートアッセンブリ102は、全体的にステム112の周りに延在する内冷却チャネル136を有していてよく、内冷却チャネルは、冷却剤が冷却剤入口106から内冷却チャネルに流れた後に、内冷却チャネル136を取り囲む(または少なくとも部分的に取り囲む)としてよい外冷却チャネル134に流れてから冷却剤出口108に流れるように、冷却プレートアッセンブリ102の中に流体接続していてよい。
シャワーヘッド100が半導体処理システムに設置される場合、シャワーヘッドは、いくつかの追加のシステムに接続されてよい。例えば、ヒータ要素110は、コントローラ166の指示の下でヒータ要素110に電力を供給してよいヒータ電源164に接続されてよい。コントローラ166は、例えば、1つ以上のプロセッサ168および1つ以上のメモリデバイス170を有していてよい。1つ以上のメモリデバイスは、本明細書で後に説明するように、1つ以上のプロセッサを制御して様々な機能を実施するか様々な他のハードウェアを制御するためのコンピュータ実行可能命令を格納してよい。
図1のコントローラ166は、バルブ158にも作動的に接続されてよく、バルブは、ガス供給部156からの処理ガスをシャワーヘッド100に供給する(またはそれ以上供給させない)ように、コントローラ166によって制御されてよい。ガス供給部156は、例えば1つ以上の処理ガスをシャワーヘッド100に供給するように構成されてよく、ガスは、例えば窒素(N2)、酸素(O2)、水素(H2)、アンモニア(NH3)、三フッ化窒素(NF3)、シラン(SiH4)、テトラエチルオルトシリケート(TEOS)の蒸気などである。同じように、コントローラ166は、ポンプ162に作動的に接続されてよく、ポンプは、冷却液または冷却流体を内冷却チャネル136および外冷却チャネル134に循環させて冷却剤貯蔵部160に戻してから冷却プレートアッセンブリ102に戻すように、コントローラによって制御されてよい。
図1および図2のシャワーヘッド100は、取付フランジ124も有していてよく、取付フランジは、ベローズ122を介して冷却プレートアッセンブリ102に接続していてよく、ベローズは、取付フランジ124と冷却プレートアッセンブリ102との間に順応性のある気密接続を実現するように作用するとしてよい。取付フランジ124、ベローズ122、および第3のプレート130は、例えばステンレス鋼合金で作製されてよく、一方、第1のプレート126および第2のプレート128は、さらに熱伝達を促進するために例えばアルミニウム合金で作製されてよい。
冷却プレートアッセンブリのさらに他の詳細を図10~図15を参照して以下に説明する。図10および図11は、図1の温度制御型シャワーヘッドの一部の等角部分分解図である。図12および図13は、図1の温度制御型シャワーヘッドの例の冷却プレートアッセンブリの断面図である。図14および図15はそれぞれ、図12および図13の詳細図である。
図10および図11では、カバープレート132と第1のプレート126の両方が取り外されて、冷却プレートアッセンブリ102内の冷却流路が曝露されている。図からわかるように、中心ガス経路138は、ヒータカートリッジ110のすぐ近くに位置していてよく、ヒータカートリッジは、中心ガス経路138内に流れるガスに熱を供給するために使用されるとしてよい。内冷却チャネル136および外冷却チャネル134は、はっきりと見えている。図からわかるように、外冷却チャネル134は、様々なプレートを組み立てたときに同列になる第1のプレート126と第2のプレート128にある2つの一致するチャネルによって形成される。外冷却チャネル134は、中心ガス経路138の弧の全体またはほぼ全体、例えば~300°にわたって延在してよい。外冷却チャネル134の一方の端部は、内冷却チャネル136と流体接続していてよく、それによって内冷却チャネル136を流れる冷却剤をその後に冷却プレートアッセンブリから離れることなく外冷却チャネル134に流し、それから冷却剤出口108に流すようにしてよい。
図11からわかるように、第1のプレート126は第1の面を有し、第1の面は、第2のプレート128の第2の面に接着して冷却プレートアッセンブリの一部を形成する。第1の面は、任意選択で、前述した一致するチャネルの一方、および複数の突起部140を含んでいてよく、各々の突起部は、内冷却チャネル136のそれに対応する形状部分または同様の形状部分の中に突出するように配置されてよく、かつそのような寸法に設定されてよい。それによって極めて薄いU字形の断面を有する流体流路を形成し、これによって通常は内冷却チャネル136を流れる流体を突起部のある領域で加速させ、それによってこのような領域で冷却流体のレイノルズ数を上げ、冷却流体と内冷却チャネル136の壁との間、および冷却流体と突起部140との間の熱伝達を高める。これによって内冷却チャネル136の冷却効率が上がる。
突起部の作用は、突起部140を有する内冷却チャネル136をより詳細に示している図12~図15でさらにはっきりとわかる可能性がある。図14からわかるように、内冷却チャネル136の内壁は、いくつかの第1の凸状突出部148を有していてよい。第1の凸状突出部148は、例えばヒータカートリッジのボアの中心にあってよく、その寸法は、各ヒータカートリッジと、内冷却路136と、その場所で冷却プレートアッセンブリを通るステムの部分との間にほぼ同じ壁厚が存在するような寸法であってよい。内壁は、いくつかの実施態様では、例えば複数の第2の凸状突出部150も有していてよく、第2の凸状突出部は、例えば固定具の貫通孔の周りか、冷却プレートアッセンブリ102の他のフィーチャの周りを内冷却チャネル136が通れるように備わっていてよい。いくつかの実施態様では、内冷却チャネル136の外壁は、複数の第3の凸状突出部152も有していてよく、第3の凸状突出部は、例えば内冷却チャネル136と内部のガス立上り管口のアレイとの間の壁厚が十分になるように設けられてよい(図10の内冷却チャネル136と外冷却チャネル134との間に見えている小さい立上り管口を参照)。図示した例では、突起部140はそれぞれ、それに対応する第1の凹状のくぼみを有し、第1の凹状のくぼみには第1の凸状突出部のうちの1つが中に収まり、第1の凸状突出部は、対応する間隙の分だけ突起部から離れている。同じように、突起部140はそれぞれ、第1の凹状のくぼみの反対側に第2の凹状のくぼみも有し、それによって、第3の凸状突出部152のうちの1を第2の凹状のくぼみの中に収めることができる。このように嵌合する形状になっている内冷却チャネルの側壁と突起部140は、比較的狭く深い冷却流路を実現するとしてよく、この冷却流路は、熱伝達のために大きな表面積を提供しつつ、冷却流体の速度も上げるとしてよい。
図15からわかるように、突起部140は、内冷却チャネル136の底部まで全長にわたって延在せずに、内冷却チャネル136の底部と突起部140の対向面との間に比較的大きい面積を流れる領域を残してよい。突起部140の寸法は、内冷却チャネル136の底部と突起部140の対向面との間の間隙が、内冷却チャネル136の側壁と突起部140の対向面または側壁との間の間隙とほぼ同じになるような寸法であってよい。例えば、シャワーヘッド100の例では、内冷却チャネル136の側壁と突起部140の対向面または側壁との間の間隙は、およそ1mmであり、内冷却チャネル136の底部と突起部140の対向面との間の間隙は、およそ1.3mmである。突起部140は、この例では、第1のプレート126からおよそ14mmにわたって延在し、その結果、容積がおよそ7.2立方センチメートルの内冷却チャネルになる。比較すると、高さがおよそ6mm、幅がおよそ6.3mmである外冷却チャネルは、容積がおよそ9.6立方センチメートルであり、追加のおよそ1.4立方センチメートルおよび0.8立方センチメートルが、冷却プレートアッセンブリ内の入口と出口それぞれの容積によってもたらされる。このような構成では、毎分およそ3800~5700立方センチメートルの冷却剤の流れが冷却チャネルに供給されるとしてよく、その結果、冷却プレートアッセンブリ102の冷却チャネル内の冷却流体は、毎分およそ200~300が完全に入れ替えられる。冷却流体は、水、フッ素化した冷却剤(SolvayのGalden(登録商標)PFPEなど)、またはその他の冷却液である。これにより、冷却プレートアッセンブリをおよそ20℃~60℃の温度に維持することが可能となってよく、一方、シャワーヘッドフェースプレート114は、およそ300℃~360℃、例えば350℃の温度に維持される。シャワーヘッド100の例に関して前述した特定の寸法および性能の特徴は、限定することを意図するものではなく、寸法および性能の特徴が異なるその他のシャワーヘッドも本開示の範囲内に収まるとしてよいことが理解されるであろう。
さらに、突起部140は、第1のプレート126からフェースプレート114の方へ下向きに延在することに留意されたい。そのため、フェースプレート114およびステム112からの熱は、内冷却チャネル136の側壁に沿って第1のプレート126に向かって流れるとともに、第1のプレート126から突起部140の両端にも流れる、すなわち反対方向に流れるとしてよい。これは、内冷却チャネルを流れる冷却剤の加熱を均等にする効果があるとしてよい。なぜなら、内冷却チャネル136の側壁の温度勾配は、内冷却チャネル136の底部、すなわちフェースプレート114の最も近くで最も高く、内冷却チャネル136の上部の近く、すなわち第1のプレート126の近くで最も低いとしてよく、一方、突起部140の温度勾配は逆になり得る。すなわち第1のプレート126の近くで最も高い温度になり、内冷却チャネル136の底部の近くで最も低い温度になり得る。これによってより効果的な熱伝達を促進する。
図16は、図1の例示的な温度制御型シャワーヘッドを設置した半導体処理チャンバの概略図である。このような構成では、ペデスタル174、温度制御型シャワーヘッド100を備えている半導体処理チャンバ172を用意してよい。シャワーヘッド100は、ペデスタル174の上に配置されてよく、1種または複数種の処理ガスを、ペデスタル174の上に配置されてよいウエハ176の上に流すように構成されてよい。シャワーヘッド100は、例えば図1に示したような1つ以上の追加の機器に接続されてよい。
上記のように、本明細書で説明した様々な制御可能な構成要素、例えばガス供給部へのバルブ、ヒータ電力ユニット、冷却剤ポンプなどは、半導体処理ツールのコントローラによって制御されてよい。コントローラは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、処理用の1つまたは複数のプラットフォーム、および/または特定の処理構成要素(ウエハのペデスタル、ガス流システムなど)を含む半導体処理装置を備えていてよいシステムの一部であってよい。これらのシステムは、半導体ウエハまたは基板を処理する前、その間、およびその後にシステムの動作を制御する電子機器と一体化していてよい。電子機器は、「コントローラ」と呼ばれてよく、1つまたは複数のシステムの様々な構成要素またはサブパーツを制御してよい。コントローラは、システムの処理要件および/または種類に応じて、本明細書に開示したいずれかの処理を制御するとともに、処理ガスの供給、温度設定(例えば加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生ツールの設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、電位および動作の設定、ツールおよびその他の移送ツールの中へ、またはそこからのウエハ移送および/または特定のシステムに接続されているか、特定のシステムの境界となっているロードロックなど、半導体処理に影響を及ぼすパラメータを制御するようにプログラムされてよい。
概して、コントローラは、命令を受け、命令を発し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどを行う、様々な集積回路、論理回路、メモリ、および/またはソフトウェアを有する電子機器であると定義されてよい。集積回路は、プログラム命令を保存するファームウェア形態のチップ、デジタルシグナルプロセッサ(DSP)、特定用途向け集積回路(ASIC)と定義されるチップ、および/または1つ以上のマイクロプロセッサ、またはプログラム命令(例えばソフトウェア)を実行するマイクロコントローラを備えていてよい。プログラム命令は、半導体ウエハ上で、もしくは半導体ウエハ用に、またはシステムに対して、特定の処理を実行する動作パラメータを定義する様々な個別の設定(またはプログラムファイル)の形態でコントローラへと伝達される命令としてよい。動作パラメータは、いくつかの実施形態では、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウエハのダイを製造する過程で1つ以上の処理工程を達成するために処理エンジニアによって定義されたレシピの一部としてよい。
コントローラは、いくつかの実施態様では、システムと一体化して接続しているか、システムとネットワーク接続されているか、これらを組み合わせた状態であるコンピュータの一部であってもよいし、このコンピュータに接続していてもよい。例えば、コントローラは、「クラウド」にあってもよいし、あるいはウエハ処理の遠隔アクセスを可能にできるファブホストコンピュータシステムの全体または一部であってもよい。コンピュータは、製造動作の現在の進捗を監視し、過去の製造動作の履歴を調査し、複数の製造動作から傾向または性能メトリックを調査し、現在の処理のパラメータを変更し、処理ステップを設定して現在の処理に従い、または新しい処理を始めるために、システムへの遠隔アクセスを可能にしてよい。いくつかの例では、リモートコンピュータ(例えばサーバ)は、ネットワークを介してシステムに処理レシピを提供でき、このネットワークは、ローカルネットワークまたはインターネットを含んでいてよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザ境界を含んでいてよく、それらのパラメータおよび設定はその後、リモートコンピュータからシステムへ伝達される。いくつかの例では、コントローラは、1つ以上の動作中に実行される各々の処理工程に対するパラメータを指定するデータ形態の命令を受け取る。パラメータは、実行される処理の種類、およびコントローラがインターフェース接続するか制御するように構成されるツールの種類に対して固有のものとしてよいと理解すべきである。そのため、前述したように、一緒にネットワーク化され、本明細書に記載した処理および制御などの共通の目的に向かって機能する1つ以上の別個のコントローラを備えることなどによってコントローラを分散してよい。このようにするために分散したコントローラの例が、(例えばプラットホームレベルで、またはリモートコンピュータの一部として)遠隔地に位置する1つ以上の集積回路と通信するチャンバ上にあって、組み合わさってこのチャンバ上の処理を制御する1つ以上の集積回路であろう。
非限定的に、例としてのシステムには、プラズマエッチングチャンバまたはモジュール、析出チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの製造および/または生産に関連するか使用されてよい任意のその他の半導体処理システムがあってよい。
上記のように、ツールによって実行される1つまたは複数の処理工程に応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、隣接するツール、近隣のツール、工場全体に位置するツール、主要コンピュータ、別のコントローラ、または、ウエハの容器を、半導体製造工場内のツール位置および/または搭載ポートへ運び、そこから運び出す材料輸送に使用されるツールのうちの1つ以上のツールと通信することがあってよい。
本明細書で使用している「ウエハ」という用語は、半導体ウエハまたは基板またはその他のほぼ同じ類のウエハまたは基板を指すとしてよい。ウエハステーションとは、この用語を本明細書で使用している通り、様々なウエハ処理動作またはウエハ搬送動作のいずれかの過程でウエハを配置するとしてよい半導体処理ツール内の任意の場所を指すとしてよい。ウエハ支持体は、本明細書では、半導体ウエハを受け入れ支持するように構成されているウエハステーションの何らかの構造、例えばペデスタル、静電チャック、ウエハ支持体の棚などを指すために使用されている。
本明細書に「実質的に(substantially)」、「およそ(approximately)」などが記載されている場合、特に明記されていない限り、記載されているものの±10%以内の値または関係を指すこと理解してよい。例えば、実質的に互いに垂直な2つの面は、実際に垂直であり、すなわち互いに90°であるか、互いに89°または91°であるか、さらには互いに80°または100°であってよい。
序列表記、例えば本明細書に記載した(a)、(b)、(c)などの使用はいずれも、整理を目的としているにすぎず、各序列表記に結びつけられた項目に何らかの特定の順序または重要性を持たせることを意図するものではないことも理解されたい。それでもやはり、序列表記に結びつけられたいくつかの項目が本質的に特定の順序を必要とすることがある場合があり得る。例えば「(a)Xに関する情報を得る、(b)Xに関する情報に基づいてYを決定する、そして(c)Zに関する情報を得る」;この例では、(b)は(a)で得られた情報に左右されるため、(a)は(b)の前に実施される必要があるが、(c)は、(a)および/または(b)のいずれかの前または後で実施される可能性がある。
「1つ以上の<項目>の各<項目>」または「各<項目>の」という句にあるように、「各(each)」という単語の使用は、本明細書で使用している場合は、単一項目グループと複数項目グループの両方を含むということを理解すべきであり、すなわち「for…each」という句は、プログラミング言語では、項目のどの母集団に言及していても各項目を指すために使用されるという意味で使用されることを理解されたい。例えば、言及している項目の母集団が単一の項目である場合、「each」は、その単一の項目のみを指し(「each」の辞書の定義では、多くの場合「2つ以上のもののうちの1つ1つ」を指す用語であると定義しているという事実があるが)、それらの項目のうちの少なくとも2つがあるはずであることを示唆するものではない。同じように、選択した項目が1つ以上のサブ項目を有する可能性があり、それらのサブ項目のうちの1つを選択する場合、選択した項目がサブ項目を1つのみ有する場合は、その1つのサブ項目を選択することが項目の選択自体に備わっていることが理解されるであろう。
全体として様々な機能を実行するように構成されている複数のコントローラに言及している場合、コントローラのうちの1つのみが開示または説明した機能すべてを実行するように構成されている状況のほか、様々なコントローラの各々が説明した機能性のサブ部分を実行する状況も包含することを意図していることも理解されるであろう。
本開示に記載した実施態様に対する様々な修正は、当業者には容易に明らかとなり得ることであり、本明細書で規定した一般原理は、本開示の趣旨または範囲から逸脱しない限り他の実施態様に適用されてよい。したがって、特許請求の範囲は、本明細書に示した実施態様に限定されることを意図するものではなく、本開示、原理、および本明細書に開示した新規の特徴と整合性のある最も広い範囲が充てられるべきである。
別々の実施態様の文脈で本明細書に記載している特定の特徴を組み合わせて単一の実施態様で実装することも可能である。逆に、単一の実施態様の文脈で記載している様々な特徴を複数の実施態様で別々に、または任意の適切なサブ組み合わせで実装することも可能である。さらに、特徴が特定の組み合わせで作用するものとして上記で説明していることがあるが、初めにこのように特許請求しているとしても、特許請求されている組み合わせのうちの1つ以上の特徴は、場合によってはその組み合わせから削除されている可能性があり、特許請求されている組み合わせは、サブ組み合わせまたはサブ組み合わせの変形例に誘導されていることがある。
同じように、図面では動作が特定の順序で描かれているが、このことを、望ましい結果を達成するために、このような動作を図示した特定の順序または順番通りの順序で実施すること、または図示した動作すべてを実施することを要求していると理解すべきではない。さらに、図面は、流れ図の形式でさらに別の例示的なプロセスを概略的に描いていることがある。しかしながら、図示していない他の動作を、概略的に示している例示的なプロセスに組み込むことができる。例えば、1つ以上の追加の動作を、図示した任意の動作の前、後、同時に、またはその間に実施できる。特定の状況では、マルチタスクおよび並列処理が有利なことがある。さらに、上記の実施態様での様々なシステム構成要素の分離は、そのような分離がすべての実施態様で必要であると理解してはならず、記載したプログラム構成要素およびシステムは、一般に単一のソフトウェア製品に一つに統合するか、複数のソフトウェア製品にパッケージ化することが可能であることを理解すべきである。また、その他の実施態様が以下の特許請求の範囲内である。場合によっては、特許請求の範囲に記載されている行為は、異なる順序で実行でき、それでも望ましい結果を達成できる。

Claims (19)

  1. 装置であって、
    シャワーヘッドを備え、
    前記シャワーヘッドは、
    フェースプレートと、
    バックプレートと、
    前記フェースプレートと前記バックプレートとの間に介在するガス分散プレナムと、
    ガス入口を有するステムと、
    1つ以上のヒータ要素と、
    内冷却チャネルと、
    外冷却チャネルと、
    冷却プレートアッセンブリと、
    を含み、
    前記ステムは、前記冷却プレートアッセンブリに支持され、前記冷却プレートアッセンブリから中心軸に沿って延在し、
    前記1つ以上のヒータ要素は、前記ステム内に少なくとも部分的に位置し、少なくとも前記中心軸に平行な方向に沿って延在し、
    前記冷却プレートアッセンブリは、少なくとも前記外冷却チャネルを有し、
    前記外冷却チャネルは、前記中心軸に沿って見た場合に前記内冷却チャネルの周りに延在し、
    前記内冷却チャネルと外冷却チャネルは両方とも、前記中心軸に沿って見た場合に前記1つ以上のヒータ要素の周りに延在する、
    装置。
  2. 請求項1に記載の装置であって、ステムベースをさらに含み、
    前記ステムベースは、前記バックプレートと前記ステムとの間に介在し、
    前記ステムベースは、前記中心軸に沿って見た場合にサイズが前記ステムよりも大きく、
    前記ステムベースは、前記中心軸に沿って見た場合にサイズが前記バックプレートよりも小さい、装置。
  3. 請求項2に記載の装置であって、
    前記ステムベースは、前記中心軸に沿って見た場合に前記ステムベースの外周に沿って配置された複数のスカラップを有し、
    前記バックプレートは、対応する複数の溶接アクセス孔を有し、
    溶接アクセス孔の各々は、前記スカラップのうちの1つと並置される、装置。
  4. 請求項2に記載の装置であって、前記1つ以上のヒータ要素の各々は、前記冷却プレートアッセンブリから前記ガス分散プレナムと前記ステムベースとの間の場所まで延在する、装置。
  5. 請求項1に記載の装置であって、ヒータ要素は少なくとも3つある、装置。
  6. 請求項1に記載の装置であって、
    前記冷却プレートアッセンブリは、第1のプレートおよび第2のプレートを含み、
    前記第1のプレートの第1の面は、前記第2のプレートの第2の面に接着され、
    前記内冷却チャネルは、前記第2のプレートの前記第2の面の中に前記第1の面から離れて延在し、
    前記第1のプレートは、1つ以上の突起部を有し、前記突起部は、前記第1の面から前記内冷却チャネルの1つ以上の対応する部分の中を通って前記バックプレートに向かって延在する、装置。
  7. 請求項6に記載の装置であって、
    前記内冷却チャネルは、内側壁および外側壁を有し、
    前記内側壁は、前記外側壁に取り囲まれ、
    前記内側壁は、第1の放射状パターンに配置された第1の複数の第1の凸状突出部を有する、装置。
  8. 請求項7に記載の装置であって、突起部の各々は、第1の凹状のくぼみを有し、前記第1の凹状のくぼみの中に前記第1の凸状突出部のうちの1つが収まる、装置。
  9. 請求項8に記載の装置であって、
    前記内側壁は、第2の放射状パターンに配置された第2の複数の第2の凸状突出部を有する、装置。
  10. 請求項9に記載の装置であって、
    前記外側壁は、第3の放射状パターンに配置された複数の第3の凸状突出部を有する、装置。
  11. 請求項10に記載の装置であって、
    第1の凸状突出部の各々は、対応する前記第3の凸状突出部のうちの1つから前記内冷却チャネルを横切って位置する、装置。
  12. 請求項11に記載の装置であって、
    突起部の各々は、前記突起部の前記第1の凹状のくぼみに対面する前記突起部の側に第2の凹状のくぼみを有し、
    前記第3の凸状突出部のうちの1つは、前記第2の凹状のくぼみの各々の中に収まる、装置。
  13. 請求項11に記載の装置であって、
    第2の凸状突出部の各々は、2つの隣接する第3の凸状突出部の間で周沿いに介在する、装置。
  14. 請求項6に記載の装置であって、突起部は3つある、装置。
  15. 請求項6に記載の装置であって、突起部の各々と前記第2のプレートとの間に間隙がある、装置。
  16. 請求項6に記載の装置であって、前記1つ以上の突起部の少なくとも第1の突起部は、前記第2のプレートと接触しない、装置。
  17. 請求項1に記載の装置であって、
    前記冷却プレートアッセンブリは、複数の貫通孔を有し、
    前記ステムは、前記ステムの上面に複数のねじ孔を有し、
    ねじ孔の各々は、前記冷却プレートアッセンブリの前記貫通孔のうちの1つと同一線上にあり、
    前記ステムの前記上面は、前記冷却プレートアッセンブリの下面に当接し、
    対応するクランプ固定具が、前記冷却プレートアッセンブリの貫通孔の各々に挿入されて、それと同一線上の前記ステムの前記ねじ孔にねじ込まれ、
    前記ステムの前記上面と前記冷却プレートアッセンブリの前記下面のいずれか一方または両方にザグリがあり、
    ザグリの各々は、前記冷却プレートアッセンブリを通る前記貫通孔のうちの1つに中心がある、装置。
  18. 請求項17に記載の装置であって、前記ザグリは、前記ステムの前記上面にある、装置。
  19. 請求項18に記載の装置であって、前記ねじ孔は、螺旋インサートによってできるねじ山を有する、装置。
JP2022512291A 2019-08-23 2020-08-21 温度制御型のシャンデリア型シャワーヘッド Pending JP2022545273A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962891211P 2019-08-23 2019-08-23
US62/891,211 2019-08-23
PCT/US2020/070437 WO2021042116A1 (en) 2019-08-23 2020-08-21 Thermally controlled chandelier showerhead

Publications (1)

Publication Number Publication Date
JP2022545273A true JP2022545273A (ja) 2022-10-26

Family

ID=74686076

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022512291A Pending JP2022545273A (ja) 2019-08-23 2020-08-21 温度制御型のシャンデリア型シャワーヘッド

Country Status (5)

Country Link
US (1) US20220282377A1 (ja)
JP (1) JP2022545273A (ja)
KR (1) KR20220051236A (ja)
CN (1) CN114341398A (ja)
WO (1) WO2021042116A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023177570A1 (en) * 2022-03-15 2023-09-21 Lam Research Corporation Showerhead for substrate processing systems
US20240062993A1 (en) * 2022-08-16 2024-02-22 Eugenus, Inc. Temperature-controlled showerhead assembly for cyclic vapor deposition

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US10008399B2 (en) * 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10697061B2 (en) * 2016-11-21 2020-06-30 Applied Materials, Inc. Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism

Also Published As

Publication number Publication date
WO2021042116A1 (en) 2021-03-04
CN114341398A (zh) 2022-04-12
KR20220051236A (ko) 2022-04-26
US20220282377A1 (en) 2022-09-08

Similar Documents

Publication Publication Date Title
US20090211707A1 (en) Apparatus for gas distribution and its applications
KR20160136238A (ko) 열 제어된 대면 플레이트를 가진 내부식성 가스 분배 매니폴드
KR102333806B1 (ko) 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
JP2018160462A (ja) 温度制御機能を備えるマルチプレナムシャワーヘッド
TWI810138B (zh) 可運動的邊緣環設計
KR102430432B1 (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
JP2022545273A (ja) 温度制御型のシャンデリア型シャワーヘッド
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
TW201842610A (zh) 用以降低發弧的氦插塞設計
US20180090363A1 (en) Lift pin holder with spring retention for substrate processing systems
US20230203658A1 (en) Split showerhead cooling plate
JP2023531409A (ja) 堆積用途のための高温面板
JP2023507091A (ja) 端部/中央部の不均一性を軽減するためにウエハの外周近傍に凹部を備えた半導体処理チャック
JP6528316B2 (ja) プラズマ処理装置及びトレイ
US20230197420A1 (en) Monobloc pedestal for efficient heat transfer
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US20230383406A1 (en) Axially cooled metal showerheads for high temperature processes
US20230140263A1 (en) Showerheads with high solidity plenums
JP2024510254A (ja) プロセスチャンバの遠隔プラズマ洗浄用のノズル
TW202102715A (zh) 在原子層沉積(ald)基板處理腔室中調變膜性質用之支座
WO2023076321A1 (en) Modulating thermal conductivity to control cooling of showerhead
WO2024118847A1 (en) Pedestal with spiral vanes
KR20020084478A (ko) 반도체 장치의 제조를 위한 증착 장치