JP2022539425A - メトロロジ方法及び関連のコンピュータプロダクト - Google Patents

メトロロジ方法及び関連のコンピュータプロダクト Download PDF

Info

Publication number
JP2022539425A
JP2022539425A JP2022500749A JP2022500749A JP2022539425A JP 2022539425 A JP2022539425 A JP 2022539425A JP 2022500749 A JP2022500749 A JP 2022500749A JP 2022500749 A JP2022500749 A JP 2022500749A JP 2022539425 A JP2022539425 A JP 2022539425A
Authority
JP
Japan
Prior art keywords
target
radiation
metrology
overlay
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022500749A
Other languages
English (en)
Inventor
ジャヴァエリ,ナージェス
デル シャール,マウリッツ ヴァン
チャン,ティエ-ミン
ボス,ヒルコ,ディルク
ワーナール,パトリック
バーラミ,サミラ
ハジャーマディ,モハマドレザ
タラブリン,セルゲイ
セムキフ,ミハイロ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2022539425A publication Critical patent/JP2022539425A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • G01B11/0633Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection using one or more discrete wavelengths
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

メトロロジターゲットから反射された放射を測定することと、測定された放射を成分、例えばフーリエ成分又は空間成分に分解することと、を含む方法が開示される。さらに、単一成分に基づいて測定された放射の再計算された依存に基づいてメトロロジ装置のパラメータを選択するアルゴリズムを提供するレシピ選択方法が開示される。【選択図】 図10

Description

[0001] 本発明は、例えば、リソグラフィ技術によるデバイスの製造に使用可能なメトロロジの方法、装置、及びコンピュータプロダクトに関する。
[0002] リソグラフィ装置は、所望のパターンを、基板上、通常は基板のターゲット部分上に与える機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造において使用することができる。その場合、代替的にマスク又はレチクルと呼ばれるパターニングデバイスを用いて、ICの個々の層上に形成される回路パターンを生成してもよい。このパターンは、基板(例えばシリコンウェーハ)上のターゲット部分(例えば、1つ又は幾つかのダイの一部を包含する)上に転写することができる。パターンの転写は、一般的に、基板上に設けられる放射感応性材料(レジスト)の層上への結像による。一般に、単一の基板は、連続してパターン形成される隣接するターゲット部分のネットワークを含む。
[0003] リソグラフィプロセス(すなわち、一般的に、レジストの現像、エッチングなどの1つ又は複数の関連の処理ステップを包含し得るリソグラフィ露光に関与するデバイス又は他の構造の現像プロセス)では、例えば、プロセス制御及び検証のために作られた構造の測定を頻繁に行うことが望ましい。クリティカルディメンジョン(CD)を測定するために使用されることが多い走査電子顕微鏡、及びオーバーレイ(基板の2つの層のアライメントの確度)を測定するための専用ツールを包含する、このような測定を行うための様々なツールが知られている。近年、リソグラフィ分野で使用するための様々な形態のスキャトロメータが開発されている。これらのデバイスは、ターゲット上に放射ビームを誘導し、及び散乱線の1つ又は複数の特性(例えば、波長の関数としての単一の反射角の強度、反射角の関数としての1つ若しくは複数の波長の強度、又は反射角の関数としての偏光)を測定することによって、それからターゲットの興味対象の特性を決定することができる「スペクトル」を取得する。興味対象の特性の決定は、様々な技術、例えば、厳密結合波分析又は有限要素法などの反復的手法によるターゲット構造の再構築、ライブラリ検索、及び主成分分析によって行われ得る。
[0004] メトロロジ用途において、例えば、オーバーレイメトロロジにおいて、ソースからの放射は、オーバーラップする格子を含むターゲットに衝突し、反射された放射がセンサ上で検出される。反射された放射は、それらがメトロロジターゲットを通って伝搬(反射又は透過)するため、衝突した放射の様々な部分の組み合わせの結果である。格子の非対称性、例えば格子の幾何学的非対称性の存在下では、反射された放射は、これらの非対称性に関する情報も含み、これは、オーバーラップする格子間のオーバーレイにより情報を隠し得る。さらに、現実的な格子は、傾斜などの非対称性を有し得る。実際のメトロロジ格子に存在する非対称性に対して不変となるように、オーバーレイ又はリソグラフィプロセスのその他の興味対象パラメータを測定できることが望ましい場合がある。
[0005] 本発明の第1の態様では、メトロロジターゲットから反射された放射を測定することと、測定された放射を成分に分解することと、を含む方法が提供される。
[0006] 本発明の第2の態様では、a)メトロロジターゲットに放射を当てることと、b)ターゲットからの散乱放射を検出することと、c)メトロロジ装置のパラメータを変更することと、d)メトロロジ装置のパラメータの多数の値に関して、ステップa)~c)を繰り返すことと、e)放射を成分に分解することと、を含む、リソグラフィプロセスのパラメータを測定する方法が提供される。
[0007] 本発明の第3の態様では、a)メトロロジターゲットに放射を当てることと、b)ターゲットからの散乱放射を検出することと、c)メトロロジ装置のパラメータを変更することと、d)メトロロジ装置のパラメータの多数の値に関して、ステップa)~c)を繰り返すことと、e)ステップd)で取得された測定値をフィルタにかけることと、を含む、リソグラフィプロセスのパラメータを測定する方法が提供される。
[0008] 本発明の第4の態様では、第1のターゲット場所で下部格子の3D非対称性マップを取得することと、多数のターゲットに関して3D非対称性マップを取得することを繰り返すことと、上記の測定に基づいて、ウェーハのターゲット非対称性のマップを取得することと、を含むリソグラフィプロセスを特徴付ける方法が提供される。
[0009] 本発明の第5の態様では、メトロロジ装置のパラメータの第1の複数の値で第1の複数の測定を取得することと、最小の第2の測定数及びそれに関連するメトロロジ装置のパラメータの第2の値を、メトロロジ装置のパラメータの第2の値がメトロロジ装置のパラメータの第1の値より少ないように計算することと、を含む、メトロロジ装置のパラメータを選択する方法が提供される。
[0010] 本発明の別の態様は、第1の態様の方法を行うためのコンピュータプログラム及び関連のコンピュータプログラムキャリアを含む。
[0011] 添付の図面を参照して、これより、本発明の実施形態を単なる例として説明する。
[0012]図1は、リソグラフィ装置を本発明の一実施形態により描く。 [0012]図2は、リソグラフィックセル又はクラスタを本発明の一実施形態により描く。 [0012]図3(a)は、ある照明モードを提供する第1のペアの照明アパーチャを用いた、本発明の一実施形態による、ターゲットの測定に使用される暗視野測定装置の模式図である。 [0012]図3(b)は、所与の照明方向に関するターゲットの回折スペクトルの模式詳細図である。 [0012]図3(c)は、回折に基づくオーバーレイ測定用の測定装置を使用する際に、さらなる照明モードを提供する第2のペアの照明アパーチャの模式図である。 [0012]図3(d)は、回折に基づくオーバーレイ測定用の測定装置を使用する際に、さらなる照明モードを提供する、第1及び第2のペアのアパーチャを組み合わせた第3のペアの照明アパーチャの模式図である。 [0012]図4は、多重周期構造(例えば、多重格子)ターゲットの形態及び基板上の測定スポットのアウトラインを描く。 [0012]図5は、図3の装置において取得された図4のターゲットの像を描く。 [0012]図6は、図3の装置を使用し、及び本発明の実施形態に適応可能なオーバーレイ測定方法のステップを示すフローチャートである。 [0012]図7(a)は、ゼロの領域に異なるオーバーレイ値を有するオーバーレイ周期構造(例えば、格子)の模式的断面を示す。 [0012]図7(b)は、ゼロの領域に異なるオーバーレイ値を有するオーバーレイ周期構造(例えば、格子)の模式的断面を示す。 [0012]図7(c)は、ゼロの領域に異なるオーバーレイ値を有するオーバーレイ周期構造(例えば、格子)の模式的断面を示す。 [0012]図7(d)は、ゼロの領域に異なるオーバーレイ値を有するオーバーレイ周期構造(例えば、格子)の模式的断面を示す。 [0012]図8は、理想的なターゲット構造におけるオーバーレイ測定の原理を示す。 [0012]図9は、スイング曲線とも呼ばれる、あるターゲットに関する波長λ(nm)に対するオーバーレイ感度Kのグラフである。 [0012]図10は、メトロロジターゲットの断面の模式図を示す。 [0012]図11は、波長などのメトロロジツールのパラメータの関数として測定されたメトロロジから推論された値のグラフを示す。 [0012]図12は、メトロロジツールのパラメータの関数として測定された本発明によるメトロロジステップから推論された値のグラフを示す。
[0013] 実施形態を詳細に記載する前に、実施形態が実施され得る環境例を提示することが有益である。
[0014] 図1は、リソグラフィ装置LAを模式的に描く。この装置は、放射ビームB(例えば、UV放射又はDUV放射)を調節するように構成された照明系(イルミネータ)ILと、パターニングデバイス(例えば、マスク)MAを支持するように構築され、及び特定のパラメータに従って、パターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続されたパターニングデバイスサポート又はサポート構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構築され、及び特定のパラメータに従って、基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wのターゲット部分C(例えば、1つ又は複数のダイを包含する)上に投影するように構成された投影系(例えば、屈折投影レンズ系)PSとを包含する。
[0015] 照明系は、放射の誘導、整形、又は制御を行うための、屈折、反射、磁気、電磁、静電又は他のタイプの光学コンポーネント、又はそれらの何れかの組み合わせなどの様々なタイプの光学コンポーネントを包含してもよい。
[0016] パターニングデバイスサポートは、パターニングデバイスの配向、リソグラフィ装置の設計、及び例えばパターニングデバイスが真空環境で保持されるか否かなどの他の条件に依存したやり方で、パターニングデバイスを保持する。パターニングデバイスサポートは、機械的、真空、静電又は他のクランプ技術を用いて、パターニングデバイスを保持することができる。パターニングデバイスサポートは、必要に応じて固定されてもよく、又は移動可能であってもよいフレーム又はテーブルでもよい。パターニングデバイスサポートは、例えば投影系に対してパターニングデバイスが所望の位置にあることを確実にすることができる。本明細書における「レチクル」又は「マスク」という用語の使用は、より一般的な用語である「パターニングデバイス」と同義であると見なすことができる。
[0017] 本明細書で使用される「パターニングデバイス」という用語は、基板のターゲット部分にパターンを生成するために、その断面にパターンを有した放射ビームを付与するために使用することができるあらゆるデバイスを指すと広く解釈されるものとする。放射ビームに付与されるパターンは、例えば、パターンが、位相シフトフィーチャ、又はいわゆるアシストフィーチャを包含する場合には、基板のターゲット部分の所望のパターンに正確に対応しない場合があることに留意されたい。一般に、放射ビームに付与されるパターンは、集積回路などのターゲット部分に生成されるデバイス内の特定の機能層に対応する。
[0018] パターニングデバイスは、透過型又は反射型でもよい。パターニングデバイスの例は、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルを包含する。マスクは、リソグラフィにおいてよく知られており、及びバイナリ、レベンソン型(alternating)位相シフト、及びハーフトーン型(attenuated)位相シフトなどのマスクタイプ、並びに様々なハイブリッドマスクタイプを包含する。プログラマブルミラーアレイの一例は、小型ミラーのマトリックス配置を採用し、各小型ミラーは、入射する放射ビームを異なる方向に反射するように個々に傾斜させることができる。これらの傾斜ミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付与する。
[0019] ここに描かれるように、本装置は、透過型のものである(例えば、透過型マスクを用いる)。代替的に、本装置は、反射型のものであってもよい(例えば、上述のタイプのプログラマブルミラーアレイを用いる、又は反射マスクを用いる)。
[0020] リソグラフィ装置は、基板の少なくとも一部が、投影系と基板との間の空間を満たすために、比較的高い屈折率を有する液体(例えば水)によって覆われてもよいタイプのものであってもよい。液浸液は、リソグラフィ装置の他の空間、例えば、マスクと投影系との間に与えられてもよい。投影系の開口数を増加させる液浸技術が、当該分野においてよく知られている。本明細書で使用される「液浸」という用語は、基板などの構造が、液体中に沈められなければならないことを意味するのではなく、単に、液体が、露光中に、投影系と基板との間に位置することを意味する。
[0021] 図1を参照して、イルミネータILは、放射源SOから放射ビームを受ける。放射源及びリソグラフィ装置は、例えば放射源がエキシマレーザである場合に、別個のエンティティでもよい。そのような場合には、放射源は、リソグラフィ装置の一部を形成するとは見なされず、及び放射ビームは、例えば適切な誘導ミラー及び/又はビームエキスパンダを含むビームデリバリシステムBDを用いて、放射源SOからイルミネータILへと渡される。他のケースでは、放射源は、例えば放射源が水銀ランプである場合に、リソグラフィ装置の一体化部分であってもよい。放射源SO及びイルミネータILは、必要であればビームデリバリシステムBDと共に、放射システムと呼ばれる場合がある。
[0022] イルミネータILは、放射ビームの角度強度分布を調整するように構成されたアジャスタADを含んでもよい。一般に、少なくともイルミネータの瞳面内の強度分布の外側及び/又は内側半径範囲(通常、それぞれσ-outer及びσ-innerと呼ばれる)を調整することができる。加えて、イルミネータILは、インテグレータIN及びコンデンサCOなどの様々な他のコンポーネントを含んでもよい。イルミネータを用いて、放射ビームが、その断面に所望の均一性及び強度分布を持つように調節してもよい。
[0023] 放射ビームBは、パターニングデバイスサポート(例えばマスクテーブルMT)上に保持されるパターニングデバイス(例えばマスク)MAに入射し、及びパターニングデバイスによってパターン形成される。パターニングデバイス(例えばマスク)MAを横断した後、放射ビームBは、ビームの焦点を基板Wのターゲット部分C上に合わせる投影系PSを通過する。第2のポジショナPW及び位置センサIF(例えば、干渉デバイス、リニアエンコーダ、2Dエンコーダ又は静電容量センサ)を用いて、例えば異なるターゲット部分Cを放射ビームBのパス内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、例えばマスクライブラリの機械検索後に、又はスキャン中に、第1のポジショナPM及び別の位置センサ(図1では明確に描かれていない)を用いて、放射ビームBのパスに対してパターニングデバイス(例えばマスク)MAを正確に位置決めすることができる。
[0024] パターニングデバイス(例えば、マスク)MA及び基板Wは、マスクアライメントマークM、M及び基板アライメントマークP、Pを用いてアライメントされ得る。図示されるような基板アライメントマークは、専用ターゲット部分を占有するが、これらは、ターゲット部分間の空間に位置してもよい(これらは、スクライブラインアライメントマークとして知られている)。同様に、2つ以上のダイがパターニングデバイス(例えば、マスク)MA上に設けられる状況では、マスクアライメントマークは、ダイ間に位置してもよい。小さなアライメントマーカも、デバイスフィーチャの中でも、ダイ内に包含されてもよく、その場合、マーカが可能な限り小さく、及び隣接するフィーチャとは異なる結像又はプロセス条件を必要としないことが望ましい。アライメントマーカを検出することができるアライメントシステムの実施形態を以下にさらに記載する。
[0025] 描かれた装置は、以下のモードの少なくとも1つで使用され得る:
1.ステップモードでは、放射ビームに付与されたパターン全体が、一度にターゲット部分C上に投影される間に、パターニングデバイスサポート(例えばマスク)MT及び基板テーブルWTaが、基本的に静止状態を保つ(すなわち、単一静的露光)。次に、異なるターゲット部分Cを露光することができるように、基板テーブルWTaが、X及び/又はY方向にシフトされる。ステップモードでは、露光フィールドの最大サイズが、単一静的露光で結像されるターゲット部分Cのサイズを限定する。
2.スキャンモードでは、放射ビームに付与されたパターンが、ターゲット部分C上に投影される間に、パターニングデバイスサポート(例えばマスク)MT及び基板テーブルWTaが、同期してスキャンされる(すなわち、単一動的露光)。パターニングデバイスサポート(例えばマスク)MTに対する基板テーブルWTaの速度及び方向は、投影系PSの拡大(縮小)及び像反転特性によって決定されてもよい。スキャンモードでは、露光フィールドの最大サイズが、単一動的露光のターゲット部分の幅(非スキャン方向の)を限定し、スキャン動作の長さが、ターゲット部分の高さ(スキャン方向の)を決定する。
3.別のモードでは、放射ビームに付与されたパターンが、ターゲット部分C上に投影される間に、パターニングデバイスサポート(例えばマスク)MTは、プログラマブルパターニングデバイスを保持して基本的に静止状態を保つとともに、基板テーブルWTaが、移動又はスキャンされる。このモードでは、一般にパルス放射源が用いられ、及びプログラマブルパターニングデバイスが、基板テーブルWTaの各移動後に、又はスキャン中の連続した放射パルス間で、必要に応じて更新される。この動作モードは、上述のタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに簡単に適用することができる。
[0026] 上記の使用モード又は完全に異なる使用モードの組み合わせ及び/又はバリエーションが用いられてもよい。
[0027] リソグラフィ装置LAは、2つのテーブルWTa、WTb(例えば、2つの基板テーブル)及びそれらの間でテーブルを交換することができる2つのステーション(露光ステーション及び測定ステーション)を有する、いわゆるデュアルステージ型のものである。例えば、一方のテーブル上の基板が露光ステーションで露光されている間に、別の基板が、測定ステーションの他方の基板テーブル上にロードされ、及び様々な準備ステップが行われ得る。準備ステップは、レベルセンサLSを使用した基板の表面制御のマッピング、及びアライメントセンサASを用いた基板上のアライメントマーカの位置の測定を包含し得る(両センサは、基準フレームRFによって支持される)。位置センサIFが、測定ステーション及び露光ステーションに位置する間にテーブルの位置を測定することができない場合には、両ステーションにおけるテーブルの位置のトラッキングを可能にするために、第2の位置センサを設けてもよい。別の例として、一方のテーブル上の基板が露光ステーションで露光されている間に、基板なしの別のテーブルが、測定ステーション(任意選択的に、測定活動が生じ得る)で待機する。この他方のテーブルは、1つ又は複数の測定デバイスを有し、及び任意選択的に、他のツール(例えば、クリーニング装置)を有していてもよい。基板が露光を完了すると、基板なしのテーブルが露光ステーションに移動して、例えば測定を行い、並びに基板を有したテーブルが、基板がアンロードされ、及び別の基板がロードされる場所(例えば、測定ステーション)に移動する。これらのマルチテーブル配置は、装置のスループットの大幅な増加を可能にする。
[0028] 図2に示すように、リソグラフィ装置LAは、基板に対して1つ又は複数の露光前及び露光後プロセスを行う装置も包含する、リソセル又はリソクラスタとも呼ばれることがあるリソグラフィックセルLCの一部を形成する。従来、これらは、レジスト層を堆積させる1つ又は複数のスピンコータSC、露光されたレジストを現像するための1つ又は複数のデベロッパDE、1つ又は複数の冷却プレートCH、及び1つ又は複数のベークプレートBKを包含する。基板ハンドラ又はロボットROは、入出力ポートI/O1、I/O2から基板を持ち上げ、それを異なるプロセスデバイス間で移動させ、及びそれをリソグラフィ装置のローディングベイLBに届ける。大抵の場合トラックと総称されるこれらのデバイスは、それ自体が監視制御システムSCS(これは、リソグラフィ制御ユニットLACUにより、リソグラフィ装置も制御する)によって制御されるトラック制御ユニットTCUの制御下にある。従って、異なる装置を動作させることにより、スループット及び処理効率を最大化することができる。
[0029] リソグラフィ装置によって露光される基板が、正確に、且つ一貫して露光されるためには、露光された基板を検査して、後続の層間のオーバーレイエラー、ライン厚さ、クリティカルディメンジョン(CD)などの1つ又は複数の特性を測定することが望ましい。エラーが検出されると、特に、同じバッチの別の基板がまだこれから露光されるほど直ちに且つ迅速に検査を行うことができる場合に、1つ又は複数の後続の基板の露光に対して調整が行われ得る。また、既に露光された基板は、はがされ、及び再加工が行われてもよく(歩留まりを向上させるために)、又は破棄されてもよく、それによって、欠陥があると分かっている基板に対して露光を行うことを回避する。基板の幾つかのターゲット部分にのみ欠陥がある場合には、良好なターゲット部分のみにさらなる露光が行われてもよい。別の可能性は、エラーを補償するために、後続のプロセスステップの設定を適応させることであり、例えば、リソグラフィプロセスステップに起因する基板ごとのCD変動を補償するために、トリムエッチングステップの時間を調整することができる。
[0030] 検査装置を用いて、基板の1つ又は複数の特性、及び具体的には、異なる基板、又は同じ基板の異なる層の1つ又は複数の特性が、層ごとに、及び/又は基板にわたりどのように変動するかが決定される。検査装置は、リソグラフィ装置LA、若しくはリソセルLCに組み込まれてもよいし、又はスタンドアローンデバイスであってもよい。最速な測定を可能にするためには、検査装置が、露光直後に、露光されたレジスト層の1つ又は複数の特性を測定することが望ましい。しかし、レジストの潜像が、非常に低いコントラスト(放射に露光されたレジストの部分と、放射に露光されていないレジストの部分との間に、ごく小さな屈折率の差異が存在するのみである)を有し、及び全ての検査装置が、潜像の有用な測定を行うのに十分な感度を有するわけではない。従って、測定は、習慣的に露光された基板に対して行われる最初のステップであり、及びレジストの露光部分と非露光部分との間のコントラストを増加させるポストベークステップ(PEB)後に行われてもよい。この段階では、レジストの像は、半潜像的と呼ばれ得る。現像されたレジスト像の測定を行うことも可能であり(この時点で、レジストの露光部分、若しくは非露光部分は、除去済みである)、又はエッチングなどのパターン転写ステップ後に行うことも可能である。後者の可能性は、欠陥のある基板の再加工の可能性を限定するが、それでも、例えばプロセス制御のために、有用な情報を提供し得る。
[0031] 従来のスキャトロメータによって使用されるターゲットは、例えば、40μm×40μmの比較的大きな周期構造レイアウト(例えば、1つ又は複数の格子を含む)を含む。その場合、測定ビームは、周期構造レイアウトよりも小さいスポットサイズを有することが多い(すなわち、レイアウトは、周期構造の1つ又は複数がスポットによって完全にカバーされないようにアンダーフィルされる)。これは、ターゲットの数学的再構築を、それを無限と見なすことができるので、単純化する。しかし、例えば、スクライブラインではなく、プロダクトフィーチャの中に、ターゲットを位置付けることができるので、ターゲットのサイズは、例えば、20μm×20μm以下、又は10μm×10μm以下にまで減少されている。この状況では、周期構造レイアウトは、測定スポットよりも小さくされてもよい(すなわち、周期構造レイアウトが、オーバーフィルされる)。一般的に、このようなターゲットは、0次回折(鏡面反射に対応する)がブロックされ、及びより高次のものだけが処理される暗視野スキャトロメトリを用いて、測定される。暗視野メトロロジの例は、その全体が本明細書により援用されるPCT特許出願公開国際公開第2009/078708号及び同第2009/106279号に見つけることができる。この技術のさらなる発展が、その全体が本明細書により援用される米国特許出願公開第2011-0027704号、同第2011-0043791号、及び同第2012-0242970号に記載されている。回折次数の暗視野検出を用いた回折ベースのオーバーレイ(DBO又はμDBO)は、より小さなターゲットに対するオーバーレイ測定を可能にする。これらのターゲットは、照明スポットよりも小さくてもよく、及び基板上のプロダクト構造によって取り囲まれていてもよい。ある実施形態では、1つの像において、複数のターゲットを測定することができる。
[0032] ある実施形態では、基板上のターゲットは、現像後に、バーが固体レジストラインから形成されるように印刷された1つ又は複数の1D周期格子を含み得る。ある実施形態では、ターゲットは、現像後に、1つ又は複数の格子が、固体レジストピラー又はレジストのビアから形成されるように印刷された1つ又は複数の2D周期格子を含み得る。バー、ピラー、又はビアは、代替的に、基板内にエッチングされてもよい。格子のパターンは、リソグラフィ投影装置(特に投影系PL)の色収差に感度が高く、並びに、照明対称性及びこのような収差の存在が、印刷された格子の変動に現れる。従って、印刷された格子の測定データを使用して、格子を再構築することができる。ライン幅及び形状などの1D格子のパラメータ、又はピラー若しくはビアの幅、長さ、若しくは形状などの2D格子のパラメータは、印刷ステップ及び/又は他の測定プロセスの知識から、処理ユニットPUによって行われる再構築プロセスに入力され得る。
[0033] 本発明の実施形態での使用に適した暗視野メトロロジ装置を図3Aに示す。ターゲットT(格子などの周期構造を含む)及び回折光線を図3Bにより詳細に図示する。暗視野メトロロジ装置は、スタンドアローンデバイスでもよいし、又は例えば測定ステーションでリソグラフィ装置LAに、若しくはリソグラフィックセルLCに組み込まれてもよい。装置全体を通して幾つかの分岐を有する光軸を点線Oによって表す。この装置では、出力11(例えば、レーザ、若しくはキセノンランプなどのソース、又はソースに接続された開口)によって放出された放射は、レンズ12、14、及び対物レンズ16を含む光学系によって、プリズム15を介して基板W上に誘導される。これらのレンズは、4F配置のダブルシーケンスで配置される。異なるレンズ配置は、それでもそれが基板の像を検出器上に提供するならば、使用することができる。
[0034] ある実施形態では、レンズ配置は、空間周波数フィルタリングの中間瞳面のアクセスを可能にする。従って、放射が基板に入射する角度範囲は、本明細書で(共役)瞳面と呼ばれる基板面の空間スペクトルを示す面内の空間強度分布を定義することによって選択することができる。具体的には、これは、例えば、対物レンズ瞳面の後方投影像である面内で、レンズ12と14との間に適切な形態のアパーチャプレート13を挿入することによって行うことができる。図示例では、アパーチャプレート13は、異なる形態(13N及び13Sと表示される)を有し、異なる照明モードが選択されることを可能にする。本例の照明系は、オフアクシス照明モードを形成する。第1の照明モードでは、アパーチャプレート13Nが、単なる説明目的で「北」と指定された方向から、オフアクシス照明を提供する。第2の照明モードでは、アパーチャプレート13Sを用いて、「南」と表示された反対方向から同様の照明が提供される。異なるアパーチャを用いて、他の照明モードが可能である。瞳面の残りの部分は、所望の照明モード外の不必要な放射が所望の測定信号を妨げ得るので、望ましくは暗い。
[0035] 図3(b)に示されるように、ターゲットTは、基板Wが対物レンズ16の光軸Oに対して実質的に垂直な状態で設置される。軸Oから外れた角度からターゲットTに衝突する照明光線Iは、0次光線(実線0)と、2つの1次光線(一点鎖線+1及び二点鎖線-1)とを生じさせる。オーバーフィルされた小ターゲットTの場合、これらの光線は、メトロロジターゲットT及び他のフィーチャを含む基板のエリアをカバーする多くの平行光線の1つにすぎない。プレート13のアパーチャが、(放射の有用な量を受け入れるのに必要な)有限幅を有するので、入射光線Iは、実際には、ある角度範囲を占有し、及び回折光線0及び+1/-1は、若干広がる。小ターゲットの点像分布関数に従って、各次数+1及び-1は、ある角度範囲にわたり、さらに広がる(図示されるような単一の理想光線ではない)。なお、周期構造のピッチ及び照明角度は、対物レンズに入る1次光線が中心光軸と厳密にアライメントされるように、設計又は調整することができる。図3(a)及び3(b)に図示される光線は、単に図中でそれらをより簡単に区別することができるように、若干オフアクシスに示される。基板W上のターゲットによって回折された少なくとも0次及び+1次が、対物レンズ16によって収集され、及びプリズム15を通して戻るように誘導される。
[0036] 図3(a)に戻り、第1及び第2の照明モードの両方が、北(N)及び南(S)と表示された正反対のアパーチャを指定することによって図示される。入射光線Iが光軸の北側からのものである場合、すなわち、第1の照明モードが、アパーチャプレート13Nを用いて適用される場合、+1回折光線(+1(N)と表示される)が、対物レンズ16に入る。対照的に、第2の照明モードが、アパーチャプレート13Sを用いて適用される場合、-1回折光線(-1(S)と表示される)が、レンズ16に入る回折光線である。従って、ある実施形態では、測定結果は、特定の条件下で、例えば、-1次及び+1次の回折次数強度を別々に取得するために、ターゲットを回転させた後、又は照明モードを変更した後、又は結像モードを変更した後に、ターゲットを2回測定することによって取得される。あるターゲットに関してこれらの強度を比較することにより、ターゲットの非対称性の測定が提供され、及びターゲットの非対称性は、リソグラフィプロセスのパラメータ(例えばオーバーレイエラー)の指標として使用することができる。上記の状況では、照明モードが変更される。
[0037] ビームスプリッタ17は、回折ビームを2つの測定分岐に分割する。第1の測定分岐では、光学系18は、0次及び1次回折ビームを用いて、第1のセンサ19(例えば、CCD又はCMOSセンサ)上にターゲットの回折スペクトル(瞳面像)を形成する。像の処理が次数を比較及び対比させることができるように、各回折次数は、センサ上の異なる点に当たる。センサ19によって捕捉された瞳面像は、メトロロジ装置の焦点を合わせる、及び/又は1次ビームの強度測定を規格化するために使用することができる。瞳面像は、ここでは詳しくは記載されていない、再構築などの多数の測定目的に使用することもできる。
[0038] 第2の測定分岐では、光学系20、22は、センサ23(例えば、CCD又はCMOSセンサ)上に、基板W上のターゲットの像を形成する。第2の測定分岐では、開口絞り21が、瞳面と共役な面内に設けられる。開口絞り21は、センサ23上に形成されるターゲットの像DFが、-1又は+1次ビームから形成されるように、0次回折ビームをブロックするように機能する。センサ19及び23によって捕捉された像は、イメージプロセッサ及びコントローラPU(これの機能は、行われる測定の特定のタイプに依存する)に出力される。なお、ここでは「像」という用語は、広い意味で使用される。そのため、-1次及び+1次の一方のみが存在する場合、周期構造フィーチャ(例えば、格子ライン)の像は、形成されない。
[0039] 図3に示されるアパーチャプレート13及び絞り21の特定の形態は、単なる例である。別の本発明の実施形態では、ターゲットのオンアクシス照明が用いられ、及びオフアクシスアパーチャを有した開口絞りを用いて、実質的にたった1つの1次回折放射がセンサに送られる。さらに他の実施形態では、1次ビームの代わりに、又は1次ビームに加えて、2次、3次及びそれより高次のビーム(図3では不図示)を測定で使用することができる。
[0040] 照明をこれらの異なるタイプの測定に適応できるようにするためには、アパーチャプレート13は、ディスク(これは、所望のパターンを適所に持ってくるために回転する)の周囲に形成される幾つかのアパーチャパターンを含んでいてもよい。なお、アパーチャプレート13N又は13Sを用いて、ある方向(セットアップに応じてX又はY)に配向されたターゲットの周期構造が測定される。直交周期構造の測定の場合、90°及び270°を通るターゲットの回転が実施されてもよい。異なるアパーチャプレートが、図3(c)及び(d)に示される。図3(c)は、2つのさらなるタイプのオフアクシス照明モードを図示する。図3(c)の第1の照明モードでは、アパーチャプレート13Eが、単なる説明目的で、前述の「北」に対して「東」と指定された方向から、オフアクシス照明を提供する。図3(c)の第2の照明モードでは、アパーチャプレート13Wを用いて、「西」と表示された反対方向から同様の照明が提供される。図3(d)は、2つのさらなるタイプのオフアクシス照明モードを図示する。図3(d)の第1の照明モードでは、アパーチャプレート13NWが、前述の通り「北」及び「西」と指定された方向から、オフアクシス照明を提供する。第2の照明モードでは、アパーチャプレート13SEを用いて、前述の通り「南」及び「東」と表示された反対方向から同様の照明が提供される。これらの使用、並びに装置の多数の他のバリエーション及び適用例が、例えば、上述の以前に公表された特許出願公開に記載されている。
[0041] 図4は、基板上に形成された複合メトロロジターゲットの例を描く。複合ターゲットは、共に近接して位置付けられた4つの周期構造(この場合、格子)32、33、34、35を含む。ある実施形態では、周期構造は、それらが全て、メトロロジ装置の照明ビームによって形成される測定スポット31内に位置するほどに共に近接して位置付けられる。従って、この場合、4つの周期構造は、全て同時に照明され、及び同時にセンサ19及び23上に結像される。オーバーレイ測定専用の例では、周期構造32、33、34、35は、それら自体が、上に重なる周期構造によって形成される複合周期構造(例えば複合格子)であり、すなわち、周期構造が、基板W上に形成されるデバイスの異なる層において、及び1つの層の少なくとも1つの周期構造が、異なる層の少なくとも1つの周期構造にオーバーレイするようにパターン形成される。このようなターゲットは、20μm×20μmの範囲内、又は16μm×16μmの範囲内の外寸を有していてもよい。さらに、全ての周期構造を用いて、ある特定の1対の層間のオーバーレイが測定される。ターゲットが、2対以上の層を測定できることを容易にするためには、周期構造32、33、34、35は、複合周期構造の異なる部分が形成される異なる層間のオーバーレイの測定を容易にするために、異なってバイアスされたオーバーレイオフセットを有していてもよい。従って、基板上のターゲットの全ての周期構造を用いて、1対の層が測定され、及び基板上の別の同じターゲットの周期構造の全てを用いて、別の1対の層が測定され、異なるバイアスが、層ペア間の区別を容易にする。特に図7を参照して、オーバーレイバイアスの意味を以下に説明する。
[0042] 図7(a)~(c)は、異なるバイアスを有した、各ターゲットTのオーバーレイ周期構造(この場合、格子)の模式的断面を示す。図3及び図4に見られるように、これらを基板Wに対して使用することができる。X方向の周期性を有した周期構造を単なる例として示す。異なるバイアスを有し、及び異なる配向を有したこれらの周期構造の異なる組み合わせが設けられてもよい。
[0043] 図7(a)から開始して、L1及びL2と表示される2つの層で形成された複合オーバーレイターゲット600が描かれている。下層L1では、第1の周期構造(この場合、格子)が、基板606上のフィーチャ(例えば、ライン)602及びスペース604によって形成される。層L2では、第2の周期構造(この場合、格子)が、フィーチャ(例えば、ライン)608及びスペース610によって形成される。(フィーチャ602、608がページ内に延在するように断面が描かれている。)周期構造パターンは、両層において、ピッチPで繰り返す。ライン602及び608は、単なる例として述べられるものであり、ドット、ブロック、及びビアホールなどの他のタイプのフィーチャを使用することができる。図7Aで示される状況では、オーバーレイエラー及びバイアスが存在せず、従って、各フィーチャ608が下部周期構造のフィーチャ602上に正確に位置する(測定が、「ラインオンライン」である場合-ある実施形態では、各フィーチャ608がスペース604上に正確に位置する場合に、オーバーレイエラーが生じない場合がある(測定が「ラインオントレンチ」である))。
[0044] 図7(b)では、バイアス+dを有した同じターゲットが、上側周期構造のフィーチャ608が、下側周期構造のフィーチャ602に対して右側に距離d分だけシフトされて描かれている(距離dは、ピッチP未満である)。すなわち、フィーチャ608及びフィーチャ602は、それらが共に公称場所に正確に印刷されたとすれば、フィーチャ608がフィーチャ602に対して距離d分だけオフセットされるように配置される。バイアス距離dは、実際には数ナノメートル、例えば、10nm、20nmでもよく、ピッチPは、例えば300~1000nmの範囲内、例えば、500nm又は600nmである。図7Cでは、バイアス-dを有した同じターゲットが、フィーチャ608がフィーチャ602に対して左側にシフトされて描かれている。図7A~Cに示すこのタイプのバイアスされたターゲット、及びそれらの測定における使用が、例えば上述の特許出願公開に記載されている。
[0045] さらに、上記で示唆したように、図7(a)~(c)は、ゼロの領域にバイアスを有する「ラインオンライン」ターゲットと呼ばれる、フィーチャ602上に位置するフィーチャ608(+d又は-dの小さなバイアスが加えられた、又は加えられていない)を描くが、ターゲットは、上側周期構造の各フィーチャ608が下側周期構造のスペース604上に位置するように、ピッチの半分であるP/2のプログラムバイアスを有し得る。これは、「ラインオントレンチ」ターゲットと呼ばれる。この場合も、+d又は-dの小さなバイアスが加えられ得る。「ラインオンライン」ターゲット又は「ラインオントレンチ」ターゲットのどちらかの選択は、適用例に依存する。
[0046] 図4に戻り、周期構造32、33、34、35は、入ってくる放射をX及びY方向に回折するために、図示されるように、それらの配向が異なっていてもよい。一例では、周期構造32及び34は、それぞれ+d及び-dのバイアスを有したX方向周期構造である。周期構造33及び35は、それぞれ+d及び-dのオフセットを有したY方向周期構造であってもよい。4つの周期構造が図示されるが、別の実施形態は、所望の精度を得るために、より大きなマトリックスを包含していてもよい。例えば、3×3アレイの9つの複合周期構造が、バイアス-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4dを有していてもよい。これらの周期構造の個別の像は、センサ23によって捕捉される像において識別することができる。
[0047] 図5は、図3の装置において図4のターゲットを用いて、図3(d)のアパーチャプレート13NW又は13SEを用いて、センサ23上に形成され、及びセンサ23によって検出され得る像の一例を示す。センサ19は、異なる個々の周期構造32~35を分解することはできないが、センサ23は、それを行うことができる。暗い長方形は、センサ上の像のフィールドを表し、その中で、基板上の照明スポット31が、対応する円形エリア41に結像される。これの中で、長方形エリア42~45は、周期構造32~35の像を表す。周期構造が、プロダクトエリア内に位置する場合、プロダクトフィーチャは、このイメージフィールドの周辺においても目に見える場合がある。イメージプロセッサ及びコントローラPUは、パターン認識を用いて、これらの像を処理することによって、周期構造32~35の個別の像42~45を識別する。このように、像は、センサフレーム内のある特定の場所で非常に厳密にアライメントされる必要はなく、これは、測定装置全体としてのスループットを大きく向上させる。
[0048] 周期構造の個別の像が識別されると、これらの個々の像の強度は、例えば識別されたエリア内の選択されたピクセル強度値の平均値又は総計を求めることによって、測定することができる。像の強度及び/又は他の特性は、互いに比較することができる。これらの結果を組み合わせて、リソグラフィプロセスの異なるパラメータを測定することができる。オーバーレイ精度は、そのようなパラメータの一例である。
[0049] 図6は、例えば、PCT特許出願公開国際公開第WO2011/012624号に記載される方法を使用して、どのように、コンポーネント周期構造32~35を含有する2つの層間のオーバーレイエラーが、+1次及び-1次暗視野像の強度を比較することによって明らかとなる周期構造の非対称性により測定されるかを図示する。ステップM1では、図2のリソグラフィックセルを用いて、基板(例えば、半導体ウェーハ)を1回又は複数回処理することによって、周期構造32~35を含むターゲットを包含する構造を作製する。M2では、図3のメトロロジ装置を使用して、周期構造32~35の像を1次回折ビームの一方(例えば、-1)を使用して取得する。ある実施形態では、第1の照明モード(例えば、アパーチャプレート13NWを使用して生成される照明モード)が使用される。次いで、例えば照明モードを変更すること、又は結像モードを変更すること、又はメトロロジ装置の視野において基板Wを180°回転させることによって、他方の1次回折ビーム(+1)を使用して、周期構造の第2の像を取得することができる(ステップM3)。その結果、+1回折放射が第2の像で捕捉される。ある実施形態では、照明モードが変更され、及び第2の照明モード(例えば、アパーチャプレート13SEを使用して生成される照明モード)が使用される。ある実施形態では、0°及び180°の基板配向で測定を行うことによって、TIS(装置要因誤差)のような装置要因アーチファクトを除去することができる。
[0050] なお、各像において1次回折放射の半分のみを包含することによって、ここで言及される「像」は、従来の暗視野顕微鏡像ではない。個々の周期構造フィーチャは、分解されない。各周期構造は、単に、ある強度レベルのエリアによって表される。ステップM4では、それから強度レベルが測定される関心領域(ROI)が、各コンポーネント周期構造の像内で識別される。
[0051] 個々の周期構造32~35それぞれの関心領域P1、P2、P3、P4を識別し、及びその強度を測定すると、周期構造の非対称性、及び従って、例えばオーバーレイエラーを決定することができる。これは、各周期構造32~35の+1次及び-1次に関して取得された強度値を比較することによって、それらの強度の差、すなわち非対称性を識別するステップM5において、イメージプロセッサ及びコントローラPUによって行われる。「差」という用語は、減算のみを指すことを意図したものではない。差は、比率の形式で計算されてもよい。ステップM6では、幾つかの周期構造に関して測定された非対称性を、該当する場合、これらの周期構造のオーバーレイバイアスの知識と共に使用することによって、ターゲットTの付近のリソグラフィプロセスの1つ又は複数の性能パラメータを計算する。興味対象の性能パラメータは、オーバーレイである。焦点及び/又はドーズなどのリソグラフィプロセスの性能の他のパラメータを計算することができる。1つ又は複数の性能パラメータは、リソグラフィプロセスの向上のためにフィードバックすることができる(図6自体の測定及び計算プロセスを向上させるために使用される、ターゲットTの設計を向上させるために使用されるなど)。
[0052] オーバーレイを決定するある実施形態において、図8は、オーバーレイターゲットを形成する個々の周期構造内で、ゼロオフセットの、及び構造的非対称性を持たない「理想的な」ターゲットに関する、オーバーレイエラーOVと測定非対称性Aとの間の関係を図示する曲線702を描く。これらのグラフは、オーバーレイのみを決定する原理を図示するためのものであり、並びに、各グラフにおいて、測定非対称性A及びオーバーレイエラーOVの単位は、任意である。
[0053] 図7(a)~(c)の「理想的な」状況において、曲線702は、測定非対称性Aが、オーバーレイと正弦曲線関係を有することを示す。正弦曲線変動の周期Pは、もちろん適宜のスケールに変換される、周期構造の周期(ピッチ)に対応する。正弦曲線の形は、この例では、純粋なものであるが、実際の状況では、高調波を包含し得る。単純化のために、この例では、(a)ターゲットからの1次回折放射のみが、イメージセンサ23(又はある実施形態では、それの均等物)に到達すること、並びに(b)実験的ターゲット設計が、これらの1次内に、強度と、上側周期構造及び下側周期構造のオーバーレイ結果との間に、純粋な正弦関係が存在するようなものであることを仮定する。これが、実際に当てはまるか否かは、光学系設計、照明放射の波長及び周期構造のピッチP、並びにターゲットの設計及びスタックによる。
[0054] 上述の通り、単一の測定に依存するのではなく、バイアス周期構造を使用して、オーバーレイを測定することができる。このバイアスは、測定信号に対応したオーバーレイの基板上較正として機能する、パターニングデバイス(例えば、レチクル)で定義された既知の値(パターニングデバイスからそれが生成された)を有する。図面では、この計算を、グラフを使って示す。図6のステップM1~M5では、非対称性測定値A+d及びA-dが、それぞれバイアス+dおよび-dを有するコンポーネント周期構造(例えば、図7B及び7Cに示すような)に関して取得される。これらの測定値を正弦曲線にフィッティングすることにより、図示されるような点704及び706が与えられる。バイアスを知っていることにより、真のオーバーレイエラーOVを計算することができる。正弦曲線のピッチPは、ターゲットの設計から分かっている。曲線702の縦のスケールは、最初に分かっていないが、オーバーレイ比例定数Kと呼ぶことができる未知の係数である。
[0055] 方程式の項において、オーバーレイエラーOVと強度非対称性Aとの間の関係は、
±d=Ksin(OV±d)
と仮定され、式中、オーバーレイエラーOVは、ターゲットピッチPが角度2πラジアンに対応するようなスケールで表現される。項dは、測定されるターゲット(又はサブターゲット)の格子バイアスである。異なる既知のバイアス(例えば、+d及び-d)を有するターゲットの2つの測定値を使用して、オーバーレイエラーOVは、
Figure 2022539425000002

を使用して計算することができ、式中、A+dは、+dバイアスされたターゲットの強度非対称性測定値であり、A-dは、-dバイアスされたターゲットの強度非対称性測定値である。
[0056] これらの測定技術は、高速且つ比較的計算的に単純であるが(一旦較正されれば)、それらは、オーバーレイ/側方シフトが非対称性の唯一の原因であるという仮定に基づいている。すなわち、それは、例えば、ターゲットに構造的非対称性を持たない「理想的な」状況を想定している。重ね合わせられた周期構造の一方又は両方内のフィーチャの非対称性などの、スタックにおける構造的非対称性もまた、オーバーレイ/側方シフトに加えて、1次の非対称性を生じさせる。オーバーレイに関連しないこの構造的非対称性は、明らかに測定の乱れを生じさせ、不正確な結果を生じさせる。
[0057] 構造的非対称性の一例として、ターゲットの周期構造の1つ又は複数が、構造的に変形され得る。例えば、ターゲットの周期構造フィーチャ(例えば、格子ライン)の1つ又は複数の側壁は、意図した通りに垂直でなくてもよい。別の例として、ターゲットの周期構造フィーチャ間の1つ又は複数のスペース(例えば、トレンチの格子スペース)は、意図したよりも大きくても、又は小さくてもよい。さらに、ターゲットの周期構造の1つ又は複数のフィーチャ(例えば、格子ライン)は、意図したよりも小さい、又は大きい幅を有してもよい。追加的に、ターゲットの1つ又は複数の周期構造に関して、意図されたものからの差が均一である場合でも、意図されたものからのその差は、ターゲットの1つ又は複数の他の周期構造に関して同じでなくてもよい。複合ターゲットの下側周期構造における構造的非対称性は、構造的非対称性の一般的な形態である。それは、例えば、下側周期構造が最初に形成された後に行われる、化学機械研磨(CMP)などの基板処理ステップに由来し得る。
[0058] 図7(d)を参照し、下側周期構造の構造的非対称性の一例が、模式的に描かれる。図7(a)~(c)の周期構造のフィーチャ及びスペースは、実際のフィーチャ及びスペースが、表面に多少の傾斜及びある程度のラフネスを有するときに、完全正方形面を有して示されている。それでもやはり、それらは、プロファイルにおいて少なくとも対称的であることが意図される。図7(d)の下側周期構造におけるフィーチャ602及び/又はスペース604は、もはや対称的な形態を全く持たず、例えば1つ又は複数の処理ステップによって歪められている。従って、例えば、各スペース604の底面が傾斜している。フィーチャ及びスペースの側壁角も非対称的となっている。オーバーレイが、2つのバイアス周期構造のみを使用して図6の方法によって測定される場合、構造的非対称性は、オーバーレイと区別することができず、及び結果として、オーバーレイ測定が信頼性を欠くものとなる。
[0059] ターゲットの構造的非対称性に加えて、又はその代わりに、ターゲットの隣接する周期構造間、又は隣接するターゲット間のスタック差が、オーバーレイ測定などの測定の確度に悪影響を及ぼす要因となり得ることをさらに発見した。スタック差は、隣接する周期構造又はターゲット間の物理的構成の意図的でない差と理解され得る。スタック差は、オーバーレイエラー以外、意図的なバイアス以外、及び隣接する周期構造又はターゲットに共通の構造的非対称性以外の原因による、隣接する周期構造又はターゲット間の測定放射の光学特性(例えば、強度、偏光など)の差を生じさせる。スタック差には、隣接する周期構造又はターゲット間の厚さの差(例えば、ある周期構造又はターゲットが、実質的に等しい高さにあるように設計された別の周期構造又はターゲットよりも高い又は低いような1つ又は複数の層の厚さの差)、隣接する周期構造又はターゲット間の屈折率の差(例えば、ある周期構造又はターゲットの1つ又は複数の層の合計屈折率が、実質的に等しい合計屈折率を有するように設計されているにもかかわらず、別の周期構造又はターゲットの1つ又は複数の層の合計屈折率とは異なるような、1つ又は複数の層の屈折率の差)、隣接する周期構造又はターゲット間の材料の差(例えば、ある周期構造又はターゲットに関して、実質的に同じ材料を有するように設計された別の周期構造又はターゲットとの材料の差が存在するような、1つ又は複数の層の材料のタイプ、材料の均一性などの差)、隣接する周期構造又はターゲットの構造の格子周期の差(例えば、実質的に同じ格子周期を有するように設計された別の周期構造又はターゲットとの、ある周期構造又はターゲットに関する格子周期の差)、隣接する周期構造又はターゲットの構造の深さの差(例えば、実質的に同じ深さを有するように設計された別の周期構造又はターゲットとの、エッチングによる、ある周期構造又はターゲットの構造の深さの差)、隣接する周期構造又ターゲットのフィーチャの幅(CD)の差(例えば、実質的に同じフィーチャの幅を有するように設計された別の周期構造又はターゲットとの、ある周期構造又はターゲットのフィーチャの幅の差)などが含まれる(ただし、これらに限定されない)。幾つかの例では、スタック差は、パターニングプロセスにおいて、CMP、層堆積、エッチングなどの処理ステップによって導入される。ある実施形態では、周期構造又はターゲットは、互いに200μm以内、互いに150μm以内、互いに100μm以内、互いに75μm以内、互いに50μm以内、互いに40μm以内、互いに30μm以内、互いに20μm以内、又は互いに10μm以内の場合に隣接する。
[0060] 強度非対称性測定A+d、A-d(下付き文字は、ROIに対応するターゲットエリアのターゲットバイアスを示す)に対するスタック差(これは、格子間の格子不均衡とも呼ばれる場合がある)の影響は、一般に、
+d=(K+ΔK)sin(OV+d)
-d=(K-ΔK)sin(OV-d)
として公式化することができ、式中ΔKは、スタック差が原因のオーバーレイ感度の差を表す。従って、オーバーレイエラーOV(それが小さいと仮定する)は、
Figure 2022539425000003

に比例し得る。
[0061] スタック差は、空間スタックパラメータ変動、すなわち、基板にわたる(ターゲット間)スタックパラメータ変動と見なすことができる。直面し得る別の問題は、ターゲットのスタックパラメータの1つ又は複数が、プロセスドリフトにより、経時的に最適から逸脱する、スタックパラメータプロセスドリフトである。これは、時間スタックパラメータ変動であると見なすことができる。
[0062] そこで、構造的非対称性、スタック差、スタックパラメータプロセスドリフト、及びその他のプロセス変動性に直面して、所望のプロセスパラメータ(例えば、オーバーレイ)の正確な測定を生じさせる、及び/又はプロセス変動性に対してロバストな所望のプロセスパラメータの測定値をもたらす、ターゲットレイアウト、測定ビーム波長、測定ビーム偏光などの組み合わせを導出することが望ましい。従って、例えば、より正確なプロセスパラメータ測定を取得するための、及び/又はプロセス変動性に対してロバストな所望のプロセスパラメータの測定値をもたらす、ターゲット・測定パラメータ組み合わせの望ましくは最適の選択を用いて測定を行うことが望ましい。これは、ターゲットの測定確度及び/又は感度が、ターゲット自体の1つ若しくは複数の属性、及び/又はターゲット上に提供された測定放射の1つ若しくは複数の属性、例えば、放射の波長、放射の偏光、及び/又は放射の強度分布(すなわち、角度若しくは空間強度分布)に関して異なり得るためである。ある実施形態では、放射の波長範囲は、ある範囲から選択された(例えば、約400nm~900nmの範囲から選択された)1つ又は複数の波長に限定される。さらに、放射ビームの異なる偏光の選択が提供されてもよく、例えば複数の異なるアパーチャを使用して、様々な照明形状が提供されてもよい。そのため、ある特定のターゲットに対して最適化された測定プロファイルを決定することが望ましい。
[0063] 測定プロファイルは、測定自体の1つ又は複数のパラメータを含み、測定自体の1つ又は複数のパラメータは、測定を行うために使用される測定ビーム及び/又は測定装置に関係する1つ又は複数のパラメータを含み得る。例えば、基板測定レシピに使用される測定が回折ベースの光学的測定である場合、測定自体の1つ又は複数のパラメータは、測定放射の波長、及び/又は測定放射の偏光、及び/又は測定放射強度分布、及び/又は測定放射の基板に対する照明角度(例えば、入射角、アジマス角など)、及び/又は回折測定放射の基板上のパターンに対する相対配向、及び/又はターゲットの測定点若しくはインスタンスの数、及び/又は基板上で測定されるターゲットのインスタンスの場所を含み得る。測定自体の1つ又は複数のパラメータは、検出器感度、開口数などを含み得る、測定に使用されるメトロロジ装置の1つ又は複数のパラメータを含み得る。
[0064] この状況では、測定されるパターン(「ターゲット」又は「ターゲット構造」とも呼ばれる)は、光学的に測定される、例えば回折が測定されるパターンでもよい。測定されるパターンは、測定目的で特別に設計又は選択されたパターンでもよい。ターゲットの複数のコピーが、基板上の多くの場所に配置されてもよい。例えば、基板測定レシピは、オーバーレイを測定するために使用されてもよい。ある実施形態では、基板測定レシピは、別のプロセスパラメータ(例えば、ドーズ、焦点、CDなど)を測定するために使用されてもよい。ある実施形態では、測定プロファイルは、基板上の既存パターンに対して結像されるパターンの層のアライメントを測定するために使用されてもよく、例えば、測定プロファイルは、基板の相対位置を測定することによって、パターニングデバイスを基板にアライメントさせるために使用されてもよい。
[0065] ターゲット・測定パラメータ組み合わせの評価及び最適化を行うための幾つかの方法を説明した。このような方法は、製造に先立って行われる。従って、一旦最適化されると、1つ又は複数の選択されたターゲット・測定パラメータ組み合わせは、一般的に、製造工程全体を通して使用され、すなわち、所定のターゲット・測定パラメータ組み合わせに従って対応するターゲット設計のターゲットを測定するために、所定の測定プロファイルが使用される。しかしながら、先述の通り、ターゲット間のスタック差、及び/又はスタックパラメータプロセスドリフトを引き起こす、ターゲットにおける意図的でないスタックパラメータ変動が存在する場合がある。例えば、スタック内の1つ又は複数の層の層厚さは、基板にわたり(すなわち、ターゲット間で)、及び/又は経時的に(すなわち、ドリフト)異なり得る。このスタックパラメータ変動の結果の1つは、ターゲットにとって、測定プロファイルがもはや最適ではないことの場合がある。これは、ターゲットの測定が不正確であることをもたらし得る。また、スタックパラメータ変動は、一般にプロセス制御問題(例えば、プロセスドリフト)のしるしの場合があり、従って、それ自体、有用なプロセスモニタリングメトリックとなり得る。
[0066] ターゲット・測定パラメータ組み合わせの評価及び最適化を行う方法は、測定プロファイル、特に、波長などの測定放射の1つ又は複数のパラメータの変動を有するターゲット応答の変動を記述するターゲット応答シーケンスデータ(例えば、スペクトルシーケンスデータ)を分析する方法を含み得る。ある実施形態では、ターゲット応答シーケンスデータは、測定放射波長の関数として、測定データの振動依存(例えば、(像面における)フィールドデータとして、又は(瞳面における)瞳データとして取得された強度メトリック)を表し得る。図9は、強度メトリック、この特定の例では、単一の偏光(この場合、直線X偏光)に関する様々な波長λにおけるオーバーレイ感度Kの測定に関する、ターゲットのデータのグラフ例である。曲線K(λ)は、上記データを用いてフィッティングされており、従って、この表現は、スイング曲線と呼ばれる場合がある。認識されるように、単にデータが処理され得るので、グラフは生成される必要はない。データの類似のグラフが、同じターゲットに関して、異なる単一偏光(例えば、直線Y偏光)に関する様々な波長における測定のために構築されてもよい。図9では、スタック感度及びオーバーレイ感度が、様々な測定ビーム波長に関してグラフに描かれている。さらに、ここでは偏光は、直線X偏光であるが、それは、(直線Y偏光、左楕円偏光放射、右楕円偏光放射などの)異なる偏光でもよい。
[0067] 強度メトリックは、検出された強度、例えば、強度非対称性、オーバーレイ感度K、又はスタック感度(SS)(信号コントラストも)から導出された任意の適宜のメトリックでもよい。スタック感度は、ターゲット(例えば、格子)層間の回折により、オーバーレイが変化するにつれて、どのくらい信号の強度が変化するかの測度として理解することができる。すなわち、オーバーレイの状況では、それは、オーバーレイターゲットの上側周期構造と下側周期構造のコントラストを検出し、従って、上側周期構造と下側周期構造の回折効率間のバランスを表す。従って、それは、測定の感度の測度例である。ある実施形態では、スタック感度は、強度非対称性と平均強度の比である。ある実施形態では、スタック感度は、SS=KL/Iとして公式化することができ、式中、Lは、ユーザ定義定数であり(例えば、ある実施形態では、値Lは20nm及び/又はバイアスdの値である)、Iは、ターゲットにより回折された測定ビームの平均強度である。
[0068] 図9の例は、波長λの関数としてオーバーレイ感度K(λ)に関するスイング曲線を示し、ここでは、
Figure 2022539425000004

であり、A(λ)+d及びA(λ)-dは、波長の関数として、それぞれバイアス+d及び-dに対応した強度非対称性測定であり、df(λ)は、波長の関数としてのドーズ係数である。ドーズ係数は、ソース強度及び測定時間の任意の関数でもよい。ある特定の実施形態では、それは、波長の関数として、ソース強度及び積分時間の積を含んでもよい。
[0069] 図10は、メトロロジプロセスで使用されるオーバーラップする格子を示す。それは、上部格子101及び下部格子102を含む。この特定の例では、角度103によって定義されるような下部格子102の傾斜などの特定の形態の幾何学的非対称性が強調される。上部格子は、材料104上に形成され、材料104は、この特定の例では、半導体デバイスの一部を形成する、異なる屈折率を有する交互層を含み、これは、1つの特定の例であり、スタックを限定するものでは全くない。
[0070] 図10にさらに示されるように、下部格子の傾きにより、上部格子101と下部格子102との間の相対距離として定義されるオーバーレイが、ov1、131、又はov2、132、又はさらにov3、133などの異なる値を有する可能性がある。検出器に衝突する放射束を形成する放射は、例として、光線120、121、122、及び123から形成され、光線120は、上部格子101によって反射された放射であり、放射121は、下部格子102の最上部によって反射された放射であり、放射122は、上部格子からD+Hの距離に位置する下部格子102の一部によって反射された放射であり、放射123は、下部格子102の底部によって反射された放射である。メトロロジターゲットにおける波伝搬の単純化した例から分かるように、全ての放射束120、121、122、及び123が、検出器に衝突する放射束を形成する放射に寄与する。さらに、放射束121と共に放射束120は、ov1、131に関する情報を運び、放射束122と共に放射束120は、ov2、132に関する情報を運び、放射束123と共に放射束120は、ov3、133に関する情報を運ぶ。従って、検出器に衝突する放射は、下部格子又は上部格子の一方において、格子傾斜、床面傾斜、上面傾斜などの幾何学的非対称性を含むターゲットに関して定義され得る全ての可能なオーバーレイに関する情報を運ぶ。従って、格子が幾何学的非対称性、例えば傾斜を含む場合に、どのオーバーレイ値が測定されるかを判別可能であることが、本メトロロジプロセスの課題である。
[0071] メトロロジターゲットにおける放射の伝搬は、例えば方程式1に見られるように、強度I、周波数ω、及び位相φを有する波としてさらに記述することができる。
I=A+Bcos(ωt+φ) 方程式1
[0072] 式中、Aはオフセットであり、Bは波の振幅パラメータであり、周波数ωは2πn(D+H)に比例し(nは屈折率であり、D+Hは図10に示す通りである、tは放射として使用される光の1/波長である。この記述から、方程式1により、伝搬波121、122、及び123との波120の干渉を記述することができる。つまり、波121、122、及び123(並びに方程式1及びスタック幾何学的パラメータを考慮して可能な多数の波の全て)のそれぞれとの波120の干渉は、どこで波が検出器に向けて反射し返されるかに応じて、長さ単位(例えば、ナノメートル)の特定の周波数によって特徴付けられる。
[0073] 図11は、メトロロジ装置のパラメータ、例えば波長202の関数として、メトロロジ測定から推論されるパラメータ201をさらに示す。この依存は、波長の関数として、パラメータ201の周期変動の形を取る。周期203は、スタックの総厚さ、すなわち、上部格子101と下部格子102との間の距離に依存する。周期は、スタックが厚みを増すほど短くなり、スタックが薄くなるほど長くなる。
[0074] 本発明の第1の態様では、メトロロジターゲットから反射された放射を測定することと、測定された放射を成分に分解することと、を含む方法が提案される。ある実施形態では、測定された放射を分解することは、測定された放射のフーリエ変換を用いて取得される。ある実施形態では、測定された放射は、図11に示されるような放射201である。測定結果の成分への分解の結果を図12にさらに示す。図12は、波長の関数として要素201のフーリエ変換を示し、それは、周波数(例えば、nm単位)の関数として、成分302の振幅を含む。個々の成分は、120と、121、122、及び123とによって形成される放射のそれぞれに対応する310、311、及び312である。個々の成分の検出は、当該技術分野の一部と見なされ、フーリエ変換技術は、図11に示される信号の個々の周波数成分のそれぞれの識別を可能にする。周波数成分は、ウェーブレット変換及びラプラス変換を含む(ただし、これらに限定されない)他の技術によっても検出することができる。
[0075] 本発明のさらなる態様では、a)メトロロジターゲットに放射を当てることと、b)ターゲットからの散乱放射を検出することと、c)メトロロジ装置のパラメータを変更することと、d)メトロロジ装置のパラメータの多数の値に関して、ステップa)~c)を繰り返すことと、e)放射を成分に分解することと、を含むリソグラフィプロセスのパラメータを測定する方法が提供される。本発明のある実施形態では、分解はフーリエ変換である。本発明のある実施形態では、本方法は、閾値320よりも小さい成分を選択する。ある実施形態では、オーバーレイは、逆分解を使用し、及び選択された成分のみを利用して取得される。ある実施形態では、逆分解は、逆フーリエ変換である。オーバーレイはさらに、全体として参照により本明細書に援用される米国特許出願公開第2012-0242970号による最先端の方法を使用して取得される。本発明のある実施形態では、閾値320は、1つの成分、図12の310のみが残るように選ばれ、残りの信号は、逆分解ステップで使用される。この場合、測定放射に寄与する波は、波120及び121だけであるため、測定される唯一のオーバーレイはov1、131である。
[0076] 本発明のさらなる態様では、図12に示されるようなスペクトルを取得することにより、取得された高調波のそれぞれの位相の測定が可能になる。ある実施形態では、オーバーレイは、図12の高調波の位相の差から計算することができ、位相の差は、正及び負の1次回折に関して取得される。特定の深さD1に対応する高調波のフーリエ位相は、Φ±1=θ(Z+D1)±iφOVLによって与えられる。OVLは、オーバーレイ値であり、D1は、それに関して高調波が計算される深さであり、θは、一般的位相であり、φOVLは、オーバーレイに比例した位相である。ここで、上述したように、ある特定の高調波のフーリエ位相間の差を取得する場合、Φ+1-Φ-1=2φOVL=2×2π×OVL/ピッチであり、これは、上記フーリエ高調波の位相からのみオーバーレイ値の抽出を可能にする。測定放射パラメータのフーリエ高調波の位相に比例するパラメータからオーバーレイ値を取得することを含む、このようなオーバーレイの計算方法が、本発明に特有のものであり、最先端技術で用いられる既知の方法に関するメトロロジプロセスにおいてオーバーレイを測定する代替方法を提供することを認識されたい。本発明のある態様では、メトロロジターゲットから反射された放射を測定することと、測定された放射を成分に分解することと、各成分を表す少なくとも2つの位相値を計算することと、上記位相間の関係からパラメータを計算することと、を含むプロセスのパラメータを測定する方法が提供される。
[0077] 本発明のさらなる態様では、a)メトロロジターゲットに放射を当てることと、b)ターゲットからの散乱放射を検出することと、c)メトロロジ装置のパラメータを変更することと、d)メトロロジ装置のパラメータの多数の値に関して、ステップa)~c)を繰り返すことと、e)ステップd)で取得された測定をフィルタにかけることと、を含む、リソグラフィプロセスのパラメータを測定する方法が提供される。ある実施形態では、ステップd)で取得された測定は、個々の成分に分解される。ある実施形態では、フィルタ320は、個々の成分を定義した上限値及び下限値を含む。ある実施形態では、フィルタ320は、成分の区間を定義する上限値及び下限値を含む。ある実施形態では、フィルタ320は、変化する。本発明のこの態様の利点は、フィルタ320によって定義される特定の深さにおけるオーバーレイ値を測定することである。Dであるフィルタ320が選ばれると、ov1、131のみが測定される(反射された放射中の他の全ての成分は除去されるため)。フィルタ320が成分311の近くに上限値及び下限値を有する場合、ov2、132のみが測定される。このようにして、オーバーレイが測定され、スタックの異なる深さでプロービングされる。このようにして、スタックの特定の深さにおいて正確なオーバーレイ、すなわち、他のオーバーレイ値の寄与によって汚染されていないオーバーレイを測定することが可能である。フィルタ320が変化する場合、スタックの個々の深さで取得されたオーバーレイ値は、3Dオーバーレイを提供し得る。また、測定値の適切な大きさ変更により、本発明のこの態様の方法は、測定されたスタックの3D非対称性情報を提供することができる。
[0078] 本発明のさらなる態様では、第1のターゲット場所で下部格子の3D非対称性マップを取得することと、多数のターゲットに関して3D非対称性マップを取得することを繰り返すことと、上記の測定に基づいて、ウェーハのターゲット非対称性のマップを取得することと、を含むリソグラフィプロセスを特徴付ける方法が提供される。
[0079] 図11では、測定信号201が、複数の波長202で取得される。本発明による分解の確度は、図11のグラフを作成するサンプル点の数と共に向上する。しかしながら、各測定点は、波長を変更するため、及び測定を行うために必要な関連の測定時間を有する。この点で、密過ぎるサンプリングは、必要とされる測定値が特に冗長であるため、メトロロジプロセスのスループットの増加につながる。例えば、図11のグラフがたった1つの成分を含む場合、波長の数に関するサンプリングレートは、ナイキスト基準によって決まる。ナイキスト基準によって与えられる理論サンプリング値に加えて、経験的手法は、例えばレシピセットアップ段階において、実験の設計によりサンプリングレートを作成することを含み得る。本発明のさらなる態様において、メトロロジ装置のパラメータの第1の複数の値で第1の複数の測定を取得することと、最小の第2の測定数及びそれに関連するメトロロジ装置のパラメータの第2の値を、パラメータの第1の値の主要情報になお似つつ、メトロロジ装置のパラメータの第2の値がメトロロジ装置のパラメータの第1の値より少ないように、計算することと、を含む、メトロロジ装置のパラメータを選択する方法が提供される。
[0080] 上記の方法は、メトロロジ装置のパラメータ(例えば、波長、照明放射の偏光状態、又は照明放射の多数の入射角)の異なる値における多数の測定値を用いることによって、オーバーレイの測定を可能にする。当該技術分野では、実際の状況において、このような較正は、測定が同じウェーハ上、又は別のウェーハ上の別のターゲットに対して行われる場合には、処理条件が、公称的には同一であるが、実際には望ましくない変動によって影響を受け、このような変動は、制御され得ないため、適さない場合があることが知られている。従って、各測定されるメトロロジターゲットに特有の最も適切な測定条件を取得する方法が提供されることが望ましい。最先端技術は、レシピ選択方法としても知られる多数のそのような方法を含む。本発明のある態様では、オーバーレイを計算するための上記の方法論は、メトロロジ装置の最も適したパラメータを提供するためにも適応させることができる。従って、本発明のある態様では、波長、偏光、又は入射角などの放射のパラメータの複数の値でターゲットに上記放射を当てることと、上記パラメータの上記複数の値で上記放射を検出することと、測定された放射を成分に分解することと、を含む方法が提供される。どのオーバーレイ値が興味対象(例えば、図10のオーバーレイ131)であるかによって、上記測定された放射から関連の高調波が抽出される。他の高調波も抽出される。レシピ選択方法のさらなる態様では、単一の高調波に関する測定された放射の依存が、例えば単純な正弦波が上記高調波に依存すると仮定して、再計算される。本方法は、このような関数の再構築に限定されず、高調波から信号を再構築する他の方法が用いられてもよい。レシピ選択方法のさらなる態様では、個々の高調波に基づく再計算された測定信号の依存が比較される。ある実施形態では、最適波長とは、(興味対象のオーバーレイに対応する)興味対象の高調波に関する再計算された依存が第1の閾値を上回る値を有し、並びに(それが信号の付加及び汚染を行うため)関心対象ではない高調波に関する再計算された依存の値が第2の閾値を下回る波長である。
[0081] ある実施形態では、入力として第1の閾値及び第2の閾値の値を有する検索アルゴリズムに基づいて、選択が数値で取得される。ある実施形態では、選択は、熟練したオペレータによって行われてもよい。最も適した波長を選択する方法のさらなる実施形態では、任意の波長が選択される。さらに、再計算された依存の1つの周期内の距離であるように第2の波長が選択される。ある実施形態では、興味対象の値が、2つの選択された波長の平均値に基づいて計算される。ある実施形態では、2つの波長間の距離が、再計算された依存の少なくとも1つの周期の半分である。別の実施形態では、上記距離は、再計算された依存間の距離の3分の1である。
[0082] さらに別の実施形態では、再計算された信号又はオーバーレイの測定に対する望ましくない高調波の寄与の抑制は、望ましくない高調波をもたらす成分が抑制されるように、照明放射の帯域幅を変更することによって行われてもよい。ある実施形態では、帯域幅が、矩形フィルタ特性を用いてフィルタ処理され、ここでは、帯域幅が興味対象の高調波の周期又は興味対象の高調波の周期の倍数に合致する。ある実施形態では、興味対象の高調波は、望ましくない寄与を誘発する高調波である。
[0083] 本発明の上記の説明は、放射の単一の値が、メトロロジ装置のパラメータの値ごとに検出されるメトロロジに適する。例えば、暗視野メトロロジの場合、ターゲットの暗視野像の強度の平均値が複数の波長で測定される。図3(a)に示されるように、メトロロジ装置は、像を獲得する際に使用されるセンサに対する相補センサからメトロロジ測定(例えば、図3(a)のセンサ19を用いて取得されたメトロロジ)を提供することもできることが認識される。このような測定は、図3(a)のセンサ23によって取得される測定を補完し、さらなる情報、例えば角度情報を含む。
[0084] 本発明のさらなる態様では、相補測定ユニットにおいて利用可能な情報を使用することが提案される。この方法は、図10~12に関連して説明した方法に類似し、ここでは、像検出を補完する検出において取得された測定に対して分解が行われる。分解の要素は、像測定値に対する相補面に存在するような光の伝搬の基本構成要素によって決定される。このような構成要素は、ターゲット構造を有する全ての可能な放射経路の伝搬及び総和を考慮する理論モデルに基づいて計算されてもよい。この点で、本方法は、放射が上記メトロロジ装置の像面で検出される方法に対する追加の最適化ステップを必要とする。最適化ステップは、像面に対する上記相補面において信号を形成する関連の要素を決定することを含む。メトロロジ装置の像面に対する相補面における測定に関係する本発明のある態様では、測定信号の分解の基礎を成す要素は、ターゲットによって散乱された放射の空間モード又は成分である。このような方法の重要な利点は、ターゲット構造内の様々な放射経路からの寄与が同時に捕捉されるという事実、すなわち、メトロロジプロセスのスループットを大幅に向上させ得る事実である。
[0085] 光リソグラフィの状況における本発明の実施形態の使用に対する具体的な言及を上記で行ったが、本発明は、他の適用例、例えば、インプリントリソグラフィにおいて使用されてもよく、及び状況が許せば、光リソグラフィに限定されないことが認識されるだろう。インプリントリソグラフィにおいて、パターニングデバイスにおけるトポグラフィが、基板上に形成されるパターンを定義する。パターニングデバイスのトポグラフィは、基板に供給されたレジストの層に押し付けることができ、そこで、レジストが、電磁放射、熱、圧力、又はそれらの組み合わせを加えることによって硬化される。パターニングデバイスは、レジストの硬化後に、レジストから抜け、レジスト内にパターンを残す。
[0086] 本発明のさらなる実施形態を、以下の番号が付された条項に記載する。
1. メトロロジターゲットから反射された放射を測定することと、
測定された放射を成分に分解することと、
を含む、方法。
2. 測定された放射を分解することが、測定された放射のフーリエ変換を用いて取得される、条項1に記載の方法。
3. a)メトロロジターゲットに放射を当てることと、
b)ターゲットからの散乱放射を検出することと、
c)メトロロジ装置のパラメータを変更することと、
d)メトロロジ装置のパラメータの多数の値に関して、ステップa)~c)を繰り返すことと、
e)放射を成分に分解することと、
を含む、リソグラフィプロセスのパラメータを測定する方法。
4. 分解がフーリエ変換である、条項3に記載の方法。
5. 方法が、閾値320よりも小さい成分を選択することをさらに含む、条項3に記載の方法。
6. オーバーレイが、逆分解を使用し、及び選択された成分のみを利用して生成される、条項3に記載の方法。
7. a)メトロロジターゲットに放射を当てることと、
b)ターゲットからの散乱放射を検出することと、
c)メトロロジ装置のパラメータを変更することと、
d)メトロロジ装置のパラメータの多数の値に関して、ステップa)~c)を繰り返すことと、
e)ステップd)で取得された測定をフィルタにかけることと、
を含む、リソグラフィプロセスのパラメータを測定する方法。
8. ステップd)で取得された測定が、個々の成分に分解される、条項7に記載の方法。
9. フィルタ320が、個々の成分を定義する上限値及び下限値を含む、条項7に記載の方法。
10. フィルタ320が、成分の区間を定義する上限値及び下限値を含む、条項7に記載の方法。
11. フィルタ320が変化する、条項7に記載の方法。
12. 第1のターゲット場所で下部格子の3D非対称性マップを取得することと、多数のターゲットに関して3D非対称性マップを取得することを繰り返すことと、上記の測定に基づいて、ウェーハのターゲット非対称性のマップを取得することと、を含むリソグラフィプロセスを特徴付ける方法。
13. メトロロジ装置のパラメータの第1の複数の値で第1の複数の測定を取得することと、
最小の第2の測定数及びそれに関連するメトロロジ装置のパラメータの第2の値を計算することであって、
メトロロジ装置のパラメータの第2の値がメトロロジ装置のパラメータの第1の値より少ないように、計算することと、
を含む、メトロロジ装置のパラメータを選択する方法。
14. 適宜の装置上で実行されると、条項1~13の何れか一項に記載の方法を行うように動作可能なプログラム命令を含むコンピュータプログラム。
15. 条項14のコンピュータプログラムを含む、非一時的コンピュータプログラムキャリア。
16. メトロロジターゲットから反射された放射を測定することと、
測定された放射を成分に分解することと、
各成分を表す少なくとも2つの位相値を計算することと、
上記位相間の関係からパラメータを計算することと、
を含むプロセスのパラメータを測定する方法。
17. 照明源の多数のパラメータにおいてメトロロジターゲットを照明することと、
照明源の上記多数のパラメータにおいてメトロロジターゲットによる散乱放射を検出することと、
測定された放射を成分に分解することと、
成分の少なくとも1つに対応する測定された放射の依存を再計算することと、
閾値との関連で決定された依存の値に関する照明源のパラメータを選択することと、
を含む、メトロロジプロセスのレシピ選択方法。
18. メトロロジターゲットから反射された放射を測定することと、
測定された放射を空間成分に分解することと、
を含む、方法。
19. 測定された放射がメトロロジ装置の像面を補完する面において取得される、
条項18に記載の方法。
[0087] 本明細書で使用される「放射」及び「ビーム」という用語は、紫外線(UV)(例えば、約365、355、248、193、157、又は126nmの波長を有する)及び極端紫外線(EUV)(例えば、5~20nmの範囲の波長を有する)を包含するあらゆるタイプの電磁放射、並びにイオンビーム又は電子ビームなどの粒子ビームを対象に含める。
[0088] 「レンズ」という用語は、状況が許せば、屈折、反射、磁気、電磁及び静電光学コンポーネントを包含する様々なタイプの光学コンポーネントの何れか1つ又は組み合わせを指す場合がある。
[0089] 具体的な実施形態の上記の記載により、他の人々が、当該技術分野の知識を適用することによって、様々な適用例のために、上記具体的な実施形態を、過度の実験なしに、本発明の一般的概念から逸脱することなく、容易に修正及び/又は適応させることができるように、本発明の実施形態の一般的性質が明らかとなる。従って、このような適応及び修正は、本明細書に提示される教示及びガイダンスに基づいた、開示された実施形態の均等物の意味及び範囲内であることが意図される。本明細書における表現又は用語は、例示による説明を目的としたものであり、及び限定を目的としたものではないので、本明細書の用語又は表現は、本教示及びガイダンスに鑑みて当業者によって解釈されるものである。

Claims (11)

  1. メトロロジターゲットから反射された放射を測定することと、
    前記測定された放射を成分に分解することと、
    を含む、方法。
  2. 前記測定された放射を分解することが、前記測定された放射のフーリエ変換を用いて取得される、請求項1に記載の方法。
  3. a)メトロロジターゲットに放射を当てることと、
    b)前記ターゲットからの散乱放射を検出することと、
    c)前記メトロロジ装置のパラメータを変更することと、
    d)前記メトロロジ装置の前記パラメータの多数の値に関して、ステップa)~c)を繰り返すことと、
    e)前記放射を成分に分解することと、
    を含む、リソグラフィプロセスのパラメータを測定する方法。
  4. 前記分解がフーリエ変換である、請求項3に記載の方法。
  5. 閾値320よりも小さい前記成分を選択することをさらに含む、請求項3に記載の方法。
  6. オーバーレイが、逆分解を使用し、及び前記選択された成分のみを利用して生成される、請求項3に記載の方法。
  7. a)メトロロジターゲットに放射を当てることと、
    b)前記ターゲットからの散乱放射を検出することと、
    c)メトロロジ装置のパラメータを変更することと、
    d)前記メトロロジ装置の前記パラメータの多数の値に関して、ステップa)~c)を繰り返すことと、
    e)ステップd)で取得された前記測定値をフィルタにかけることと、
    を含む、リソグラフィプロセスのパラメータを測定する方法。
  8. ステップd)で取得された前記測定値が、個々の成分に分解される、請求項7に記載の方法。
  9. フィルタ320が、個々の成分を定義する上限値及び下限値を含む、請求項7に記載の方法。
  10. フィルタ320が、成分の区間を定義する上限値及び下限値を含む、請求項7に記載の方法。
  11. フィルタ320が、変化する、請求項7に記載の方法。
JP2022500749A 2019-07-08 2020-07-07 メトロロジ方法及び関連のコンピュータプロダクト Pending JP2022539425A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962871429P 2019-07-08 2019-07-08
US62/871,429 2019-07-08
PCT/EP2020/069139 WO2021005067A1 (en) 2019-07-08 2020-07-07 Metrology method and associated computer product

Publications (1)

Publication Number Publication Date
JP2022539425A true JP2022539425A (ja) 2022-09-08

Family

ID=71579570

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022500749A Pending JP2022539425A (ja) 2019-07-08 2020-07-07 メトロロジ方法及び関連のコンピュータプロダクト

Country Status (6)

Country Link
US (1) US20220252990A1 (ja)
JP (1) JP2022539425A (ja)
KR (1) KR20220019795A (ja)
CN (1) CN114080536A (ja)
TW (1) TWI758771B (ja)
WO (1) WO2021005067A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11796925B2 (en) * 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies
KR20230122933A (ko) 2022-02-15 2023-08-22 주식회사 엘지에너지솔루션 용접 방법 및 그에 의해 제조되는 전극 조립체

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011525039A (ja) * 2008-05-12 2011-09-08 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ用検査装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) * 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
CN102498441B (zh) 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
US9189705B2 (en) * 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
WO2019015995A1 (en) * 2017-07-18 2019-01-24 Asml Netherlands B.V. METHODS AND APPARATUS FOR MEASURING A PARAMETER OF A CHARACTERISTIC MANUFACTURED ON A SEMICONDUCTOR SUBSTRATE
JP7124071B2 (ja) * 2017-10-05 2022-08-23 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を決定するためのメトロロジシステムおよび方法
EP3489756A1 (en) * 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011525039A (ja) * 2008-05-12 2011-09-08 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ用検査装置

Also Published As

Publication number Publication date
US20220252990A1 (en) 2022-08-11
TW202109206A (zh) 2021-03-01
TWI758771B (zh) 2022-03-21
CN114080536A (zh) 2022-02-22
KR20220019795A (ko) 2022-02-17
WO2021005067A1 (en) 2021-01-14

Similar Documents

Publication Publication Date Title
US10698322B2 (en) Metrology method, computer product and system
JP6577086B2 (ja) メトロロジ方法および装置、リソグラフィシステムならびにデバイス製造方法
JP6524256B2 (ja) メトロロジ方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
JP6084704B2 (ja) ドーズおよびフォーカス決定方法、検査装置、パターニングデバイス、基板、ならびにデバイス製造方法
JP6510658B2 (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
KR102281795B1 (ko) 메트롤로지 방법, 장치 및 컴퓨터 프로그램
KR102370347B1 (ko) 메트롤로지 방법 및 장치 및 연계된 컴퓨터 제품
JP2016539370A (ja) リソグラフィメトロロジのための方法、装置及び基板
KR20190046981A (ko) 메트롤로지 방법, 장치 및 컴퓨터 프로그램
US11150563B2 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
TWI734284B (zh) 用於判定微影製程之效能參數之目標
TWI758771B (zh) 度量衡方法及相關電腦產品
TW202004360A (zh) 度量衡方法、裝置及電腦程式
EP3671346A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220302

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230404

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230627

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231227

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240315