JP2022522146A - Integrated tool elevator - Google Patents

Integrated tool elevator Download PDF

Info

Publication number
JP2022522146A
JP2022522146A JP2021549737A JP2021549737A JP2022522146A JP 2022522146 A JP2022522146 A JP 2022522146A JP 2021549737 A JP2021549737 A JP 2021549737A JP 2021549737 A JP2021549737 A JP 2021549737A JP 2022522146 A JP2022522146 A JP 2022522146A
Authority
JP
Japan
Prior art keywords
hoisting
semiconductor processing
carriage
feature
arm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021549737A
Other languages
Japanese (ja)
Other versions
JPWO2020180505A5 (en
Inventor
アヴァンジノ・ポール・アルバート
アントリク・ジャレル・ケイ.
ブラウン・ダニー・アーサー
トレッドウェル・ジェイソン・リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022522146A publication Critical patent/JP2022522146A/en
Publication of JPWO2020180505A5 publication Critical patent/JPWO2020180505A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • B65G47/74Feeding, transfer, or discharging devices of particular kinds or types
    • B65G47/90Devices for picking-up and depositing articles or materials
    • B65G47/902Devices for picking-up and depositing articles or materials provided with drive systems incorporating rotary and rectilinear movements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2201/00Indexing codes relating to handling devices, e.g. conveyors, characterised by the type of product or load being conveyed or handled
    • B65G2201/02Articles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【解決手段】上部支持フレームワークと、第1の軸に沿って配列された複数の半導体処理チャンバと、上部支持フレームワークにより固定して支持され、第1の軸に実質的に平行な第2の軸に沿って伸展する直線誘導システムと、キャリッジとを含む半導体処理ツールを提供する。各チャンバは、上部支持フレームワークに対して固定して搭載された基底部分、および1つまたは複数の巻上特徴を伴う取外し可能な上部カバーを有する。キャリッジは、第2の軸に実質的に垂直な垂直軸を中心にして枢動するように構成された巻上アームを含み、直線誘導システムと移動可能に係合して、直線誘導システムに対して第2の軸に沿って並進するように構成される。キャリッジおよび巻上アームは、取外し可能な上部カバーの中のいずれかの前記巻上特徴と係合するように巻上アームの巻上特徴係合インタフェースを動かすことができるように移動可能である。【選択図】図2SOLUTION: A second axis is fixedly supported by an upper support framework, a plurality of semiconductor processing chambers arranged along a first axis, and an upper support framework, and is substantially parallel to the first axis. A semiconductor processing tool including a linear guidance system extending along the axis of the carriage and a carriage is provided. Each chamber has a base portion fixed and mounted relative to the top support framework, and a removable top cover with one or more hoisting features. The carriage includes a hoisting arm configured to pivot about a vertical axis that is substantially perpendicular to the second axis and movably engages the linear guidance system with respect to the linear guidance system. Is configured to translate along the second axis. The carriage and hoisting arm are movable such that the hoisting feature engaging interface of the hoisting arm can be moved to engage any said hoisting feature in the removable top cover. [Selection diagram] Fig. 2

Description

(関連出願の相互参照)
PCT願書様式は、本出願の一部として本明細書と同時に提出される。同時に提出されるPCT願書様式で識別されるように、本出願が利益または優先権を主張する各出願は、参照により全体が事実上本明細書に組み入れられる。
(Mutual reference of related applications)
The PCT application form is submitted at the same time as this specification as part of this application. As identified in the PCT application form submitted at the same time, each application for which this application claims interest or priority is effectively incorporated herein by reference in its entirety.

多くの半導体処理ツールは、保守、点検、および修理中に取り除かれる大きくて重い構成要素を有する。 Many semiconductor processing tools have large and heavy components that are removed during maintenance, inspection, and repair.

本明細書で記述するのは、保守、点検、および修理のために半導体処理ツールの構成要素を動かすための新規な装置およびシステムである。これらのツールは、ツールの支持フレームワークに直接に、または間接的に搭載された、直線状アレイの形で並んで配列された多数の半導体処理チャンバを有してよい。半導体処理チャンバの多くの構成要素は、従来はツールと別個の、床により完全に支持された従来の巻上設備、たとえばクレーンまたはフォークリフトを使用して持ち上げられ、動かされるが、本明細書で記述するいくつかのツールは、ツール自体の中に一体化された、取外し可能な構成要素を動かすための巻上システムを有する。いくつかの実装形態では巻上システムは、支持フレームワークに直接に、または間接的に搭載された、半導体処理チャンバの直線状アレイに沿って伸展する直線誘導システムを含んでよい。直線誘導システムに接続され、それにより支持されるのは、半導体処理チャンバのいずれかの構成要素と接触し、それらを持ち上げ、動かすことができる移動可能な巻上アームを有する移動可能なキャリッジ(運び台)であってよく、キャリッジおよびその巻上アームは、一緒になると巻上アームが半導体処理チャンバの中のいずれかの取外し可能な構成要素と接触して、それらを動かすことができるように直線誘導システムに沿って動くことができる。巻上アームおよび取外し可能な構成要素は、巻上アームが取外し可能な構成要素と接触してそれらを持ち上げることができるようにする相補的接続特徴を有してよい。取外し可能な構成要素をキャリッジが持ち上げるとき、その取外し可能な構成要素の重量は、巻上アーム、キャリッジ、および直線誘導システムを通して支持フレームワークに完全に伝えられる。いくつかの実施形態ではキャリッジは、直線誘導システムから、たとえば直線誘導システムの下方に、または直線誘導システムの側面に垂れ下がってよい。 Described herein are novel devices and systems for moving the components of semiconductor processing tools for maintenance, inspection, and repair. These tools may have a large number of semiconductor processing chambers arranged side by side in the form of a linear array, mounted directly or indirectly on the tool's support framework. Many components of a semiconductor processing chamber are lifted and moved using conventional floor-supported hoisting equipment, eg cranes or forklifts, traditionally separate from the tool, as described herein. Some tools have a hoisting system for moving removable components integrated within the tool itself. In some implementations, the hoisting system may include a linear guidance system that extends along a linear array of semiconductor processing chambers, either directly or indirectly mounted on the support framework. Connected to and supported by a linear guidance system is a movable carriage with a movable hoisting arm that can contact, lift and move any component of the semiconductor processing chamber. The carriage and its hoisting arm, together, are straight so that the hoisting arm can come into contact with any removable component in the semiconductor processing chamber and move them. Can move along the guidance system. The hoisting arm and removable components may have complementary connecting features that allow the hoisting arm to contact and lift them in contact with the removable components. When the carriage lifts the removable component, the weight of the removable component is fully transferred to the support framework through the hoisting arm, carriage, and linear guidance system. In some embodiments, the carriage may hang from the linear guidance system, eg, below the linear guidance system, or to the sides of the linear guidance system.

いくつかの実装形態では、人は、直線誘導システムに沿ってキャリッジを動かし、さらにまた取外し可能な構成要素と接触する位置の中に巻上アームを動かしてよい。いくつかのそのような実装形態では、巻上アームを上げ下げするキャリッジ上の巻上機構など、モータによりキャリッジのさまざまな様態に動力を供給してよい。いくつかの他の実施形態では、モータおよび他の移動機構は、直線誘導システムに沿ってキャリッジを動かしてよい、および/または巻上アームを水平および/または垂直に動かしてよい。プロセッサおよびメモリを有するコントローラは、キャリッジおよび巻上アームの動きを制御することができる。 In some implementations, one may move the carriage along a linear guidance system and also move the hoisting arm into a position where it contacts removable components. In some such implementations, a motor may power various aspects of the carriage, such as a hoisting mechanism on the carriage that raises and lowers the hoisting arm. In some other embodiments, the motor and other moving mechanisms may move the carriage along a linear guidance system and / or move the hoisting arm horizontally and / or vertically. A controller with a processor and memory can control the movement of the carriage and hoisting arm.

いくつかの代替実施形態ではツールは、キャリッジおよび直線誘導システムと異なる巻上システムを有してよい。これらの代替実施形態は、支持フレームワークに接続された1つまたは複数の付着点に接続する分離できる巻上システムを使用する。この分離できる巻上システムは、床の上に位置決めされ、床により支持され、垂直部材に側面支持を提供するために支持フレームワーク上の付着点に接続された垂直部材を有する。分離できる巻上システムはまた、垂直部材が1つまたは複数の付着点に接続されると半導体処理チャンバの中の1つの取外し可能な構成要素と接続してそれを持ち上げることができる垂直部材に移動可能に付着した巻上アームを含む。いくつかの実施形態では、分離できる巻上システムはその巻上アームを除いて、1つまたは複数の付着点に接続されると静止し、一方では、いくつかの他の実施形態では、分離できる巻上システムおよびそれが接続される付着点は、半導体処理チャンバのアレイに沿って同時に移動可能である。 In some alternative embodiments, the tool may have a hoisting system that is different from the carriage and linear guidance system. These alternative embodiments use a separable hoisting system that connects to one or more attachment points connected to the support framework. This separable hoisting system has a vertical member that is positioned on the floor, supported by the floor, and connected to a point of attachment on the support framework to provide side support to the vertical member. The separable hoisting system also moves to a vertical member that can be connected to one removable component in the semiconductor processing chamber and lifted when the vertical member is connected to one or more attachment points. Includes hoisting arms that are potentially attached. In some embodiments, the separable hoisting system is stationary when connected to one or more attachment points, except for its hoisting arm, while in some other embodiments it is separable. The hoisting system and the attachment points to which it is connected can move simultaneously along the array of semiconductor processing chambers.

いくつかの実施形態では、半導体処理ツールを提供してよい。半導体処理ツールは、上部支持フレームワークと、第1の軸に沿って配列された第1の複数の半導体処理チャンバと、上部支持フレームワークにより固定して支持された、第1の軸に実質的に平行な第2の軸に沿って伸展する第1の直線誘導システムと、第1のキャリッジとを含んでよい。各半導体処理チャンバは、上部支持フレームワークに対して固定して搭載された基底部分を有してよく、1つまたは複数の巻上特徴を伴う取外し可能な上部カバーを有してよく、第1のキャリッジは、1つまたは複数のリンクを伴う第1の巻上アームを含んでよく、第1の巻上アームは、第2の軸に対して実質的に垂直な垂直軸を中心にして枢動するように構成されてよく、第1のキャリッジは、第1の直線誘導システムと移動可能に係合して、第1の直線誘導システムに対して第2の軸に沿って並進するように構成されてよく、第1の巻上アームは、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中のいずれかの巻上特徴と係合するように構成された巻上特徴係合インタフェースを含んでよく、第1のキャリッジおよび第1の巻上アームは、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中のいずれかの巻上特徴と係合するように巻上特徴係合インタフェースを動かすことができるように移動可能であってよい。 In some embodiments, semiconductor processing tools may be provided. The semiconductor processing tool is substantially on the first axis, fixed and supported by the upper support framework, the first plurality of semiconductor processing chambers arranged along the first axis, and the upper support framework. It may include a first linear guidance system extending along a second axis parallel to and a first carriage. Each semiconductor processing chamber may have a base portion fixed and mounted relative to the top support framework and may have a removable top cover with one or more hoisting features, first. The carriage may include a first hoisting arm with one or more links, the first hoisting arm being pivoted about a vertical axis that is substantially perpendicular to the second axis. It may be configured to move so that the first carriage movably engages with the first linear guidance system and translates along the second axis with respect to the first linear guidance system. The first hoisting arm may be configured to engage any hoisting feature in the removable top cover of the first plurality of semiconductor processing chambers. An interface may be included, the first carriage and the first hoisting arm hoisting to engage any hoisting feature within the removable top cover of the first plurality of semiconductor processing chambers. Features It may be movable so that the engagement interface can be moved.

いくつかの実施形態では第1のキャリッジは、第1の直線誘導システムに対して垂直に、垂直軸に平行な方向に第1の巻上アームを並進させるように構成された第1の垂直並進システムをさらに含んでよい。 In some embodiments, the first carriage is a first vertical translation configured to translate the first hoisting arm perpendicular to the first linear guidance system and in a direction parallel to the vertical axis. The system may be further included.

いくつかのそのような実施形態では、半導体処理ツールは、電源をさらに含んでよい。第1の垂直並進システムは、第1の垂直並進システムに第1の機械的入力を提供するように構成されたモータを含んでよく、第1の機械的入力は、垂直方向に、垂直軸に平行な方向に第1の巻上アームを並進させてよく、第1のキャリッジは、電源に接続され、かつ第1の巻上アームに沿って経路設定され、かつコネクタにより終端される電気制御ケーブルをさらに含んでよく、各取外し可能な上部カバーは、コネクタと接続可能なように構成された電気的インタフェースをさらに含んでよく、電気制御ケーブルは、コネクタ、および第1の巻上アームの巻上特徴係合インタフェースがそれぞれ一度に半導体処理チャンバの中の1つだけの電気的インタフェースおよび巻上特徴とだけ同時に係合可能な長さからなってよい。 In some such embodiments, the semiconductor processing tool may further include a power source. The first vertical translation system may include a motor configured to provide a first mechanical input to the first vertical translation system, the first mechanical input in the vertical direction and on the vertical axis. The first hoisting arm may be translated in parallel directions, the first carriage being connected to a power source and routed along the first hoisting arm, and an electrical control cable terminated by a connector. The removable top cover may further include an electrical interface configured to connect to the connector, the electrical control cable may include the connector, and the hoisting of the first hoisting arm. The feature engagement interface may each consist of a length that can be simultaneously engaged with only one electrical interface and the hoisting feature in the semiconductor processing chamber.

いくつかの他のそのような実施形態では半導体処理ツールは、1つまたは複数のプロセッサと、1つまたは複数の非一時的記憶素子とを有するコントローラをさらに含んでよく、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、各半導体処理チャンバの動作状態に関する情報を受信して、電気的インタフェースにより半導体処理チャンバの中の1つに、その半導体処理チャンバが人に安全な状態あることをその半導体処理チャンバの動作状態に関する情報が示すときだけ第1の垂直並進システムを動作させる第1の作動信号を提供させるための命令を記憶する。 In some other such embodiments, the semiconductor processing tool may further include a controller with one or more processors and one or more non-temporary storage elements, one or more non-semiconductors. The temporary storage device controls one or more processors to receive information about the operating state of each semiconductor processing chamber, and the semiconductor processing chamber is placed in one of the semiconductor processing chambers by an electrical interface. Only when the information about the operating state of the semiconductor processing chamber indicates that the person is in a safe state is the instruction to provide the first operating signal to operate the first vertical translation system.

いくつかの他のそのような実施形態では、半導体処理ツールは、第1の直線誘導システムに沿った第1のキャリッジの位置に関するデータを生成するように構成された第1のキャリッジ位置センサと、1つまたは複数のプロセッサおよび1つまたは複数の非一時的記憶素子を備えるコントローラとをさらに含んでよく、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、第1のキャリッジ位置センサが生成したデータに基づき第1の直線誘導システムに沿った第1のキャリッジの位置を決定し、かつ第1のキャリッジの位置の決定に基づき、一度に第1の複数の半導体処理チャンバの中の1つの半導体処理チャンバの電気的インタフェースだけに電力を供給させるための命令を記憶する。 In some other such embodiments, the semiconductor processing tool comprises a first carriage position sensor configured to generate data about the position of the first carriage along the first linear guidance system. It may further include one or more processors and a controller with one or more non-temporary storage elements, one or more non-temporary storage elements controlling one or more processors. The position of the first carriage along the first linear guidance system is determined based on the data generated by the first carriage position sensor, and the first plurality at a time is determined based on the determination of the position of the first carriage. Stores instructions for powering only the electrical interface of one semiconductor processing chamber in the semiconductor processing chamber.

いくつかの他の実施形態では、半導体処理ツールは、第1の複数の半導体処理チャンバの中の半導体処理チャンバに対する第1の巻上アームの位置に関するデータを生成するように構成されたアーム位置センサをさらに含んでよく、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、アーム位置センサが生成したデータに基づき、第1の複数の半導体処理チャンバの中の半導体処理チャンバの各々に対する第1の巻上アームの位置を決定し、かつ第1の巻上アームの位置の決定および第1のキャリッジの位置の決定に基づき、第1の巻上アームの巻上特徴係合インタフェースに最も近い、第1の複数の半導体処理チャンバの中の半導体処理チャンバの電気的インタフェースだけに電力を供給させる命令をさらに記憶する。 In some other embodiments, the semiconductor processing tool is an arm position sensor configured to generate data about the position of the first hoisting arm with respect to the semiconductor processing chamber among the first plurality of semiconductor processing chambers. One or more non-temporary storage elements may control one or more processors and based on the data generated by the arm position sensor, in the first plurality of semiconductor processing chambers. The hoisting of the first hoisting arm is determined based on the position of the first hoisting arm with respect to each of the semiconductor processing chambers and the position of the first hoisting arm and the position of the first carriage. Features Further stores instructions to power only the electrical interface of the semiconductor processing chamber among the first plurality of semiconductor processing chambers that is closest to the engaging interface.

いくつかの他の実施形態では、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、第1のキャリッジを通過し、かつ垂直軸に平行で第2の軸に垂直な垂直面の第1の側でだけ第1の巻上アームを動かす命令をさらに記憶してよい。 In some other embodiments, one or more non-temporary storage elements control one or more processors to pass through the first carriage and parallel to the vertical axis and the second axis. Further memory may be given to move the first hoisting arm only on the first side of the vertical plane perpendicular to.

いくつかの他のそのような実施形態では、半導体処理ツールは、取外し可能な上部カバーの中の1つの巻上特徴と第1の巻上アームの巻上特徴係合インタフェースが係合したかどうかに関するデータを生成するように構成された係合センサと、1つまたは複数のプロセッサおよび1つまたは複数の非一時的記憶素子を備えるコントローラとをさらに含んでよく、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、係合センサが生成したデータに基づき、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中の1つの巻上特徴と第1の巻上アームの巻上特徴係合インタフェースが係合したかどうかを判断して、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースが係合したと判断したことに応答してその取外し可能な上部カバーを包含する第1の複数の半導体処理チャンバの中の半導体処理チャンバの電気的インタフェースだけに電力を供給させるための命令を記憶する。 In some other such embodiments, the semiconductor processing tool is whether one hoisting feature in a removable top cover and the hoisting feature engagement interface of the first hoisting arm are engaged. It may further include an engagement sensor configured to generate data about, and a controller with one or more processors and one or more non-temporary storage elements, one or more non-temporary. The storage element controls one or more processors, and based on the data generated by the engagement sensor, one hoisting feature in the removable top cover of the first plurality of semiconductor processing chambers and the first. One hoisting feature and hoisting feature engagement in the removable top cover of the first plurality of semiconductor processing chambers, determining if the hoisting feature engagement interface of the hoisting arm is engaged. An instruction to power only the electrical interface of a semiconductor processing chamber among a first plurality of semiconductor processing chambers including its removable top cover in response to determining that the interface is engaged. Remember.

いくつかの他のそのような実施形態では、取外し可能な上部カバーは、電気ケーブルを通して電源から電力を受信してよい。 In some other such embodiments, the removable top cover may receive power from a power source through an electrical cable.

いくつかのそのような実施形態では、第1のキャリッジは、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中のいずれかの巻上特徴と係合して、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中の1つの巻上特徴と係合しないときに第1の垂直並進システムが第1の巻上アームを垂直方向に並進させないように構成される第1のインターロックをさらに含んでよい。 In some such embodiments, the first carriage engages with any of the hoisting features in the removable top cover of the first plurality of semiconductor processing chambers, the first plurality. A first configured to prevent the first vertical translation system from vertically translating the first hoisting arm when not engaging with one hoisting feature in the removable top cover of the semiconductor processing chamber. Interlocks may be further included.

いくつかのそのような実施形態では、第1の垂直並進システムは、直線ボールねじアクチュエータ、油圧アクチュエータ、ラックとピニオンを用いたアクチュエータ、およびケーブル巻上装置であってよい。 In some such embodiments, the first vertical translational system may be a linear ball screw actuator, a hydraulic actuator, an actuator with a rack and a pinion, and a cable hoisting device.

いくつかのそのような実施形態では、半導体処理ツールは、1つまたは複数のプロセッサと、1つまたは複数の非一時的記憶素子とを備えるコントローラをさらに含んでよい。第1の直線誘導システムは、第2の軸に沿って第1のキャリッジを並進させるように構成されたキャリッジ並進システムをさらに含んでよく、第1のキャリッジは、垂直軸に垂直な平面内で第1の巻上アームを動かすように構成された巻上アーム移動システムをさらに含んでよく、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、キャリッジ並進システムに、第1のキャリッジが第2の軸に沿って動くようにさせ、巻上アーム並進システムおよび第1の垂直並進システムに、第1の巻上アームを動かして、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースを係合させ、取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースが係合したときに第1の垂直並進システムに、取外し可能な上部カバーを垂直に並進させ、取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースが係合したときに第1の垂直並進システムに、その取外し可能な上部カバーを並進させ、取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースが係合したときに巻上アーム移動システムに、その取外し可能な上部カバーを垂直軸に垂直な平面内で並進させるための命令を記憶してよい。 In some such embodiments, the semiconductor processing tool may further include a controller with one or more processors and one or more non-temporary storage elements. The first linear guidance system may further include a carriage translation system configured to translate the first carriage along the second axis, the first carriage in a plane perpendicular to the vertical axis. It may further include a hoisting arm moving system configured to move the first hoisting arm, one or more non-temporary storage elements controlling one or more processors to be a carriage translation system. First, the first carriage is moved along the second axis, and the hoisting arm translation system and the first vertical translation system are moved by the first hoisting arm to process the first plurality of semiconductors. One hoisting feature and hoisting feature engagement interface in the removable top cover of the chamber engages, and one hoisting feature and hoisting feature engaging interface in the removable top cover engages. When this is done, the removable top cover is translated vertically into the first vertical translation system, and when one of the hoisting features in the removable top cover and the hoisting feature engagement interface are engaged, the first. Translate its removable top cover into the vertical translation system of, and to the hoisting arm moving system when the hoisting feature and hoisting feature engagement interface in one of the removable top covers is engaged. Instructions for translating the removable top cover in a plane perpendicular to the vertical axis may be stored.

いくつかの他のそのような実施形態では、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースが係合したときに巻上アーム移動システムおよび第1の垂直並進システムに、第1の巻上アームを動かして、その取外し可能な上部カバーの巻上特徴から巻上特徴係合インタフェースを切り離させるための命令をさらに記憶してよい。 In some other such embodiments, one or more non-temporary storage elements control one or more processors and have one hoisting feature and winding in a removable top cover. Top Features When the engagement interface engages, the hoisting arm movement system and the first vertical translation system move the first hoisting arm from its removable top cover hoisting feature to hoisting feature. Further instructions for disconnecting the interface may be stored.

いくつかの他のそのような実施形態では、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースが係合したときにキャリッジ並進システムおよび巻上アーム移動システムに、垂直軸に垂直な平面内でその取外し可能な上部カバーを並進させるための命令をさらに記憶してよい。 In some other such embodiments, one or more non-temporary storage elements control one or more processors and have one hoisting feature and winding in a removable top cover. Top Features The carriage translation system and hoisting arm movement system may further store instructions for translating its removable top cover in a plane perpendicular to the vertical axis when the engagement interface is engaged.

いくつかの実施形態では、第1の複数の半導体処理チャンバの中の半導体処理チャンバは、すべてツール包絡面の内部に配置されてよく、第1の巻上アームは、第1の複数の半導体処理チャンバの取外し可能な上部カバーのいずれもツール包絡面の外側に動かすことができるように移動可能であってよい。 In some embodiments, the semiconductor processing chambers in the first plurality of semiconductor processing chambers may all be located inside the tool envelope, and the first hoisting arm may be the first plurality of semiconductor processing. Any of the removable top covers of the chamber may be movable so that it can be moved outside the tool envelope.

いくつかの実施形態では、第1の直線誘導システムは、互いに平行で垂直軸に平行な方向に互いにずれた第1のレールおよび第2のレールをさらに含んでよく、第1のキャリッジは、第1のレールおよび第2のレールと同時に係合して、第1のレールおよび第2のレールと同時に係合している間、第1の直線誘導システムに対して第2の軸に沿って並進するように構成されてよい。 In some embodiments, the first linear guidance system may further include a first rail and a second rail that are parallel to each other and offset from each other in a direction parallel to the vertical axis, the first carriage being the first. While engaging at the same time as the first rail and the second rail and at the same time as the first rail and the second rail, it translates along the second axis with respect to the first linear guidance system. It may be configured to do so.

いくつかのそのような実施形態では、第1のキャリッジは、第1の直線誘導システムの下方で、かつ第1の複数の半導体処理チャンバの上方で、第1の巻上アームを第1の直線誘導システムに対して垂直に、垂直軸に平行な方向に並進させるように構成された第1の垂直並進システムをさらに含んでよい。 In some such embodiments, the first carriage is below the first linear guidance system and above the first plurality of semiconductor processing chambers, with the first hoisting arm in the first straight line. It may further include a first vertical translation system configured to translate perpendicular to the guidance system and in a direction parallel to the vertical axis.

いくつかの他のそのような実施形態では、第1の垂直並進システムは、第1の直線誘導システムの上方で第1の巻上アームを垂直に並進させるようにさらに構成されてよい。 In some other such embodiments, the first vertical translation system may be further configured to vertically translate the first hoisting arm above the first linear guidance system.

いくつかの実施形態では、第1の直線誘導システムは、垂直軸に平行な方向に、第1の複数の半導体処理チャンバの上方で垂直にずれてよく、第1のキャリッジは、第1の直線誘導システムの真下で垂直にずれてよい。 In some embodiments, the first linear guidance system may be offset vertically above the first plurality of semiconductor processing chambers in a direction parallel to the vertical axis, and the first carriage is a first straight line. It may shift vertically beneath the guidance system.

いくつかの実施形態では、巻上特徴係合インタフェースは、垂直軸に垂直な2つ以上の軸を中心にして巻上特徴係合インタフェースが回転できるようにするように構成された継手を使用して、第1の巻上アームの遠位端部と接続されてよい。 In some embodiments, the hoisting feature engaging interface uses a fitting configured to allow the hoisting feature engaging interface to rotate about two or more axes perpendicular to the vertical axis. And may be connected to the distal end of the first hoisting arm.

いくつかのそのような実施形態では、継手は球面継手であってよい。 In some such embodiments, the fitting may be a spherical fitting.

いくつかのそのような実施形態では、継手は、垂直軸に平行な軸を中心にして巻上特徴係合インタフェースが回転できるようにするようにさらに構成されてよい。 In some such embodiments, the fitting may be further configured to allow the hoisting feature engagement interface to rotate about an axis parallel to the vertical axis.

いくつかの実施形態では、各取外し可能な上部カバーの巻上特徴は、1対のサドルポストを含んでよく、各サドルポストは、1対の垂直ライザーロッド(riser rod)、および垂直ライザーロッドの上限を定め、垂直ライザーロッドの間に広がるサドルプレートを含んでよく、各サドルプレートは、第1の機械的インタフェース特徴を含んでよく、各巻上特徴のサドルポストは、第1の機械的インタフェース特徴を第1の距離だけ互いに離して間隔を置いて配置するように位置決めされてよく、巻上特徴係合インタフェースは、第1の距離だけ離して間隔を置いて配置された2つの第2の機械的インタフェース特徴を伴う梁を含んでよく、各第1の機械的インタフェース特徴は、第2の機械的インタフェース特徴の1つに相補的であってよい。 In some embodiments, each removable top cover hoisting feature may include a pair of saddle posts, each saddle post being a pair of vertical riser rods, and a pair of vertical riser rods. It may include a saddle plate that caps and extends between the vertical riser rods, each saddle plate may include a first mechanical interface feature, and each hoisting feature saddle post may include a first mechanical interface feature. May be positioned so that they are spaced apart from each other by a first distance, and the hoisting feature engagement interface is two second machines spaced apart by a first distance. Each first mechanical interface feature may be complementary to one of the second mechanical interface features.

いくつかの実施形態では、第1の複数の半導体処理チャンバの中の各半導体処理チャンバは、無線周波数(radio frequency、RF)発生器、ポンプ、および低温ポンプであってよい取外し可能な構成要素を含んでよい。各取外し可能な構成要素は、1つまたは複数の第2の巻上特徴を含んでよく、第1の巻上アームの巻上特徴係合インタフェースは、第1の複数の半導体処理チャンバの取外し可能な構成要素の中のいずれかの第2の巻上特徴と係合するようにさらに構成されてよく、第1のキャリッジおよび第1の巻上アームは、第1の複数の半導体処理チャンバの取外し可能な構成要素の中のいずれかの第2の巻上特徴と係合するように巻上特徴係合インタフェースを動かすことができるように可動であってよい。 In some embodiments, each semiconductor processing chamber within the first plurality of semiconductor processing chambers comprises removable components that may be radio frequency (RF) generators, pumps, and low temperature pumps. May include. Each removable component may include one or more second hoisting features, and the hoisting feature engagement interface of the first hoisting arm is a removable first plurality of semiconductor processing chambers. The first carriage and the first hoisting arm may be further configured to engage any second hoisting feature in any of the components, with the removal of the first plurality of semiconductor processing chambers. It may be movable such that the hoisting feature engagement interface can be moved to engage any second hoisting feature among the possible components.

いくつかの実施形態では、第1の巻上アームは、垂直軸に垂直な、巻上特徴係合インタフェースを含む直線区画を含んでよい。 In some embodiments, the first hoisting arm may include a linear compartment that includes a hoisting feature engagement interface that is perpendicular to the vertical axis.

いくつかのそのような実施形態では、第1の巻上アームは、自身が垂直軸を中心にして枢動するように構成された枢動区画を含んでよく、枢動区画と直線区画の間に広がる、垂直軸に対して斜角で配向された角度を成す区画を含んでよい。 In some such embodiments, the first hoisting arm may include a pivot compartment configured to pivot itself about a vertical axis, between the pivot compartment and the linear compartment. It may include compartments that form an angle oriented at an oblique angle with respect to the vertical axis.

いくつかの実施形態では、第1の複数の半導体処理チャンバは、2つの半導体処理チャンバを含んでよい。 In some embodiments, the first plurality of semiconductor processing chambers may include two semiconductor processing chambers.

いくつかのそのような実施形態では、第1の複数の半導体処理チャンバは、3つの半導体処理チャンバを含んでよい。 In some such embodiments, the first plurality of semiconductor processing chambers may include three semiconductor processing chambers.

いくつかの他のそのような実施形態では、第1の複数の半導体処理チャンバは、5つの半導体処理チャンバを含む。 In some other such embodiments, the first plurality of semiconductor processing chambers comprises five semiconductor processing chambers.

いくつかの実施形態では、半導体処理ツールは、第1の軸に実質的に平行な、第1の軸からずれた第3の軸に沿って配列された第2の複数の半導体処理チャンバと、第1の複数の半導体処理チャンバと第2の複数の半導体処理チャンバの間に配置された内部領域と、上部支持フレームワークにより固定して支持され、第3の軸に実質的に平行な第4の軸に沿って伸展する第2の直線誘導システムと、第2のキャリッジとをさらに含んでよい。第1の直線誘導システムおよび第2の直線誘導システムは、内部領域の外側に位置決めされてよく、第2の複数の半導体処理チャンバの中の各半導体処理チャンバは、上部支持フレームワークに対して固定して搭載された第2の基底部分を有してよく、1つまたは複数の第2の巻上特徴を伴う第2の取外し可能な上部カバーを有し、第2のキャリッジは、1つまたは複数のリンクを伴う第2の巻上アームを含んでよく、第2の巻上アームは、第4の軸に垂直な第2の垂直軸を中心にして枢動するように構成されてよく、第2のキャリッジは、第2の直線誘導システムと移動可能に係合して、第2の直線誘導システムに対して第4の軸に沿って並進するように構成されてよく、第2の巻上アームは、第2の複数の半導体処理チャンバの中の半導体処理チャンバの第2の取外し可能な上部カバーの中のいずれかの第2の巻上特徴と係合するように構成された第2の巻上特徴係合インタフェースを含んでよく、第2のキャリッジおよび第2の巻上アームは、第2の複数の半導体処理チャンバの中の半導体処理チャンバの第2の取外し可能な上部カバーの中のいずれかの巻上特徴と係合するように第2の巻上特徴係合インタフェースを動かすことができるように移動可能であってよい。 In some embodiments, the semiconductor processing tool comprises a second plurality of semiconductor processing chambers arranged along a third axis off the first axis, substantially parallel to the first axis. A fourth, secured and supported by an upper support framework and substantially parallel to a third axis, with an internal region located between the first plurality of semiconductor processing chambers and the second plurality of semiconductor processing chambers. A second linear guidance system extending along the axis of the second carriage and a second carriage may be further included. The first linear guidance system and the second linear guidance system may be positioned outside the internal region, and each semiconductor processing chamber in the second plurality of semiconductor processing chambers is fixed to the upper support framework. The second carriage may have one or more second hoisting features and a second removable top cover with one or more second hoisting features. A second hoisting arm with a plurality of links may be included, the second hoisting arm may be configured to pivot about a second vertical axis perpendicular to the fourth axis. The second carriage may be configured to movably engage the second linear guidance system and translate along the fourth axis with respect to the second linear guidance system, the second winding. The upper arm is configured to engage any second hoisting feature in the second removable top cover of the semiconductor processing chamber in the second plurality of semiconductor processing chambers. Hoisting Features The engagement interface may include a second carriage and a second hoisting arm in a second removable top cover of the semiconductor processing chamber in a second plurality of semiconductor processing chambers. It may be movable such that the second hoisting feature engagement interface can be moved to engage any of the hoisting features of.

いくつかのそのような実施形態では、第1の複数の半導体処理チャンバの基底部分、第2の複数の半導体処理チャンバの第2の基底部分、および内部領域は、すべて第2の包絡面の内部に配置されてよく、第1の巻上アームは、第1の複数の半導体処理チャンバの中のいずれかの取外し可能な上部カバーを第2の包絡面の外側に動かすことができるように移動可能であってよく、第2の巻上アームは、第2の複数の半導体処理チャンバの中のいずれかの第2の取外し可能な上部カバーを第2の包絡面の外側に動かすことができるように移動可能であってよい。 In some such embodiments, the base portion of the first plurality of semiconductor processing chambers, the second base portion of the second plurality of semiconductor processing chambers, and the internal region are all inside the second envelope. The first hoisting arm can be moved so that the removable top cover in any of the first plurality of semiconductor processing chambers can be moved to the outside of the second envelope. The second hoisting arm may be such that the second removable top cover in any of the second plurality of semiconductor processing chambers can be moved to the outside of the second envelope. It may be mobile.

いくつかのそのような実施形態では、第2の取外し可能な上部カバーは、取外し可能な上部カバーと同じタイプからなってよく、第2の巻上特徴係合インタフェースは、巻上特徴係合インタフェースと同じタイプからなってよく、第2の巻上特徴は、巻上特徴と同じタイプからなってよい。 In some such embodiments, the second removable top cover may be of the same type as the removable top cover, and the second hoisting feature engaging interface is a hoisting feature engaging interface. The second hoisting feature may be of the same type as the hoisting feature.

いくつかの実施形態では、半導体処理ツールは、第1のキャリッジが第1の直線誘導システムと係合したときに第1のキャリッジと第1の直線誘導システムの界面でシールを生み出すベローズをさらに含んでよい。 In some embodiments, the semiconductor processing tool further comprises a bellows that creates a seal at the interface between the first carriage and the first linear guidance system when the first carriage engages the first linear guidance system. It's fine.

いくつかのような実施形態では、半導体処理ツールは、第2のキャリッジをさらに含んでよい。第2のキャリッジは、1つまたは複数のリンクを伴う第2の巻上アームを含んでよく、第2の巻上アームは、第2の軸に垂直な第2の垂直軸を中心にして枢動するように構成され、第2のキャリッジは、第1の直線誘導システムと移動可能に係合して、第1の直線誘導システムに対して第2の軸に沿って並進するように構成されてよく、第2の巻上アームは、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中のいずれかの巻上特徴と係合するように構成された第2の巻上特徴係合インタフェースを含んでよく、第2のキャリッジおよび第2の巻上アームは、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中のいずれかの巻上特徴と係合するように第2の巻上アームの第2の巻上特徴係合インタフェースを動かすことができるように移動可能であってよく、第1の直線誘導システムは、第1のキャリッジおよび第2のキャリッジが第1の直線誘導システムに同時に係合されて、第2の軸に沿って移動可能であることができるようにさらに構成されてよい。 In some embodiments, the semiconductor processing tool may further include a second carriage. The second carriage may include a second hoisting arm with one or more links, the second hoisting arm centered on a second vertical axis perpendicular to the second axis. Configured to move, the second carriage is movably engaged with the first linear guidance system and is configured to translate along the second axis with respect to the first linear guidance system. A second hoisting arm may be configured to engage any hoisting feature in the removable top cover of the first plurality of semiconductor processing chambers. A combined interface may be included, the second carriage and the second hoisting arm so as to engage any hoisting feature in the removable top cover of the first plurality of semiconductor processing chambers. The second hoisting feature of the second hoisting arm may be movable so that the engagement interface can be moved, and the first linear guidance system is such that the first carriage and the second carriage are the first. It may be further configured to be simultaneously engaged with a linear guidance system and be able to move along a second axis.

いくつかの実施形態では、取外し可能な上部カバーは、基板ではなくてよい。 In some embodiments, the removable top cover does not have to be the substrate.

いくつかの実施形態では、第1の巻上アームは、基板を支持するように構成されなくてよい。 In some embodiments, the first hoisting arm does not have to be configured to support the substrate.

いくつかのそのような実施形態では、巻上特徴係合インタフェースは、基板を支持するように構成されなくてよい。 In some such embodiments, the hoisting feature engagement interface does not have to be configured to support the substrate.

いくつかの実施形態では、半導体処理ツールを提供してよい。半導体処理ツールは、支持フレームワークと、第1の軸に沿って配列された第1の複数の半導体処理チャンバと、支持フレームワークに接続された第1の付着点と、第1の分離できる巻上システムとを含んでよい。各半導体処理チャンバは、支持フレームワークに対して固定して搭載された基底部分を有してよく、1つまたは複数の巻上特徴を伴う取外し可能な上部カバーを有してよく、第1の分離できる巻上システムは、相補的付着点を有する上端部と移動機構を有する下端部とを伴う垂直部材を含んでよく、相補的付着点は、第1の付着点に分離できるように接続されてよく、移動機構は、床により支持されてよく、第1の分離できる巻上システムは、垂直部材に接続された、1つまたは複数のリンクを有する巻上アームをさらに含んでよく、巻上アームは、第1の軸に実質的に垂直な垂直軸を中心にして枢動するように構成されてよく、巻上アームは、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中のいずれかの巻上特徴と係合するように構成された巻上特徴係合インタフェースを含んでよい。 In some embodiments, semiconductor processing tools may be provided. The semiconductor processing tool is a support framework, a first plurality of semiconductor processing chambers arranged along a first axis, a first attachment point connected to the support framework, and a first separable winding. May include the above system. Each semiconductor processing chamber may have a base portion fixedly mounted to a support framework and may have a removable top cover with one or more hoisting features, first. The separable hoisting system may include a vertical member with an upper end having a complementary attachment point and a lower end having a moving mechanism, the complementary attachment point being connected so as to be separable to the first attachment point. The moving mechanism may be supported by the floor, and the first separable hoisting system may further include a hoisting arm with one or more links connected to a vertical member, hoisting. The arm may be configured to pivot about a vertical axis that is substantially perpendicular to the first axis, and the hoisting arm may be inside the removable top cover of the first plurality of semiconductor processing chambers. It may include a hoisting feature engagement interface configured to engage any of the hoisting features of.

いくつかの実施形態では、第1の分離できる巻上システムは、支持フレームワークに対して垂直な方向に、垂直軸に平行な方向に巻上アームを並進させるように構成された垂直並進システムをさらに含んでよい。 In some embodiments, the first separable hoisting system is a vertical translational system configured to translate the hoisting arm in a direction perpendicular to the support framework and in a direction parallel to the vertical axis. Further may be included.

いくつかのそのような実施形態では、第1の垂直並進システムは、第1の垂直並進システムに第1の機械的入力を提供するように構成されたモータを含んでよく、第1の機械的入力は、垂直部材に沿って巻上アームを並進させる。 In some such embodiments, the first vertical translation system may include a motor configured to provide a first mechanical input to the first vertical translation system, the first mechanical. The input translates the hoisting arm along the vertical member.

いくつかのそのような実施形態では、第1の垂直並進システムは、垂直部材に沿って単一体として巻上アームと一緒に動くように構成されてよい。 In some such embodiments, the first vertical translation system may be configured to move with the hoisting arm as a single unit along the vertical members.

いくつかの実施形態では、移動機構は、折りたためる車輪を含んでよい。 In some embodiments, the moving mechanism may include foldable wheels.

いくつかの実施形態では、半導体処理ツールを提供してよい。半導体処理ツールは、上部付着点を有する支持フレームワークと、上部付着点の下方で垂直方向にずれた下部付着点と、第1の軸に沿って配列された第1の複数の半導体処理チャンバと、分離できる巻上システムとを含んでよい。各半導体処理チャンバは、支持フレームワークに対して固定して搭載された基底部分を有してよく、1つまたは複数の巻上特徴を伴う取外し可能な構成要素を有し、分離できる巻上システムは、高い付着点を有する上端部、最下部付着点を有する下端部、および移動機構を伴う垂直部材を含んでよく、高い付着点は、上部付着点に分離できるように接続されてよく、最下部付着点は、下部付着点に分離できるように接続されてよく、分離できる巻上システムは、第1の軸に実質的に垂直な垂直軸を中心にして枢動するように構成された、1つまたは複数のリンクを有する巻上アームと、支持フレームワークに対して垂直方向に、垂直軸に平行な方向に巻上アームを並進させるように構成された垂直並進システムとをさらに含んでよい。巻上アームは、第1の複数の半導体処理チャンバの取外し可能な構成要素の中のいずれかの巻上特徴と係合するように構成された巻上特徴係合インタフェースを含んでよい。 In some embodiments, semiconductor processing tools may be provided. The semiconductor processing tool includes a support framework with an upper attachment point, a vertically offset lower attachment point below the upper attachment point, and a first plurality of semiconductor processing chambers arranged along a first axis. , May include a separable hoisting system. Each semiconductor processing chamber may have a base portion fixed and mounted relative to a support framework, having a removable component with one or more hoisting features, and a separable hoisting system. May include an upper end with a high attachment point, a lower end with a bottom attachment point, and a vertical member with a moving mechanism, the high attachment point being separably connected to the upper attachment point and the most. The lower attachment points may be separably connected to the lower attachment points, and the separable hoisting system is configured to pivot around a vertical axis that is substantially perpendicular to the first axis. It may further include a hoisting arm with one or more links and a vertical translation system configured to translate the hoisting arm in a direction perpendicular to the support framework and in a direction parallel to the vertical axis. .. The hoisting arm may include a hoisting feature engaging interface configured to engage any hoisting feature among the removable components of the first plurality of semiconductor processing chambers.

いくつかの実施形態では、垂直並進システムは、第1の垂直並進システムに第1の機械的入力を提供するように構成されたモータを含んでよく、第1の機械的入力は、垂直軸に平行な方向に巻上アームを並進させる。 In some embodiments, the vertical translation system may include a motor configured to provide a first mechanical input to the first vertical translation system, the first mechanical input being on the vertical axis. Translate the hoisting arm in parallel directions.

いくつかのそのような実施形態では、半導体処理ツールは、電源をさらに含んでよい。分離できる巻上システムは、電源に接続され、かつ巻上アームに沿って経路設定され、かつコネクタにより終端された電気制御ケーブルをさらに含んでよく、各取外し可能な構成要素は、コネクタと接続可能なように構成された電気的インタフェースをさらに含んでよく、電気制御ケーブルは、コネクタ、および巻上アームの巻上特徴係合インタフェースがそれぞれ一度に半導体処理チャンバの1つだけの電気的インタフェースおよび巻上特徴とだけ同時に係合可能な長さからなってよい。 In some such embodiments, the semiconductor processing tool may further include a power source. The separable hoisting system may further include an electrical control cable that is connected to a power source and routed along the hoisting arm and terminated by a connector, with each removable component connectable to the connector. It may further include an electrical interface configured as such, the electrical control cable has only one electrical interface and winding of the semiconductor processing chamber at a time, with the connector and the hoisting feature engaging interface of the hoisting arm, respectively. It may consist of a length that can be engaged at the same time only with the above features.

いくつかの他のそのような実施形態では、半導体処理ツールは、1つまたは複数のプロセッサと、1つまたは複数非一時的記憶素子とを備えるコントローラをさらに含んでよく、1つまたは複数の非一時的記憶素子は、1つまたは複数のプロセッサを制御して、各半導体処理チャンバの動作状態に関する情報を受信して、電気的インタフェースにより半導体処理チャンバの1つに、その半導体処理チャンバが人に安全な状態あることをその半導体処理チャンバの動作状態に関する情報が示すときだけ垂直並進システムを動作させる第1の作動信号を提供させるための命令を記憶する。 In some other such embodiments, the semiconductor processing tool may further include a controller with one or more processors and one or more non-temporary storage elements. The temporary storage device controls one or more processors to receive information about the operating state of each semiconductor processing chamber, and the electrical interface makes it one of the semiconductor processing chambers, and the semiconductor processing chamber is sent to a person. Only when the information about the operating state of the semiconductor processing chamber indicates that it is in a safe state, it stores an instruction to provide a first operating signal to operate the vertical translation system.

いくつかの他のそのような実施形態では、取外し可能な構成要素は、電気ケーブルを通して電源から電力を受信してよい。 In some other such embodiments, the removable component may receive power from a power source through an electrical cable.

いくつかのそのような実施形態では、垂直並進システムは、直線ボールねじアクチュエータ、油圧アクチュエータ、ラックとピニオンを用いたアクチュエータ、およびケーブル巻上装置であってよい。 In some such embodiments, the vertical translational system may be a linear ball screw actuator, a hydraulic actuator, an actuator with racks and pinions, and a cable hoisting device.

いくつかのような実施形態では、分離できるシステムは、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中のいずれかの巻上特徴と係合して、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中の1つの巻上特徴と係合しないときに第1の垂直並進システムが第1の巻上アームを垂直方向に並進させないように構成される第1のインターロックをさらに含んでよい。 In some embodiments, the separable system engages with any hoisting feature within the removable top cover of the first plurality of semiconductor processing chambers to engage with the first plurality of semiconductor processing. A first interlock configured to prevent the first vertical translation system from vertically translating the first hoisting arm when not engaging with one hoisting feature in the removable top cover of the chamber. May further be included.

いくつかの実施形態では、移動機構は、4つの車輪を含んでよい。 In some embodiments, the moving mechanism may include four wheels.

いくつかの実施形態では、移動機構は、折りたためる1組の車輪を含んでよい。 In some embodiments, the moving mechanism may include a set of foldable wheels.

いくつかの実施形態では、第1の垂直並進システムは、垂直部材に沿って単一体として巻上アームと一緒に動くように構成されてよい。 In some embodiments, the first vertical translation system may be configured to move with the hoisting arm as a single unit along a vertical member.

いくつかのそのような実施形態では、垂直部材は、第1の垂直並進システムが動くように構成されたスライドレールをさらに含んでよい。 In some such embodiments, the vertical member may further include a slide rail configured to move the first vertical translation system.

いくつかの実施形態では、移動機構は、下部付着点および上部付着点に接続されたとき、床により支持されなくてよい。 In some embodiments, the moving mechanism does not have to be supported by the floor when connected to the lower and upper attachment points.

いくつかの実施形態では、移動機構は、下部付着点および上部付着点に接続されたとき、床により支持されてよい。 In some embodiments, the moving mechanism may be supported by the floor when connected to the lower and upper attachment points.

いくつかの実施形態では、下部付着点は、複数の処理チャンバの基底部分の下方で垂直方向にずれてよい。 In some embodiments, the lower attachment points may be vertically offset below the base of the treatment chambers.

いくつかの実施形態では、支持フレームワークは、複数の上部付着点をさらに含んでよく、ツールは、複数の上部付着点の下方でずれた複数の下部付着点をさらに含んでよく、複数の半導体処理チャンバは、Nの処理チャンバを含んでよく、複数の上部付着点は、N-1の上部付着点を含んでよく、複数の下部付着点は、N-1の下部付着点を含んでよい。 In some embodiments, the support framework may further include a plurality of top attachment points, the tool may further include a plurality of bottom attachment points offset below the plurality of top attachment points, and the plurality of semiconductors. The processing chamber may include N processing chambers, the plurality of upper attachment points may include the upper attachment points of N-1, and the plurality of lower attachment points may include the lower attachment points of N-1. ..

いくつかの実施形態では、巻上アームは、3つ以上のリンク、二重肩継手、および二重エルボ継手をさらに含んでよい。 In some embodiments, the hoisting arm may further include three or more links, a double shoulder joint, and a double elbow joint.

いくつかの実施形態では、取外し可能な構成要素は、基板ではなくてよい。 In some embodiments, the removable component does not have to be the substrate.

いくつかの実施形態では、巻上アームは、基板を支持するように構成されなくてよい。 In some embodiments, the hoisting arm does not have to be configured to support the substrate.

いくつかのそのような実施形態では、巻上特徴係合インタフェースは、基板を支持するように構成されなくてよい。 In some such embodiments, the hoisting feature engagement interface does not have to be configured to support the substrate.

本明細書では、同様の参照符号が同様の要素を指す添付図面の図の形で、本明細書で開示するさまざまな実装形態を限定としてではなく例として例示する。 This specification exemplifies, but not by limitation, the various embodiments disclosed herein in the form of illustrations in the accompanying drawings in which similar reference numerals refer to similar elements.

2つの複数の半導体処理チャンバを含む半導体処理ツールの例の上面図の概略図を描く。Draw a schematic top view of an example of a semiconductor processing tool that includes two plurality of semiconductor processing chambers.

図1の半導体処理ツールの例の一部分の第1の例の透視図を描く。A perspective view of the first example of a portion of the example of the semiconductor processing tool of FIG. 1 is drawn.

図2の半導体ツールの例の一部分の詳細な透視図を描く。A detailed perspective view of a portion of the example of the semiconductor tool of FIG. 2 is drawn.

図3の第1の巻上アームの例の一部の横断面図を描く。A cross-sectional view of a part of the example of the first hoisting arm of FIG. 3 is drawn. 図3の取外し可能な上部カバーの例のオフアングル図を描く。An off-angle view of the example of the removable top cover of FIG. 3 is drawn.

図3のツールの一部分の同じ詳細な透視図を描く。Draw the same detailed perspective view of a portion of the tool of FIG.

図2の半導体ツールの例の一部分の第1の例の、取外し可能な構成要素の例の動きのシーケンスを描く。A sequence of movements of an example of a removable component of the first example of a portion of the example of the semiconductor tool of FIG. 2 is drawn. 図2の半導体ツールの例の一部分の第1の例の、取外し可能な構成要素の例の動きのシーケンスを描く。A sequence of movements of an example of a removable component of the first example of a portion of the example of the semiconductor tool of FIG. 2 is drawn. 図2の半導体ツールの例の一部分の第1の例の、取外し可能な構成要素の例の動きのシーケンスを描く。A sequence of movements of an example of a removable component of the first example of a portion of the example of the semiconductor tool of FIG. 2 is drawn. 図2の半導体ツールの例の一部分の第1の例の、取外し可能な構成要素の例の動きのシーケンスを描く。A sequence of movements of an example of a removable component of the first example of a portion of the example of the semiconductor tool of FIG. 2 is drawn. 図2の半導体ツールの例の一部分の第1の例の、取外し可能な構成要素の例の動きのシーケンスを描く。A sequence of movements of an example of a removable component of the first example of a portion of the example of the semiconductor tool of FIG. 2 is drawn.

巻上アームの例を描く。Draw an example of a hoisting arm.

図1のツール概略図の一部分の第2の代替例の透視図を描く。A perspective view of a second alternative of a portion of the tool schematic of FIG. 1 is drawn.

図8の拡大部分を描く。The enlarged part of FIG. 8 is drawn.

1つの直線誘導システムと係合した2つの第1のキャリッジを有する、図6A~図6Eに似たツールの例を描く。An example of a tool similar to FIGS. 6A-6E with two first carriages engaged with one linear guidance system is drawn.

図1のツール概略図に示す半導体処理ツールに類似する半導体処理ツールの例の上面図を描くが、追加の詳細および特徴を示す。A top view of an example of a semiconductor processing tool similar to the semiconductor processing tool shown in the schematic of the tool of FIG. 1 is drawn, with additional details and features.

図6Eの半導体処理ツールの例の上面図を描くが、追加の特徴を共に示す。A top view of the example semiconductor processing tool of FIG. 6E is drawn, showing additional features as well.

半導体処理ツールの例1200の一部の構成図を描く。A block diagram of a part of the example 1200 of the semiconductor processing tool is drawn.

半導体処理ツールの別の例を描く。Draw another example of a semiconductor processing tool.

図14の半導体処理ツールの別の例および分離できる巻上システムの第1の例の側面図を描く。A side view of another example of the semiconductor processing tool of FIG. 14 and a first example of a separable hoisting system is drawn. 図14の半導体処理ツールの別の例および分離できる巻上システムの第1の例の側面図を描く。A side view of another example of the semiconductor processing tool of FIG. 14 and a first example of a separable hoisting system is drawn.

分離できる巻上システムの第2の例の透視図を描く。Draw a perspective view of a second example of a separable hoisting system.

半導体処理ツールのさらに別の例を描く。Draw yet another example of a semiconductor processing tool.

ツールと図16および図17の分離できる巻上システムの第2の例の間の付着シーケンスの側面図を描く。A side view of the adhesion sequence between the tool and the second example of the separable hoisting system of FIGS. 16 and 17 is drawn. ツールと図16および図17の分離できる巻上システムの第2の例の間の付着シーケンスの側面図を描く。A side view of the adhesion sequence between the tool and the second example of the separable hoisting system of FIGS. 16 and 17 is drawn.

図17~図18Bのツールに接続された分離できる巻上システムの第2の例の透視図を描く。A perspective view of a second example of a separable hoisting system connected to the tools of FIGS. 17-18B is drawn.

分離できる巻上システムの第2の例による取外し可能な構成要素の例の動きのシーケンスを描く。A second example of a separable hoisting system depicts a sequence of movements of an example of removable components. 分離できる巻上システムの第2の例による取外し可能な構成要素の例の動きのシーケンスを描く。A second example of a separable hoisting system depicts a sequence of movements of an example of removable components.

図16の分離できる巻上システムの第2の例の別の構成を描く。Another configuration of the second example of the separable hoisting system of FIG. 16 is depicted.

以下の記述では、提示する実施形態を十分に理解することができるようにするために数多くの特有の詳細について示す。開示する実施形態は、これらの特有の詳細の一部またはすべてなしに実施されてよい。他の実例では、開示する実施形態を不必要に不明瞭にしないために、周知の処理動作について詳細に記述しなかった。開示する実施形態について特有の実施形態と関連づけて記述するが、その一方で、開示する実施形態に限定することを意図するものではないことを理解されよう。 The following description provides a number of specific details to help you fully understand the embodiments presented. The disclosed embodiments may be implemented without some or all of these specific details. In other examples, well-known processing operations have not been described in detail in order not to unnecessarily obscure the disclosed embodiments. It will be appreciated that while the disclosed embodiments are described in relation to the specific embodiments, they are not intended to be limited to the disclosed embodiments.

半導体処理ツールは、典型的にはこの処理を可能にする他の構成要素部分と共に1つまたは複数の基板の処理が行われる少なくとも1つの処理チャンバを有する。基板処理の例は、化学蒸着法(chemical vapor deposition、CVD)、プラズマ化学蒸着(plasma enhanced chemical vapor deposition、PECVD)、原子層体積(atomic layer deposition、ALD)を使用する、基板上への材料の堆積だけではなく、例えば、原子層エッチング(atomic layer etching、ALE)を使用する、導体、半導体、および誘電体を含むさまざまな材料のパターン形成およびエッチングも含む。本出願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および「部分的に製作された集積回路」という用語を交換可能に使用する。たとえば、半導体基板上に膜を堆積させるための動作は、真空ポンプにより真空下で維持されてよい内部体積に単一基板ホルダを伴う処理チャンバを有する基板処理装置内で遂行されてよい。基板ホルダ、たとえば台座は、台座および基板を加熱してよい加熱要素を有してよい。また(たとえば)膜前駆体、キャリアガスおよび/またはパージガスおよび/または処理ガス、2次反応物などを配送するためにチャンバに流体で連結されているのは、ガス配送システムおよびシャワーヘッドである。プラズマに電力を供給するためのRF電源および整合ネットワークなどの、処理チャンバ内部でプラズマを発生させるための設備もまた装置内に含まれてよい。プラズマエネルギーは、(たとえば、適切な機械可読命令を有するシステムコントローラを介して)処理ステーション圧力、ガス濃度、RF電源、RF供給源周波数、およびプラズマ電力パルスタイミングのうちの1つまたは複数を制御することにより制御されよい。RF電源は、任意の適切な周波数のRF電力を提供してよく、互いに独立して高周波および低周波のRF電源を制御するように構成されてよく、50kHz~500kHzの間および1.8MHz~2.45GHzの間の周波数を含んでよい。 Semiconductor processing tools typically have at least one processing chamber in which processing of one or more substrates is performed, along with other component components that allow this processing. Examples of substrate processing include chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition, PECVD, atomic layer deposition, ALD on the substrate. In addition to deposition, it also includes patterning and etching of various materials, including conductors, semiconductors, and dielectrics, using, for example, atomic layer etching (ALE). In this application, the terms "semiconductor wafer", "wafer", "board", "wafer board", and "partially manufactured integrated circuit" are used interchangeably. For example, the operation for depositing a film on a semiconductor substrate may be performed in a substrate processing apparatus having a processing chamber with a single substrate holder in an internal volume that may be maintained under vacuum by a vacuum pump. The substrate holder, eg, the pedestal, may have a heating element that may heat the pedestal and the substrate. Also fluidly coupled to the chamber to deliver (eg) membrane precursors, carrier gas and / or purge gas and / or processing gas, secondary reactants, etc. are gas delivery systems and shower heads. Equipment for generating plasma inside the processing chamber, such as an RF power supply for powering the plasma and a matching network, may also be included within the device. Plasma energy controls one or more of processing station pressure, gas concentration, RF power supply, RF source frequency, and plasma power pulse timing (eg, via a system controller with appropriate machine-readable instructions). It may be controlled by this. The RF power supply may provide RF power of any suitable frequency and may be configured to control high and low frequency RF power supplies independently of each other, between 50 kHz and 500 kHz and 1.8 MHz to 2. It may include frequencies between .45 GHz.

多くの基板処理装置は、単一処理チャンバを使用し、一方では、多くの時間を必要とする膜堆積動作が関与するとき、多数の基板に対して平行して多数の基板動作を遂行することにより基板処理スループットを高めることが有利であることがある。この目的のために、多重ステーション基板処理装置は、処理チャンバの壁により画定される単一内部体積の範囲内に多数の基板処理ステーションを伴う単一基板処理チャンバを有してよい。いくつかの他の多重ステーション基板処理装置は、場合によっては「クラスタツール」と呼ばれる多数の処理チャンバを有してよい。クラスタツールは、各処理チャンバ内に2つ、3つまたは4つのステーションなど、多数のステーションを伴う処理チャンバを有してよい。同様にクラスタツールは、2、3、4、5、6、7、8、9、10、11、12、13、14、15、もしくは16、またはそれよりも多くの処理チャンバを有してよい。 Many substrate processing equipment uses a single processing chamber, while performing a large number of substrate operations in parallel to a large number of substrates when a time-consuming membrane deposition operation is involved. It may be advantageous to increase the substrate processing throughput. For this purpose, the multi-station substrate processing apparatus may have a single substrate processing chamber with a large number of substrate processing stations within a single internal volume defined by the walls of the processing chamber. Some other multi-station board processing equipment may have a large number of processing chambers, sometimes called "cluster tools". The cluster tool may have a processing chamber with a large number of stations, such as two, three or four stations within each processing chamber. Similarly, the cluster tool may have 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, or 16 or more processing chambers. ..

設備費用および運営費に関して、多数のチャンバを含むツール、すなわちクラスタツールを使用することによりさまざまな効率をさらにまた達成してよい。実例として、単一真空ポンプを使用して、2つ以上の処理チャンバのために単一高真空環境を生み出してよく、さらにまた2つ以上のチャンバに関して、単一真空ポンプを使用して、使用済みの処理ガスなどを排出してよい。実施形態に応じて、処理チャンバは、同じガス配送システムを共用してよく、プラズマ発生器設備のある種の要素は、処理チャンバの間で共用されてよい(たとえば、電源)。いくつかのクラスタツールでは、多数の処理チャンバは、ウエハ移送システムだけではなく、真空ポンプおよびガス配送システムなどの、堆積、エッチング、または他の動作を遂行するための他の構成要素にも接続される。ウエハ移送システムは、処理チャンバの中に、および処理チャンバから外に、ならびにカセットまたはFOUP(Front Opening Unified Pod、前面開口式一体型ポッド)などのウエハ容器の中に、およびウエハ容器から外に、を含み、ツール内部でウエハを選び出し、移送するように構成された1つまたは複数のエンドエフェクタを伴うロボットアームを含んでよい。単一クラスタツールは、ガス配送システムおよび電力発生器などの運用システムのいくつかを共用しながら、多数のチャンバ内で多数の処理を同時に遂行することができてよい。 In terms of equipment and operating costs, various efficiencies may also be achieved by using a tool that includes a large number of chambers, i.e., a cluster tool. As an example, a single vacuum pump may be used to create a single high vacuum environment for two or more processing chambers, and also for two or more chambers, using a single vacuum pump. The processed gas that has already been processed may be discharged. Depending on the embodiment, the processing chambers may share the same gas delivery system, and certain elements of the plasma generator equipment may be shared between the processing chambers (eg, power supply). In some cluster tools, numerous processing chambers are connected not only to wafer transfer systems, but also to other components for performing deposition, etching, or other operations, such as vacuum pumps and gas delivery systems. Ru. Wafer transfer systems are installed in and out of the processing chamber, and in and out of wafer containers such as cassettes or FOUPs (Front Opening Unified Pods). May include a robot arm with one or more end effectors configured to pick and transfer wafers inside the tool. A single cluster tool may be able to perform multiple processes simultaneously in multiple chambers while sharing some of the operational systems such as gas delivery systems and power generators.

クラスタツールを使用することにより、空間およびスループットなどのさまざまな効率もまた得られることがある。一般的に言って、多数のクラスタツールは、半導体製造工場または設備(「Fab」)の床の上に位置決めされる。しかしながら、互いに対して床の上にツールを位置決めすることは、ツール間の電気的離間距離領域および点検領域などの数多くの制約を受ける。ツールのための点検領域は、ツールの要素(たとえば、ポンプ、処理チャンバの上部カバー)を取り除くために、ツールに対して保守を遂行するために、ツールの一部を追加または置換するために、ツールの領域にアクセスするために、ツールを精査するために必要とされる領域を含んでよく、OSHA(Occupational Safety and Health Administration、労働衛生安全局)の要件に従うためなどの人間工学または他の業界標準に従って少なくとも一部は規定されてよく、電気的離間距離領域は、人または設備の安全性に必要とされる領域、および近接するツールの1つまたは複数の要素間の電気的干渉を防止するために必要とされる領域を含んでよい。 Various efficiencies such as space and throughput may also be obtained by using the cluster tool. Generally speaking, many cluster tools are positioned on the floor of a semiconductor manufacturing plant or equipment (“Fab”). However, positioning the tools on the floor relative to each other is subject to a number of constraints, such as the electrical separation distance area between the tools and the inspection area. The inspection area for the tool is to remove parts of the tool (eg, pump, top cover of the processing chamber), to perform maintenance on the tool, to add or replace parts of the tool. In order to access the area of the tool, it may include the area required to scrutinize the tool, and ergonomics or other industries such as to comply with the requirements of OSHA (Occupational Safety and Health Assessment). At least part of it may be specified according to standards, and the electrical separation area prevents electrical interference between areas required for human or equipment safety and one or more elements of adjacent tools. May include the area required for

各クラスタツールの多数のチャンバはまた、Fabの床の上に位置決めされるチャンバの数を最大にするように配列されてよく、それによりさらにまた、処理される基板のスループットをより高くすることが可能になることがある。例えば、クラスタツールは、それらの構成要素が互いに接近して位置決めされるように密に詰め込まれてよく、その結果、制限された空間および離間距離が構成要素間にもたらされる。クラスタツールの構成要素を密に詰め込むことは、数多くの保守および点検の動作に必要とされることがある、ツールの構成要素にアクセスしてそれらを動かす能力を制限するなど、ツールの保守および点検の動作を遂行するための難題を提示する。密に詰め込まれた多くのツールについては、ツール構成要素の位置決めおよび配列により、従来の巻上機構が構成要素にアクセスしてそれらを動かすことができなくなる。たとえば、このアクセスは、並べて接近して搭載された構成要素により、互いに積み重ねられ互いの上に搭載された構成要素により、および支持フレームなどのツールの支持構成要素により、妨害されることがある。配列が密になるほど、それだけツールの構成要素にアクセスしてそれを動かすための空間は制限される。いくつかの実例では、従来の持ち上げ機構のアクセスおよび離間距離を許容して、ツールの1つの構成要素と接続し、それを持ち上げて、動かすために、ツールの1つまたは複数の構成要素を動かさなければならない。例えば、一般に処理チャンバの内部要素を精査し、点検し、修理し、保守するために処理チャンバの上部カバーを取り除くことが必要とされる。いくつかの密に詰め込まれたツールについては、いくつかの従来の持ち上げ機構は、上部カバーの上方に搭載された重いRF発生器などの、上部カバーを取り囲む他の構成要素を除去することなく上部カバーに到達することができない。上部カバーにアクセスすることがある場合でさえ、支持フレームは、従来の持ち上げ機構が上部カバーに到達するのをさらに妨害することがある。 The multiple chambers of each cluster tool may also be arranged to maximize the number of chambers positioned on the Fab floor, thereby further increasing the throughput of the substrate being processed. It may be possible. For example, cluster tools may be tightly packed so that their components are positioned close to each other, resulting in limited space and distance between the components. Tightening the components of a cluster tool can be required for many maintenance and inspection operations, limiting the ability to access and move the components of the tool, and so on. Presents the challenges of carrying out the actions of. For many tightly packed tools, the positioning and alignment of the tool components prevents traditional hoisting mechanisms from accessing and moving the components. For example, this access may be hampered by components mounted side-by-side and close together, by components stacked on top of each other and mounted on top of each other, and by support components of tools such as support frames. The denser the array, the less space you have to access and move the components of the tool. In some examples, one or more components of the tool are moved to allow access and separation distance of a conventional lifting mechanism, connect to one component of the tool, and lift and move it. There must be. For example, it is generally required to remove the top cover of the processing chamber to scrutinize, inspect, repair and maintain the internal elements of the processing chamber. For some tightly packed tools, some traditional lifting mechanisms are on top without removing other components that surround the top cover, such as the heavy RF generator mounted above the top cover. Unable to reach the cover. The support frame may further prevent the conventional lifting mechanism from reaching the top cover, even if the top cover may be accessed.

同様に、ツールは、従来の持ち上げ機構がツールの構成要素にアクセスできるようにする十分な空間をツールの全面にわたって、ツールの下方に、またはツール内部に有しないことがある。たとえば、いくつかの従来の持ち上げ機構は、半導体処理ツールの下方でスライドして(出荷パレットの下方でパレットジャックがスライドしてよい方法に類似する)1つまたは複数の持ち上げアームを使用して巻き上げてよい長い水平方向の支持脚部により床の上に支持されるが、いくつかの密に詰め込まれたツールは、取外し可能な構成要素にそのような機構がアクセスしてそれを動かすために必要な、そのような機構の支持脚部または持ち上げアームを受け入れるための十分な空間を有しないことがある。 Similarly, the tool may not have sufficient space across the entire surface of the tool, below or inside the tool, to allow conventional lifting mechanisms to access the components of the tool. For example, some traditional lifting mechanisms slide below a semiconductor processing tool and wind up using one or more lifting arms (similar to how the pallet jack may slide below the shipping pallet). Although supported on the floor by long horizontal support legs, some tightly packed tools are needed for such mechanisms to access and move removable components. In addition, there may not be enough space to accommodate the support legs or lifting arms of such a mechanism.

さらに、いくつかの従来の持ち上げ機構の占有面積は、ツールを互いにどれだけ近く位置決めすることができるかに、すなわち、ツール間の分離距離に悪影響を及ぼすことがある。たとえば、いくつかのツールは、十分な離間距離領域のすべてを提供し、したがって、いくつかのツールができるだけ近くなるように位置決めされ、Fabの床空間を最大限に活用することを可能にする第1の最小距離だけ分離される必要があることがある。しかし床の上で動かされ、床により支持されるいくつかの従来の持ち上げ機構は、第1の最小距離よりも大きくなることがある占有面積領域を有することがある。これらの実例では、ツールは、これらの従来の持ち上げ機構が動かし、動作することができるようにするために、第1の最小距離よりも大きな距離だけ分離されなければならないことがあり、それにより、Fabの床の上でツールの空間的配置効率を低減する。 In addition, the occupied area of some conventional lifting mechanisms can adversely affect how close the tools can be positioned, i.e., the separation distance between the tools. For example, some tools provide all of the sufficient distance area, thus allowing some tools to be positioned as close as possible to maximize the floor space of the Fab. It may be necessary to separate by a minimum distance of 1. However, some conventional lifting mechanisms that are moved on the floor and supported by the floor may have an occupied area area that may be greater than the first minimum distance. In these examples, the tools may have to be separated by a distance greater than the first minimum distance in order for these traditional lifting mechanisms to be able to move and operate, thereby. Reduce the spatial placement efficiency of tools on the Fab floor.

これらの従来の持ち上げ機構はまた、ツールの構成要素にたとえアクセスしてそれらを動かすことさえできると仮定して、ツールの構成要素にアクセスしてそれらを動かすための追加の時間および労力を必要とすることがある。追加で、構成要素にアクセスする行程を外れて他の構成要素を動かす必要があるとき、これらの他の構成要素を動かし、取り外し、および再設置するには、これらの構成要素を追加で再度整列させ、再度較正する必要があることがある。規則的な必須の保守および点検のためのこの追加の時間および労力は、望ましくないツール休止時間を生じさせる。したがって、望ましくない量の時間、労力、およびツール休止時間を必要とすることなくツールの構成要素に容易に、迅速に、かつ効率的にアクセスすることができる持ち上げ機構を伴うツールを有することが望ましい。 These traditional lifting mechanisms also require additional time and effort to access the tool components and move them, assuming they can even access and move them. I have something to do. In addition, when you need to move other components off the path to access them, you can move, remove, and re-install these other components by realigning them additionally. And may need to be calibrated again. This additional time and effort for regular mandatory maintenance and inspection results in unwanted tool downtime. Therefore, it is desirable to have a tool with a lifting mechanism that allows easy, quick, and efficient access to the components of the tool without the need for an undesired amount of time, effort, and tool downtime. ..

本明細書で記述するのは、本明細書ですべてツールと呼ばれることがある半導体処理ツールまたはクラスタツールの構成要素を動かすための新規な装置およびシステムである。いくつかの実施形態では、ツールの構成要素を動かすように構成された特徴は、ツール自体の中に一体化される。図1は、2つの複数の半導体処理チャンバを含む半導体処理ツールの例の上面図の概略図を描く。図1で理解することができるように、ツール100は、第1の軸106に沿って配列された5つの半導体処理チャンバ104を有する第1の複数の半導体処理チャンバ102を含み、第2の複数の半導体処理チャンバ108は、同じく第1の軸106に実質的に平行な軸112に沿って配列された5つの処理チャンバ110を含む。本明細書で「実質的に」を使用するのは、実際には軸または他の要素が正確に整列しなくてよいためであり、この実例では、実質的には、これらの軸が互いに正確に平行であってよいが、さらにまた実例では互いに±10°、±5°、または±1°の範囲内で平行であってよいことを意味する。各複数の半導体処理チャンバは、5つの処理チャンバを含むが、実例では2,3、4、5、6、またはそれよりも多くの処理チャンバを有してよい。ツール100はまた、半導体処理チャンバ104および110の各々の一部分を固定して搭載してよい上部支持フレームワーク114を含む。1つの品目が別の品目に「固定して搭載される」とき、これは、その品目が直接に、または1つまたは複数の介在する構成要素、たとえば支持ブラケットを通して、別の品目に対して固定した位置で別の品目に搭載されることを意味する。たとえば、半導体処理チャンバ104および110の各々の一部分は、これらの部分が上部支持フレームワーク114に対してそれらの部分の対応する位置に固定されるように上部支持フレームワーク114に固定して搭載される。半導体処理チャンバ104の他の部分は、以下でさらに論じるように、定期的点検動作中に上部支持フレームワーク114に対して取外し可能/移動可能であることが意図されてよい。 Described herein are new devices and systems for driving the components of semiconductor processing tools or cluster tools, all of which are sometimes referred to herein. In some embodiments, features configured to move the components of the tool are integrated within the tool itself. FIG. 1 draws a schematic top view of an example of a semiconductor processing tool comprising two plurality of semiconductor processing chambers. As can be seen in FIG. 1, the tool 100 includes a first plurality of semiconductor processing chambers 102 having five semiconductor processing chambers 104 arranged along a first axis 106 and a second plurality. The semiconductor processing chamber 108 of the above includes five processing chambers 110, also arranged along an axis 112 substantially parallel to the first axis 106. The use of "substantially" herein is that the axes or other elements do not actually have to be exactly aligned, and in this example, these axes are substantially accurate to each other. It may be parallel to, but in the example it also means that it may be parallel to each other within the range of ± 10 °, ± 5 °, or ± 1 °. Each plurality of semiconductor processing chambers includes five processing chambers, but may have 2, 3, 4, 5, 6 or more processing chambers in the embodiment. The tool 100 also includes an upper support framework 114 in which a portion of each of the semiconductor processing chambers 104 and 110 may be fixed and mounted. When one item is "fixed and loaded" on another item, this means that the item is fixed to another item directly or through one or more intervening components, such as support brackets. It means that it will be loaded on another item at the specified position. For example, parts of each of the semiconductor processing chambers 104 and 110 are fixed and mounted on the top support framework 114 such that these parts are fixed to the corresponding positions of those parts with respect to the top support framework 114. To. Other parts of the semiconductor processing chamber 104 may be intended to be removable / movable with respect to the top support framework 114 during periodic inspection operations, as further discussed below.

上記で記述したように、ツール100は、他のツールの一部分を位置決めすべきではない、ツール100の占有面積を取り囲む点検領域115を有し、類似の点検領域は、同様にツール100の反対側に存在してよい(しかし、これは図示されていない)。この点検領域115はまた、ツール間で人および設備が動くことが可能になる、他のツール間の分離距離と考えてよい。本明細書で記述するツールのいくつかの実施形態は、ツールの静的占有面積をほとんど、またはまったく増大させない。 As described above, the tool 100 has an inspection area 115 that surrounds the occupied area of the tool 100, where a portion of the other tool should not be positioned, and a similar inspection area is similarly on the opposite side of the tool 100. May be present in (but this is not shown). This inspection area 115 can also be thought of as a separation distance between other tools that allows people and equipment to move between the tools. Some embodiments of the tools described herein increase little or no static occupied area of the tool.

ツール100はまた、半導体処理チャンバの取外し可能な構成要素を除去する、また動かすのを容易に、かつ可能にするように構成された直線誘導システムおよびキャリッジを含んでよい。以下でより詳細に論じるように、いくつかの実装形態では、直線誘導システムは、支持フレームワークに固定して接続されてよく、キャリッジは、直線誘導システムに移動可能に接続されてよく、半導体処理チャンバの取外し可能な構成要素と移動可能に接続するように構成されてよく、それによりキャリッジは、直線誘導システムに沿って並進して、半導体処理チャンバの取外し可能な構成要素にアクセスする、それらと接続する、それらを動かすことが可能になる。 The tool 100 may also include a linear guidance system and carriage configured to easily and enable the removal and movement of removable components of the semiconductor processing chamber. As discussed in more detail below, in some embodiments, the linear guidance system may be fixedly connected to the support framework, the carriage may be movably connected to the linear guidance system, and semiconductor processing. It may be configured to be movably connected to the removable components of the chamber, whereby the carriage translates along the linear guidance system to access the removable components of the semiconductor processing chamber, with them. It will be possible to connect and move them.

図2は、図1の半導体処理ツールの例の一部分の第1の例の透視図を描く。この図では、第1の複数の半導体処理チャンバ102が識別されるが、例示する目的のためだけに5つの半導体処理チャンバ104の各々の基底部分116を示し、それに加えて、1つの半導体処理チャンバ104Aの取外し可能な上部カバー118を、取り外された状態で示す(その他の半導体処理チャンバ104の残りの上部カバーは示されていない)。各基底部分116と上部支持フレームワーク114の間のインタフェースを見ることはできないが、半導体処理チャンバ104の各基底部分116は、上部支持フレームワーク114に直接に、または間接的に固定して搭載されてよい。基底部分116は、例えば、ボルト、溶接、締め具、またはピンなどの任意の公知の手段により上部支持フレームワーク114に固定して搭載されてよい。 FIG. 2 draws a perspective view of a first example of a portion of the example of the semiconductor processing tool of FIG. In this figure, the first plurality of semiconductor processing chambers 102 are identified, but the base portion 116 of each of the five semiconductor processing chambers 104 is shown for illustrative purposes only, plus one semiconductor processing chamber. The removable top cover 118 of 104A is shown in the removed state (the remaining top covers of the other semiconductor processing chamber 104 are not shown). Although the interface between each base portion 116 and the top support framework 114 cannot be seen, each base portion 116 of the semiconductor processing chamber 104 is mounted directly or indirectly fixed to the top support framework 114. It's okay. The base portion 116 may be secured and mounted on the upper support framework 114 by any known means such as, for example, bolts, welds, fasteners, or pins.

図2はまた、第1の直線誘導システム120および第1のキャリッジ122を描き、これらについては、図3にさらに描かれ、以下でさらに論じる。第1のキャリッジ122は、例示するために点線により包含される。第1の直線誘導システム120は、固定して上部支持フレームワーク114により支持されてよい、またはそれに搭載されてよく、これは、第1の直線誘導システム120が上部支持フレームワーク114に対してある場所で固定されるように上部支持フレームワーク114に直接に、または間接的に第1の直線誘導システム120を固着させる、または接続することを含んでよい。第1の直線誘導システム120はまた、第1の軸106に実質的に平行な(実質的には、これらの軸が、例えば互いに正確に平行であってよい、または互い±10°、±5°、もしくは±1°の範囲内で平行であってよいことを意味する)第2の軸124に沿って伸展するように配列されてよい。 FIG. 2 also depicts a first linear guidance system 120 and a first carriage 122, which are further depicted in FIG. 3 and discussed further below. The first carriage 122 is included by a dotted line for illustration purposes. The first linear guidance system 120 may be fixed and supported by or mounted on the upper support framework 114, wherein the first linear guidance system 120 is relative to the upper support framework 114. It may include anchoring or connecting the first linear guidance system 120 directly or indirectly to the top support framework 114 so that it is secured in place. The first linear guidance system 120 is also substantially parallel to the first axis 106 (substantially these axes may be, for example, exactly parallel to each other, or ± 10 °, ± 5 to each other. It may be arranged to extend along the second axis 124 (meaning that it may be parallel within the range of ° or ± 1 °).

第1のキャリッジ122は、第2の軸124に沿って並進することができるように、第1の直線誘導システム120と移動可能に係合するように構成される。この構成は、第1のキャリッジ122を支持し、かつ第1のキャリッジ122が第1の直線誘導システム120に沿ってその範囲内で動くことを可能にする特徴を有する第1の直線誘導システム120を含んでよい。例えば、第1のキャリッジ122は、第1の直線誘導システム120の1つまたは複数のレールまたはスロットが受け取ることができる車輪または軸受を有してよく、それにより次に、第1の直線誘導システム120に第1のキャリッジ122を支持させ、第1のキャリッジ122が、回転またはスライドすることなどにより第1の直線誘導システム120および第2の軸124に沿って動くことが可能になる。いくつかの実施形態では、第1のキャリッジ122と第1の直線誘導システム120の間の移動可能な係合は、第1の直線誘導システム120に沿って人が第1のキャリッジ122を手作業で動かすことができるように、受動的であってよい。以下で記述する他の実施形態では、この移動可能な係合は、第1の直線誘導システム120に沿って第1のキャリッジ122を押し出すことができるキャリッジ並進システムにより動力を得てよい。 The first carriage 122 is configured to movably engage the first linear guidance system 120 so that it can be translated along the second axis 124. This configuration is characterized by supporting the first carriage 122 and allowing the first carriage 122 to move within that range along the first linear guidance system 120. May include. For example, the first carriage 122 may have wheels or bearings that can be received by one or more rails or slots of the first linear guidance system 120, thereby the first linear guidance system. The first carriage 122 is supported by 120, and the first carriage 122 can move along the first linear guidance system 120 and the second axis 124 by rotating or sliding. In some embodiments, the movable engagement between the first carriage 122 and the first linear guidance system 120 is such that a person manually drives the first carriage 122 along the first linear guidance system 120. It may be passive so that it can be moved by. In another embodiment described below, this movable engagement may be powered by a carriage translation system capable of pushing the first carriage 122 along the first linear guidance system 120.

図3は、図2の半導体ツールの例の一部分の詳細な透視図を描く。図3では第1の直線誘導システム120の一部の区画、第1のキャリッジ122、および取外し可能な上部カバー118が見える。第1の直線誘導システム120は、第2の軸124に沿って伸展し、2つのレール126Aおよび126Bを含んでいるのが見え、2つのレール126Aおよび126Bの中では、第1のキャリッジ122の車輪または軸受は、第1の直線誘導システム120が第1のキャリッジ122を支持し、かつ第1のキャリッジ122が両側矢印128により示すように第2の軸124に沿って動くことができるように、移動可能に係合する。 FIG. 3 draws a detailed perspective view of a portion of the example of the semiconductor tool of FIG. In FIG. 3, a portion of the first linear guidance system 120, a first carriage 122, and a removable top cover 118 are visible. The first linear guidance system 120 extends along the second axis 124 and is visible to include the two rails 126A and 126B, among the two rails 126A and 126B, of the first carriage 122. The wheels or bearings allow the first linear guidance system 120 to support the first carriage 122 and the first carriage 122 to move along the second axis 124 as indicated by the double-sided arrows 128. , Movably engages.

次に、第1のキャリッジ122のさらに別の特徴について論じる。いくつかの実装形態では、第1のキャリッジは、1つまたは複数のリンクを有し、かつ垂直軸を中心にして枢動するように構成されてよい第1の巻上アームを含んでよい。図3では、第1のキャリッジ122は、単一のリンク132を有する第1の巻上アーム130を含む。第1の巻上アーム130は、実例では矢印136により示すように垂直軸134を中心にして第1の直線誘導システム120または上部支持フレームワーク114に対して枢動するように構成され、垂直軸134は、第2の軸124に実質的に垂直である(実質的に垂直であるは、この場合、これらの軸が実際に垂直である、または実例では互いに対して少なくとも±10°、±5°、もしくは±1°の範囲内で垂直であることを意味する)。第1の巻上アーム130が枢動する能力により、半導体処理チャンバの構成要素を動かしてよいようにこれらの構成要素と係合するために、第1の巻上アーム130を少なくとも部分的に多数の位置の中に動かすことが可能になる。第1の巻上アーム130はまた、第1のキャリッジ122と共に動くように第1のキャリッジ122に接続される。 Next, yet another feature of the first carriage 122 will be discussed. In some implementations, the first carriage may include a first hoisting arm that has one or more links and may be configured to pivot about a vertical axis. In FIG. 3, the first carriage 122 includes a first hoisting arm 130 with a single link 132. The first hoisting arm 130 is configured to pivot around the vertical axis 134 with respect to the first linear guidance system 120 or the upper support framework 114, as indicated by the arrow 136 in the embodiment. 134 is substantially perpendicular to the second axis 124 (substantially perpendicular, in this case, these axes are actually perpendicular, or at least ± 10 °, ± 5 with respect to each other in the example. °, or vertical within the range of ± 1 °). The ability of the first hoisting arm 130 to pivot causes the first hoisting arm 130 to be at least partially numerous in order to engage these components so that they may move the components of the semiconductor processing chamber. It will be possible to move it into the position of. The first hoisting arm 130 is also connected to the first carriage 122 so as to move with the first carriage 122.

第1の巻上アームおよび取外し可能な構成要素は、取外し可能な構成要素を第1のキャリッジが持ち上げ、下げ、第1のキャリッジにより支持されている間に動かすことができるように、互いに接続するように構成される。いくつかの実装形態ではこの構成は、取外し可能な構成要素の巻上特徴と係合するように構成された巻上特徴係合インタフェースを有する第1の巻上アームを含み、巻上特徴係合インタフェースと巻上特徴の間のこの係合は、第1の巻上アームと取外し可能な構成要素の間の接続を生み出し、第1の巻上アームおよび第1のキャリッジにより取外し可能な構成要素を持ち上げ、下げ、支持することができるようにする。 The first hoisting arm and removable components connect to each other so that the removable components can be lifted and lowered by the first carriage and moved while supported by the first carriage. It is configured as follows. In some implementations, this configuration includes a first hoisting arm with a hoisting feature engaging interface configured to engage the hoisting features of the removable component. This engagement between the interface and the hoisting feature creates a connection between the first hoisting arm and the removable component, which is removable by the first hoisting arm and the first carriage. Be able to lift, lower and support.

適切な巻上特徴係合インタフェースおよび巻上特徴のいくつかの例、ならびにそれらの互いに対する物理的接続(すなわち、互いに対する係合)は、従来の持ち上げおよび接続要素、たとえば、持ち上げフックまたは穴、巻上リング、シャックル、要素間のねじ接続、ピンおよび穴、回転式ラッチ、ならびにケーブル、ストラップ、またはチェーンであってよい。例えば、取外し可能な構成要素の巻上特徴は、取外し可能な構成要素に接続された持ち上げフックであってよく、巻上特徴係合インタフェースは、第1の巻上アームに接続されたケーブルであってよく、取外し可能な構成要素の巻上特徴と巻上特徴係合インタフェースの間の係合は、(たとえば、ボルトまたはねじにより)一緒に接続されたケーブルおよび持ち上げフックであってよい。これは、取外し可能な構成要素に第1の巻上アームを接続し、それにより次に第1の巻上アームは、取外し可能な構成要素を高く揚げ、下げ、動かすことが可能になる。 Suitable hoisting features Some examples of engaging interfaces and hoisting features, as well as their physical connection to each other (ie, engagement to each other), are conventional lifting and connecting elements such as lifting hooks or holes. It can be a hoisting ring, a shackle, a threaded connection between elements, pins and holes, a rotary latch, and a cable, strap, or chain. For example, the hoisting feature of the removable component may be a lifting hook connected to the removable component, and the hoisting feature engaging interface may be a cable connected to a first hoisting arm. The engagement between the hoisting feature and the hoisting feature engagement interface of the removable component may be cables and lifting hooks connected together (eg, by bolt or screw). It connects the first hoisting arm to the removable component, which in turn allows the first hoisting arm to lift, lower and move the removable component high.

いくつかの実施形態では、巻上特徴係合インタフェースは、第1の巻上アームの端部に接続された、取外し可能な構成要素の第2の構造物である巻上特徴と係合するように構成された第1の構造物を有してよい。たとえば、図3に描くように、第1の巻上アーム130は、第1の巻上アーム130の端部140に接続された第1の構造物138、すなわち梁138である巻上特徴係合インタフェースを含み、取外し可能な構成要素または取外し可能な上端部の巻上特徴、すなわち取外し可能な上部カバー118は(いくつかの実施形態では、さらにまた取外し可能な上部プレートまたは取外し可能な上端部であると考えてよい)、第2の構造物142Aおよび142Bである。第1の構造物138は、一緒にピン留めする、ボルトで締める、把持する、またはねじで締めるなどさまざまな方法で第2の構造物142Aおよび142Bと係合してよい。 In some embodiments, the hoisting feature engagement interface engages with a hoisting feature, which is the second structure of the removable component connected to the end of the first hoisting arm. It may have a first structure configured in. For example, as depicted in FIG. 3, the first hoisting arm 130 is a hoisting feature engagement of a first structure 138 connected to an end 140 of the first hoisting arm 130, i.e. a beam 138. Including the interface, a removable component or removable top winding feature, ie, the removable top cover 118 (in some embodiments, also on a removable top plate or removable top). (May be considered), the second structures 142A and 142B. The first structure 138 may engage the second structures 142A and 142B in a variety of ways, including pinning together, bolting, gripping, or screwing.

いくつかの実装形態では、巻上特徴係合インタフェースは、巻上特徴の相補的な機械的特徴と係合するように構成された機械的特徴を有してよい。たとえば図4Aは、図3の第1の巻上アームの例の一部の横断面図を描き、図4Bは、図3の取外し可能な上部カバーの例のオフアングル図を描く。図4Aでは、梁、すなわち巻上特徴係合インタフェースの第1の構造物138は、穴144Aおよび144Bとして描かれた、第1の距離146だけ離して間隔を置いて配置された2つの第1の機械的インタフェース特徴を含む。これらの第1の機械的インタフェース特徴は、取外し可能な上部カバー118の巻上特徴の第2の機械的インタフェース特徴に相補的である。図4Bでは、本明細書でサドルポストと呼ばれることがある、取外し可能な上部カバー118の巻上特徴、すなわち第2の構造物142Aおよび142Bは、破線形状の中に包含される。各第2の構造物は、1対の垂直ライザーロッド148Aおよび148B、ならびに各対の垂直ライザーロッド148Aと148Bの間に上限を定めて広がるサドルプレート150Aおよび150Bを含む。各サドルプレート150Aおよび150Bはまた、ピンとして描かれる第2の機械的インタフェース特徴151Aおよび151Bを含んでよく、これらの第2の機械的インタフェース特徴152は、第1の距離146だけ分離されてよい。これらの特徴構成に基づき、第2の機械的インタフェース特徴151Aおよび151Bを巻上特徴係合インタフェースの第1の機械的特徴、すなわち梁138の穴144Aおよび144Bの中に挿入することができ、これは、巻上特徴係合インタフェースと取外し可能な構成要素の巻上特徴の間の係合であると考えてよい。代わりに、ピンを巻上梁上に配置してよく、穴をサドルプレート上に配置してよい。 In some embodiments, the hoisting feature engagement interface may have mechanical features configured to engage the complementary mechanical features of the hoisting feature. For example, FIG. 4A depicts a cross-sectional view of a portion of the example of the first hoisting arm of FIG. 3, and FIG. 4B depicts an off-angle view of the example of the removable top cover of FIG. In FIG. 4A, the beam, i.e., the first structure 138 of the hoisting feature engagement interface, is depicted as holes 144A and 144B, two firsts spaced apart by a first distance of 146. Includes mechanical interface features. These first mechanical interface features are complementary to the second mechanical interface features of the hoisting features of the removable top cover 118. In FIG. 4B, the hoisting features of the removable top cover 118, sometimes referred to herein as saddleposts, that is, the second structures 142A and 142B, are included within the dashed line shape. Each second structure includes a pair of vertical riser rods 148A and 148B, as well as saddle plates 150A and 150B that extend between a pair of vertical riser rods 148A and 148B with an upper limit. Each saddle plate 150A and 150B may also include second mechanical interface features 151A and 151B depicted as pins, these second mechanical interface features 152 may be separated by a first distance of 146. .. Based on these feature configurations, the second mechanical interface features 151A and 151B can be inserted into the first mechanical feature of the hoisting feature engagement interface, namely holes 144A and 144B of the beam 138. Can be thought of as the engagement between the hoisting feature engagement interface and the hoisting feature of the removable component. Alternatively, the pins may be placed on the hoisting beam and the holes may be placed on the saddle plate.

いくつかの実施形態では、巻上特徴係合インタフェースの第1の構造物138は、梁だけではなくフック、締め具、ボルトなどを使用して取外し可能な構成要素に接続することができる、垂直ライザーロッド148Aの1つに類似する、梁に垂直なポストも含んでよい。いくつかのそのような実装形態では、巻上特徴は、穴、ねじ穴、または巻上特徴係合インタフェースと接続することができる他の接続特徴であってよい。 In some embodiments, the first structure 138 of the hoisting feature engagement interface can be connected to removable components using hooks, fasteners, bolts, etc., as well as beams, vertically. A post perpendicular to the beam, similar to one of the riser rods 148A, may also be included. In some such implementations, the hoisting feature may be a hole, screw hole, or other connecting feature that can be connected to the hoisting feature engaging interface.

第1のキャリッジおよび第1の巻上アームはまた、巻上特徴係合インタフェースを動かし、取外し可能な構成要素の中のいずれかの巻上特徴と係合することができるように移動可能である。この可動性は、戻って図2を参照すると、第1のキャリッジ122が半導体処理チャンバ104の任意の1つの近くに、または近傍になる可能性があるように第2の軸124に沿った第1のキャリッジ122の可動性を含み、戻って図3を参照すると、第1の巻上アーム130が垂直軸134の回りで回転する能力を含む。第1の巻上アーム130が垂直軸134を中心にして回転することにより、第1の巻上アーム130は、以下でより詳細に論じるように、垂直軸134に垂直な平面内部で動くことが可能になる。 The first carriage and the first hoisting arm are also movable so that they can move the hoisting feature engagement interface and engage with any hoisting feature among the removable components. .. This mobility, with reference to FIG. 2, goes back along the second axis 124 so that the first carriage 122 may be near or near any one of the semiconductor processing chambers 104. Including the mobility of the carriage 122 of 1, and back to FIG. 3, the first hoisting arm 130 includes the ability to rotate around the vertical axis 134. As the first hoisting arm 130 rotates about the vertical axis 134, the first hoisting arm 130 can move within a plane perpendicular to the vertical axis 134, as discussed in more detail below. It will be possible.

第1の巻上アーム130の可動性はまた、巻上特徴係合インタフェースの可動性を含んでよい。巻上特徴係合インタフェースは、1つまたは複数の軸を中心にして回転可能であってよい。戻って図4Aを参照すると、巻上特徴係合インタフェースは、点線形状152により包含され、継手158で第1の巻上アーム130の単一リンク132と接続される。垂直軸134、垂直軸に平行な軸160、および軸160に垂直な2つの他の軸154および156を図4Aおよび図5に描く。巻上特徴係合インタフェース152が第1の巻上アーム130のリンク132に接続される継手158は、巻上特徴係合インタフェース152が、第1のリンク132に関して、この具体的例では垂直軸134および他の2つの軸154および156を含むこれらの軸のうち1つまたは複数を中心にして回転できるようにするように構成されてよい。例えば、巻上特徴係合インタフェース152は、リンク132に関して、継手158で軸156を中心にして回転してよく、さらにまたリンク132に関して、垂直軸134に平行な軸160を中心にして回転してよい。いくつかの実例では、巻上特徴係合インタフェース152が第1の巻上アーム130のリンク132に接続される継手は、球面継手であってよい。この球面継手は、平面状の整列を容易にしてよい、垂直軸に平行な軸を中心とする部分的ギンブリング(gimbling)を可能にしてよい。この球面継手は、チャンバおよびチャンバの蓋が整列していない場合にチャンバに対するチャンバの蓋の整列を可能にするので有利であることがある。いくつかの実例ではいくつかの移動軸は、スプリングプランジャ、ピン、ねじ、または締め具などを用いて、ロックされた軸を中心にする動きを防止するようにロック可能であってよい。 The mobility of the first hoisting arm 130 may also include the mobility of the hoisting feature engagement interface. The hoisting feature engagement interface may be rotatable about one or more axes. Returning to FIG. 4A, the hoisting feature engagement interface is embraced by the dotted line shape 152 and is connected by a joint 158 to the single link 132 of the first hoisting arm 130. A vertical axis 134, an axis 160 parallel to the vertical axis, and two other axes 154 and 156 perpendicular to the axis 160 are drawn in FIGS. 4A and 5. The fitting 158, in which the hoisting feature engaging interface 152 is connected to the link 132 of the first hoisting arm 130, is such that the hoisting feature engaging interface 152 has a vertical axis 134 in this particular example with respect to the first link 132. And two other axes 154 and 156 may be configured to allow rotation about one or more of these axes. For example, the hoisting feature engagement interface 152 may rotate about the axis 156 at the joint 158 with respect to the link 132 and further around the axis 160 parallel to the vertical axis 134 with respect to the link 132. good. In some embodiments, the fitting to which the hoisting feature engagement interface 152 is connected to the link 132 of the first hoisting arm 130 may be a spherical fitting. This spherical joint may allow partial gimbling around an axis parallel to the vertical axis, which may facilitate planar alignment. This spherical fitting may be advantageous as it allows alignment of the chamber lid with respect to the chamber when the chamber and chamber lid are not aligned. In some embodiments, some moving shafts may be lockable using spring plungers, pins, screws, or fasteners to prevent movement around the locked shaft.

いくつかの実施形態では第1のキャリッジは、第1の直線誘導システムまたは上部支持フレームワークに対して垂直に巻上アームを並進させるように構成された垂直並進システムを含んでよい。巻上アームのこの垂直方向の動きは、第1のキャリッジが半導体処理チャンバの取外し可能な構成要素と係合し、それを持ち上げ、それを下げることをさらに可能にしてよい。図3のツールの一部分の同じ詳細な透視図を描く図5では、垂直並進システム162は、点線形状により包含される。垂直並進システム162は、第1の巻上アーム130を垂直軸134に平行な第2の垂直軸に沿って垂直に並進させるように構成され、両矢印164を使用してそのような並進を例示する。戻って図4Aおよび図4Bを参照すると、垂直並進システム162は、巻上特徴係合インタフェース152を第2の機械的インタフェース特徴151Aおよび151B、すなわちピンの真下に位置決めし、次いで、第2の機械的インタフェース特徴151Aおよび151Bがそれぞれ第1の機械的インタフェース特徴、すなわち穴144Aおよび144Bの中に挿入され、それにより、取外し可能な上部カバー118の巻上特徴と巻上特徴係合インタフェース152を係合させるように垂直に、上方に動かすことを可能にしてよい。巻上特徴係合インタフェース152、および取外し可能な上部カバーの巻上特徴が係合すると、巻上係合インタフェース152が切り離される危険性なしに垂直並進システム162により取外し可能な上部カバーを持ち上げ、下げてよい。 In some embodiments, the first carriage may include a first linear guidance system or a vertical translation system configured to translate the hoisting arm perpendicular to the top support framework. This vertical movement of the hoisting arm may further allow the first carriage to engage with the removable component of the semiconductor processing chamber and lift it up and down. In FIG. 5, which draws the same detailed perspective view of a portion of the tool of FIG. 3, the vertical translation system 162 is included by a dotted line shape. The vertical translation system 162 is configured to translate the first hoisting arm 130 vertically along a second vertical axis parallel to the vertical axis 134, exemplifying such translation using the double-headed arrow 164. do. Going back and referring to FIGS. 4A and 4B, the vertical translation system 162 positions the hoisting feature engaging interface 152 on the second mechanical interface features 151A and 151B, i.e., just below the pin, and then the second machine. Interface Features 151A and 151B are inserted into the first mechanical interface features, ie holes 144A and 144B, respectively, thereby engaging the hoisting and hoisting feature engagement interface 152 of the removable top cover 118. It may be possible to move it vertically and upwards to fit. When the hoisting feature engagement interface 152 and the hoisting feature of the removable top cover engage, the removable top cover is lifted and lowered by the vertical translation system 162 without the risk of disconnecting the hoisting engagement interface 152. You can do it.

垂直並進システム162は、第1の巻上アーム130を垂直方向に並進させるさまざまな機構を利用してよい。例えば、垂直並進システム162は、線形ボールねじアクチュエータ、油圧アクチュエータ、ねじアクチュエータ、ラックとピニオンを用いたアクチュエータ、またはケーブル巻上装置であってよい。垂直並進システム162はまた、垂直並進システム162に機械的入力を提供するように構成されたモータ166を含んでよい。たとえば、モータ166は、線形ボールねじアクチュエータなどのアクチュエータのいずれかに機械的駆動力を提供してよい。 The vertical translation system 162 may utilize various mechanisms for vertically translating the first hoisting arm 130. For example, the vertical translational system 162 may be a linear ball screw actuator, a hydraulic actuator, a screw actuator, an actuator using a rack and a pinion, or a cable hoisting device. The vertical translation system 162 may also include a motor 166 configured to provide a mechanical input to the vertical translation system 162. For example, the motor 166 may provide mechanical driving force to any of the actuators, such as a linear ball screw actuator.

いくつかの実施形態では、ツールは、直線誘導システムおよび第1のキャリッジのいくつかの部分を密封して、微粒子、およびこれらの移動可能な特徴が発生させる他の物質による汚染を防止するための1つまたは複数のベローズを含んでよく、これらの汚染物質は、基板、およびツールの他の様態に有害である可能性がある。直線誘導システムと第1のキャリッジの間の界面は、汚染物質を発生させる可能性がある移動可能な構成要素の1つであることがあり、この界面にシールを生み出すように、直線誘導システムと第1のキャリッジの間のこの界面を取り囲んでベローズを含むことが有利であることがある。垂直並進システムはまた、このシステムが汚染物質を発生させることがあるのでベローズを有してよい。 In some embodiments, the tool seals some parts of the linear guidance system and the first carriage to prevent contamination by particulates and other substances that these movable features give rise to. It may contain one or more bellows, these contaminants can be harmful to the substrate and other aspects of the tool. The interface between the linear guidance system and the first carriage may be one of the mobile components that can generate contaminants, and the linear guidance system and the linear guidance system to create a seal at this interface. It may be advantageous to include bellows surrounding this interface between the first carriages. Vertical translation systems may also have bellows as this system can generate contaminants.

第1のキャリッジ、第1の巻上アーム、または両方はまた、第1の巻上アームと係合した取外し可能な構成要素を動かしてよいように移動可能であってよい。上述のように、取外し可能な構成要素の巻上特徴と第1の巻上アームの巻上特徴係合インタフェースが係合すると、第1のキャリッジは、図5に例示するように、取外し可能な構成要素を垂直方向に、垂直軸134に平行な方向に並進させるように構成されてよい。追加で、第1のキャリッジは、第1の巻上アームと係合した取外し可能な構成要素を水平方向に、または垂直軸134に対して垂直な平面内部で1つまたは複数の方向に動かすことができるように構成されてよい。図6A~図6Eは、図2の半導体ツールの例の一部分の第1の例の、取外し可能な構成要素の例の動きのシーケンスを描く。これらの図は、例示する目的で上部支持フレームワークなしの、図2のツールの簡略化した上面図であり、垂直軸がページに対して垂直にページの中に伸展するように、図3および図5の垂直軸に平行な角度で見ている。この場合、第1の直線誘導システム120は、2つのレール126Aおよび126Bを含み、第2の軸124に沿って伸展する。第1のキャリッジ122は、第2の軸124に沿って並進することができるように第1の直線誘導システム120と移動可能に係合している。第1の複数の半導体処理チャンバ102もまた、これらの半導体処理チャンバの基底部分116、第1の巻上アーム130、リンク132、および巻上特徴、すなわち取外し可能な上部カバー118の第2の構造物142Aおよび142Bと係合した第1の巻上特徴係合インタフェース152と共に見える。 The first carriage, the first hoisting arm, or both may also be movable such that the removable components engaged with the first hoisting arm may be moved. As described above, when the hoisting feature of the removable component and the hoisting feature engagement interface of the first hoisting arm engage, the first carriage is removable, as illustrated in FIG. The components may be configured to translate vertically and in a direction parallel to the vertical axis 134. In addition, the first carriage moves the removable component engaged with the first hoisting arm horizontally or in one or more directions within a plane perpendicular to the vertical axis 134. May be configured to allow 6A-6E depict a sequence of movements of an example of a removable component of the first example of a portion of the example of the semiconductor tool of FIG. These figures are simplified top views of the tool of FIG. 2 without the top support framework for illustration purposes, with FIGS. 3 and 3 and so that the vertical axis extends into the page perpendicular to the page. It is viewed at an angle parallel to the vertical axis of FIG. In this case, the first linear guidance system 120 includes two rails 126A and 126B and extends along the second axis 124. The first carriage 122 is movably engaged with the first linear guidance system 120 so that it can be translated along the second axis 124. The first plurality of semiconductor processing chambers 102 also have a base portion 116 of these semiconductor processing chambers, a first hoisting arm 130, a link 132, and a hoisting feature, ie, a second structure of a removable top cover 118. It is visible with the first hoisting feature engaging interface 152 engaged with objects 142A and 142B.

図6A~図6Eに示すように、第1の巻上アーム130、リンク132、第1の巻上特徴係合インタフェース152、第1のキャリッジ122、および取外し可能な上部カバー118は、垂直軸に対して垂直な平面内部で移動可能である。図6Aは、取外し可能な上部カバー118の巻上特徴と第1の巻上アーム130の巻上特徴係合インタフェース152の間で係合した後の開始位置であると考えてよい。図6Bでは、第1のキャリッジ122は、第2の軸124に沿って矢印128の方向に並進しており、取外し可能な上部カバー118は、第2の軸124に垂直な水平方向168に動かされている。取外し可能な上部カバー118のこの動きは、垂直軸に垂直な平面内部での動きであると考えてよい。上部カバー118のこの動きはまた、矢印169により示すようにリンク132に関する巻上特徴係合インタフェース152の回転により、かつ第2の軸124に沿ったキャリッジ122の直線並進により、矢印136により示すように(ページの中に進む、134のラベルの付いた「X」により表される)垂直軸を中心にした第1の巻上アーム130の回転により可能になっている。第1の巻上アーム130、巻上特徴係合インタフェース152、リンク132、および第1のキャリッジ122の対応する動きおよび回転と共に、取外し可能な上部カバー118の水平方向168の追加の動きが、図6C~図6Eでさらに見える。 As shown in FIGS. 6A-6E, the first hoisting arm 130, the link 132, the first hoisting feature engagement interface 152, the first carriage 122, and the removable top cover 118 are on the vertical axis. It can move inside a plane perpendicular to it. FIG. 6A may be considered as the starting position after engagement between the hoisting feature of the removable top cover 118 and the hoisting feature engagement interface 152 of the first hoisting arm 130. In FIG. 6B, the first carriage 122 translates in the direction of arrow 128 along the second axis 124, and the removable top cover 118 moves horizontally 168 perpendicular to the second axis 124. Has been done. This movement of the removable top cover 118 can be thought of as movement within a plane perpendicular to the vertical axis. This movement of the top cover 118 is also indicated by arrow 136 by the rotation of the hoisting feature engagement interface 152 with respect to the link 132 as indicated by arrow 169 and by the linear translation of the carriage 122 along the second axis 124. It is made possible by the rotation of the first hoisting arm 130 about a vertical axis (represented by an "X" labeled 134, which goes into the page). Along with the corresponding movements and rotations of the first hoisting arm 130, hoisting feature engagement interface 152, link 132, and first carriage 122, additional movement of the removable top cover 118 in the horizontal direction 168 is shown in the figure. It can be seen further from 6C to 6E.

取外し可能な構成要素は、図6A~図6Eに描く以外の方法で動かされてよい。例えば、キャリッジ122は、第1の巻上アーム130、巻上特徴係合インタフェース152、リンク132、および取外し可能な上部カバー118のうち1つまたは複数が、垂直軸134に平行な軸を中心にして回転させられる間、第2の軸124上の固定した位置にとどまってよい。いくつかの例では、第1の巻上アーム130だけは、第1のキャリッジのその他の様態が静止したままでいる間、矢印136により示すように、垂直軸134に平行な軸を中心にして回転してよい。第1のキャリッジに係合した取外し可能な構成要素の可動性はまた、水平方向168の直線運動または第2の軸124に沿った直線運動に限定されない。いくつかの実施形態では、運動が水平方向168および第2の軸124の範囲内のベクトル成分を有し、かつ矢印136に示すように垂直軸に平行な軸を中心にした回転成分、および上記に記述するように水平方向168および第2の軸124を含むがそれらに限定されない水平平面内部の、第1の軸に垂直な軸を中心にした回転成分を有するように、取外し可能な構成要素を動かしてよい。 The removable components may be moved by methods other than those depicted in FIGS. 6A-6E. For example, the carriage 122 may have one or more of the first hoisting arm 130, hoisting feature engaging interface 152, link 132, and removable top cover 118 centered on an axis parallel to the vertical axis 134. It may remain in a fixed position on the second axis 124 while being rotated. In some examples, only the first hoisting arm 130 is centered on an axis parallel to the vertical axis 134, as indicated by arrow 136, while the other aspects of the first carriage remain stationary. You may rotate. The mobility of the removable component engaged with the first carriage is also not limited to horizontal 168 linear motion or linear motion along the second axis 124. In some embodiments, the motion has a vector component within the range of horizontal 168 and a second axis 124, and a rotational component about an axis parallel to the vertical axis, as shown by arrow 136, and the above. Removable components such as having a rotational component about an axis perpendicular to the first axis within a horizontal plane that includes, but is not limited to, the horizontal direction 168 and the second axis 124 as described in. You may move.

第1のキャリッジ、第1の巻上アーム、または両方の可動性はまた、第1の巻上アームと係合した取外し可能な構成要素がツールの包絡面の外側で動くことを可能にする。いくつかの実施形態では、例えば図6Eを参照すると、第1の複数の半導体処理チャンバの基底部分は、包絡面170の内部に配置されてよく、第1のキャリッジ122の前述の動きは、取外し可能な上部カバー118を包絡面170の外側で動かすことを可能にする。いくつかの実例では、取外し可能な上部カバー118は、図1に例示するように包絡面170の外側およびツール100を取り囲む点検領域の中に動かされてよい。包絡面170は、ツールの半導体処理チャンバの基底部分のすべてを包含すると考えてよい。 The mobility of the first carriage, the first hoisting arm, or both also allows the removable component engaged with the first hoisting arm to move outside the envelope of the tool. In some embodiments, for example with reference to FIG. 6E, the base portion of the first plurality of semiconductor processing chambers may be located inside the envelope surface 170 and the aforementioned movement of the first carriage 122 is removable. Allows the possible top cover 118 to be moved outside the envelope surface 170. In some embodiments, the removable top cover 118 may be moved outside the envelope surface 170 and into the inspection area surrounding the tool 100 as illustrated in FIG. The envelope 170 may be considered to embrace all of the base portion of the tool's semiconductor processing chamber.

いくつかの実施形態では、第1の巻上アームは、図3に描くように直線区画を有してよい。この直線区画は、矢印136により示すように垂直軸134を中心にして枢動または回転する第1の巻上アーム130の一部分である枢動区画133と、巻上特徴係合インタフェースが接続された、第1の巻上アーム130の端部140との間に伸展するリンク132と同じであってよい。いくつかのそのような実施形態では、第1の巻上アームは、直線区画および角度を成す区画を有してよい。図7は、巻上アームの例を描く。図7では、巻上アームの例は、巻上特徴係合インタフェース752が接続された端部740を有する直線区画732を含み、さらにまた枢動区画733と直線区画732の間に広がる角度を成す区画772を含む。角度を成す区画772は、図7に描くように鋭角または鈍角であってよい、垂直軸134に対して傾いた角度774で配向される。この角度は、約15°~75°の間、約30°~60°の間の範囲にわたってよく、約45°を含む。この角度を成す巻上アームは、直線区画だけを伴う巻上アームと異なる垂直行程を可能にするので有利であることがある。いくつかの実施形態では、巻上アームは、エルボ継手および二重エルボ継手など、2つ以上のリンクおよび多数の継手を含んでよい。 In some embodiments, the first hoisting arm may have a straight section as depicted in FIG. This linear compartment is connected to a pivot compartment 133, which is part of a first hoisting arm 130 that pivots or rotates about a vertical axis 134, as indicated by arrow 136, and a hoisting feature engagement interface. , May be the same as the link 132 extending between the first hoisting arm 130 and the end 140. In some such embodiments, the first hoisting arm may have a straight section and an angled section. FIG. 7 depicts an example of a hoisting arm. In FIG. 7, an example of a hoisting arm includes a linear compartment 732 having an end 740 to which the hoisting feature engaging interface 752 is connected, and also forms an angle extending between the pivot compartment 733 and the linear compartment 732. Includes compartment 772. The angled compartments 772 are oriented at an angle 774 tilted with respect to the vertical axis 134, which may be acute or obtuse, as depicted in FIG. This angle may range from about 15 ° to 75 °, from about 30 ° to 60 °, and includes about 45 °. A hoisting arm at this angle may be advantageous as it allows for a different vertical stroke than a hoisting arm with only a straight section. In some embodiments, the hoisting arm may include two or more links and a large number of fittings, such as elbow fittings and double elbow fittings.

第1の直線誘導システムおよび第1のキャリッジの位置決めおよび配列は変動してよく、それにより次に第1のキャリッジおよび第1の巻上アームの可動性は影響を受ける。いくつかの実施形態では、第1の直線誘導システムは、第1の複数の半導体処理チャンバの上方に位置決めされてよい。例えば、戻って図2を参照すると、第1の直線誘導システム120は、第1の複数の半導体処理チャンバ102の上方に、または上方に垂直方向にずれて、垂直軸134に平行な方向に位置決めされていることが見える。いくつかの実装形態では、第1のキャリッジ122は、図2に見えるように第1の直線誘導システム120の下方で垂直軸134に平行な方向に、垂直にずれてよい。いくつかの実施形態では、第2の軸124に平行な方向に沿って見たとき、第1のキャリッジ122は、第1の直線誘導システム120と基底部分116などの第1の複数の半導体処理チャンバ102の一部との間に垂直に置かれていると考えてよい。 The positioning and alignment of the first linear guidance system and the first carriage may vary, which in turn affects the mobility of the first carriage and the first hoisting arm. In some embodiments, the first linear guidance system may be positioned above the first plurality of semiconductor processing chambers. For example, referring back to FIG. 2, the first linear guidance system 120 is vertically offset above or above the first plurality of semiconductor processing chambers 102 and positioned in a direction parallel to the vertical axis 134. It can be seen that it has been done. In some implementations, the first carriage 122 may be offset vertically below the first linear guidance system 120 in a direction parallel to the vertical axis 134, as can be seen in FIG. In some embodiments, when viewed along a direction parallel to the second axis 124, the first carriage 122 is a first plurality of semiconductor processes such as a first linear guidance system 120 and a base portion 116. It can be considered that it is placed vertically between the part of the chamber 102 and the part of the chamber 102.

第1の巻上アーム130は、基底部分116に接続されてよい、またはそれを取り囲んでいてよい、第1の複数の半導体処理チャンバ102の中の半導体処理チャンバ110のさまざまな取外し可能な構成要素と係合することができる。これらの取外し可能な構成要素は、例えば取外し可能な上部カバー118、無線周波数(RF)発生器、ポンプ、または低温ポンプを含んでよい。取外し可能な構成要素、または半導体処理チャンバの別の部分の保守または点検のために、半導体処理チャンバからこれらの構成要素を取り除くことが望ましいことがある。これらの取外し可能な構成要素の各々は、取外し可能な構成要素を持ち上げる、下げる、および動かすことができるように、巻上特徴係合インタフェースがこれらの取外し可能な構成要素と係合可能にする、上記で論じた巻上特徴のいくつかを含んでよい。たとえば、取外し可能な構成要素のいずれも、上記で図4Bに関して記述した巻上特徴を有してよい、または持ち上げ穴、持ち上げフックなどの従来の巻上特長を有してよい。取外し可能な構成要素の上に配列された巻上特徴のタイプとは無関係に、第1のキャリッジおよび第1の巻上アームは、取外し可能な構成要素のこれらの巻上特徴と係合するように巻上特徴係合インタフェースを動かすことができるように移動可能であってよい。 The first hoisting arm 130 may be connected to, or may surround, the base portion 116 of various removable components of the semiconductor processing chamber 110 within the first plurality of semiconductor processing chambers 102. Can engage with. These removable components may include, for example, a removable top cover 118, a radio frequency (RF) generator, a pump, or a cold pump. It may be desirable to remove these components from the semiconductor processing chamber for maintenance or inspection of removable components or other parts of the semiconductor processing chamber. Each of these removable components allows the hoisting feature engagement interface to engage with these removable components so that the removable components can be lifted, lowered, and moved. It may include some of the hoisting features discussed above. For example, any of the removable components may have the hoisting features described with respect to FIG. 4B above, or may have conventional hoisting features such as lifting holes, lifting hooks, and the like. Regardless of the type of hoisting feature arranged on top of the removable component, the first carriage and first hoisting arm are to engage with these hoisting features of the removable component. The hoisting feature may be movable so that the engagement interface can be moved.

いくつかの他の実施形態では、直線誘導システムおよび第1のキャリッジは、第1のキャリッジがツールの他の様態にアクセスすることができるように配列および位置決めされてよい。異なる構成要素構成を伴うツールを考慮するために、異なる構成の直線誘導システムおよびキャリッジを有することが有利であることがある。例えば、図2のツールの一部分の第1の例は、上記で記述した直線誘導および第1のキャリッジの位置決めに十分であってよい特定の手法で配列された構成要素を有する。他の実例では、ツールは、上記で記述した直線誘導および第1のキャリッジがそのような構成要素に到達できなくてよいように配列された取外し可能な構成要素を有してよい。これらの実例では、料金は、上記で記述したのと異なるように構成されてよい。 In some other embodiments, the linear guidance system and the first carriage may be aligned and positioned so that the first carriage can access other aspects of the tool. In order to consider tools with different component configurations, it may be advantageous to have different configurations of linear guidance systems and carriages. For example, the first example of a portion of the tool of FIG. 2 has components arranged in a particular manner that may be sufficient for linear guidance and positioning of the first carriage as described above. In another embodiment, the tool may have removable components arranged such that the linear guidance and first carriage described above do not have to reach such components. In these examples, the charges may be configured differently as described above.

図8は、図1のツール概略図の一部分の第2の代替例の透視図を描く。ツール800の構成要素の配列は、上記で記述したツール100の構成要素の配列に類似するがそれと異なっている。図8の場合、図2のように、この例では3つの半導体処理チャンバを含む第1の複数の半導体処理チャンバ802は、第1の軸806に沿って配列され、各半導体処理チャンバは、上部支持フレームワーク814に固定して搭載された基底部分816を含む。図8でさらに見えるように、ツール800は、第1の複数の半導体処理チャンバ802の上方に、いくつかは取外し可能であってよい構成要素874を含む。これらの構成要素874は、たとえば図2に関して上記で記述したのと異なる直線誘導システム、第1のキャリッジ、または両方を使用することが有利であることがあるように位置決めおよび配列される。 FIG. 8 draws a perspective view of a second alternative example of a portion of the schematic diagram of the tool of FIG. The array of components of the tool 800 is similar to, but different from, the array of components of the tool 100 described above. In the case of FIG. 8, as shown in FIG. 2, in this example, the first plurality of semiconductor processing chambers 802 including three semiconductor processing chambers are arranged along the first axis 806, and each semiconductor processing chamber is on the upper side. Includes a base portion 816 fixedly mounted on the support framework 814. As further visible in FIG. 8, the tool 800 includes a component 874, some of which may be removable, above the first plurality of semiconductor processing chambers 802. These components 874 are positioned and arranged such that it may be advantageous to use, for example, a linear guidance system different from that described above with respect to FIG. 2, a first carriage, or both.

ツール800は、上記で記述したように、第1の軸806に実質的に平行な、第1のレール878Aおよび第2のレール878Bを含む第2の軸824に沿って配列された第2の直線誘導システム876を含む。これらの2つのレールは、互いに平行であり、上記で記述したように第2の軸824に垂直な垂直軸834に沿って互いに垂直方向にずれている。第2の直線誘導システム876はまた、直接に、または間接的に上部支持フレームワーク814により固定して支持され、図8は、上部支持フレームワーク814に直接に固定して搭載された第2の直線誘導システム876を描く。第2のキャリッジ880はまた、点線形状内部に描かれ、図8の拡大部分を描く図9でより詳細に見える。図9では、第2のキャリッジ880は、第2の直線誘導システム876と移動可能に係合し、その結果、第1のレール878Aと第2のレール878Bの両方と同時に移動可能に係合し、それにより、上記で記述するように第2の軸824に沿って矢印828の方向に並進可能になる。「第2の」直線誘導システムおよび「第2の」キャリッジへの言及は、同じ半導体処理ツールで各々の「第1の」実例が必ず存在することを示唆することを意図するものではなく、順序を表す指標は、すでに論じた例とこの直線誘導システムおよびキャリッジを区別するために単に使用されることを理解されよう。 The tool 800 is a second aligned along a second axis 824, including a first rail 878A and a second rail 878B, substantially parallel to the first axis 806, as described above. Includes a linear guidance system 876. These two rails are parallel to each other and are vertically offset from each other along a vertical axis 834 perpendicular to the second axis 824 as described above. The second linear guidance system 876 is also directly or indirectly fixed and supported by the upper support framework 814, and FIG. 8 is a second fixed and mounted directly to the upper support framework 814. Draw a linear guidance system 876. The second carriage 880 is also drawn inside the dotted shape and is visible in more detail in FIG. 9, which depicts the enlarged portion of FIG. In FIG. 9, the second carriage 880 is movably engaged with the second linear guidance system 876 so that both the first rail 878A and the second rail 878B are movably engaged at the same time. It allows translation along the second axis 824 in the direction of arrow 828 as described above. References to the "second" linear guidance system and "second" carriage are not intended to suggest that each "first" example always exists in the same semiconductor processing tool, and are in sequence. It will be appreciated that the indicator representing is simply used to distinguish this linear guidance system and carriage from the examples already discussed.

第2のキャリッジ880はまた、第2のキャリッジ880の要素、垂直軸834に平行な軸を中心にして回転する第2のキャリッジ880の能力、および取外し可能な構成要素の巻上特徴と係合するように構成された巻上特徴係合インタフェースを第2のキャリッジ880が含むことを含み、第1の巻上アーム130に関して上記で記述したのと同じであってよい第1の巻上アーム830を含む。いくつかの実例では、リンク832は、ツール800の他の構成要素に到達するために図2のリンクよりも長くても、短くてもよい。また上記のように、第2のキャリッジ880は、矢印864により示すように第2の巻上アーム830を垂直軸834に平行な方向に並進させるように構成された第1の垂直並進システム862を含んでよい。第1の垂直並進システム862は、上記で記述したように、滑車およびケーブル、またはねじアクチュエータを使用してよい、垂直並進を駆動するように構成されたモータ866を含む。 The second carriage 880 also engages with the elements of the second carriage 880, the ability of the second carriage 880 to rotate about an axis parallel to the vertical axis 834, and the hoisting features of the removable components. First hoisting arm 830, including the inclusion of a hoisting feature engaging interface configured to do so, which may be the same as described above for the first hoisting arm 130. including. In some embodiments, the link 832 may be longer or shorter than the link of FIG. 2 to reach the other components of the tool 800. Further, as described above, the second carriage 880 provides a first vertical translation system 862 configured to translate the second hoisting arm 830 in a direction parallel to the vertical axis 834 as indicated by arrow 864. May include. The first vertical translation system 862 includes a motor 866 configured to drive the vertical translation, which may use pulleys and cables, or screw actuators, as described above.

いくつかの実施形態では、第2の直線誘導システムおよび第2のキャリッジの構造は、第2の巻上アームが第2の直線誘導システムの下方で、間で、上方で並進可能にする。たとえば、図8では、第1の巻上アーム830は、第2の直線誘導システム876の下方で、かつ第2の直線誘導システム876の第1のレール878Aと第2のレール878Bの間で並進することができ、いくつかの実装形態では、垂直並進システムは、第2のレール878Bの上方にさえ伸展してよく、第2のレール878Bの上方の場所まで第2の巻上アームの並進を可能にする。この垂直並進範囲は、第1の巻上アーム830の巻上特徴係合インタフェースが、第2の直線誘導システム876の下方で、取外し可能な上部カバーお818および取外し可能な構成要素882Aなどの取外し可能な構成要素、ならびに第1のレール878Aと第2のレール878Bの間で(または第2のレール878Bの上方で)、取外し可能な構成要素882Bなどの取外し可能な構成要素にアクセスし、それらを動かすことを可能にする。いくつかの実施形態では、第1のキャリッジは、第1の巻上アーム830が、第2の直線誘導システム876の最下部の上方にある取外し可能な構成要素にアクセスし、それらを動かすことができるように構成されてよい。 In some embodiments, the structure of the second linear guidance system and the second carriage allows the second hoisting arm to be translated below, between, and above the second linear guidance system. For example, in FIG. 8, the first hoisting arm 830 translates below the second linear guidance system 876 and between the first rail 878A and the second rail 878B of the second linear guidance system 876. In some implementations, the vertical translation system may even extend above the second rail 878B, translating the second hoist arm to a location above the second rail 878B. to enable. This vertical translation range is such that the hoisting feature engagement interface of the first hoisting arm 830 is below the second linear guidance system 876, with the removable top cover 818 and removable components 882A, etc. To access and access possible components, as well as removable components such as the removable component 882B between the first rail 878A and the second rail 878B (or above the second rail 878B). Allows you to move. In some embodiments, the first carriage allows the first hoisting arm 830 to access and move removable components above the bottom of the second linear guidance system 876. It may be configured to allow.

ツールのいくつかの実施形態は、同じ直線誘導システムに同時に移動可能に係合する2つ以上のキャリッジを有してよい。例えば、図2および図3の第1の直線誘導システム120は、自身と移動可能に同時に係合する2つの第1のキャリッジ122を有してよい。これらの2つの第1のキャリッジ122は、いくつかの実装形態では互いの複製であってよい。たとえば図10図10は、1つの直線誘導システムと係合する2つの第1のキャリッジを有する、図6A~図6Eに似たツールを描く。この場合、第1のキャリッジ122Aおよび第1のキャリッジ122B(第2のキャリッジであると考えてよい)は両方とも、第2の軸124に沿って両方とも並進することができるように第1の直線誘導システム120と同時に移動可能に係合している。これらの実施形態では、第1のキャリッジ122Aおよび第1のキャリッジ122Bは、複製であり、上記で記述した第1のキャリッジ122のように構成される。これにより、多数の半導体処理チャンバ104の取外し可能な構成要素にアクセスし、それらを動かすことが可能になり、それにより効率が高まり、点検および保守の時間が低減することがある。 Some embodiments of the tool may have two or more carriages that simultaneously movably engage the same linear guidance system. For example, the first linear guidance system 120 of FIGS. 2 and 3 may have two first carriages 122 that are movably and simultaneously engaged with themselves. These two first carriages 122 may be duplicates of each other in some implementations. For example, FIG. 10 depicts a tool similar to FIGS. 6A-6E with two first carriages engaged with one linear guidance system. In this case, both the first carriage 122A and the first carriage 122B (which may be considered to be the second carriage) are both the first so that they can both be translated along the second axis 124. It is movably engaged at the same time as the linear guidance system 120. In these embodiments, the first carriage 122A and the first carriage 122B are duplicates and are configured like the first carriage 122 described above. This allows access to and moving a large number of removable components of the semiconductor processing chamber 104, which may increase efficiency and reduce inspection and maintenance time.

戻って図1を参照すると、ツール100は、2つの複数の半導体処理チャンバ、すなわち第1の複数の半導体処理チャンバ102および第2の複数の半導体処理チャンバ108を有してよい。第2の複数の半導体処理チャンバ108は、第1の軸106からずれて第1の軸106に実質的に平行な、軸112と同じであると考えてよい第3の軸に沿って配列されてよい。図1では、第2の複数の半導体処理チャンバ108は、複数の半導体処理チャンバの間に半透明なクロスハッチングの破線図として表された内部領域184をツールが有するように、第1の複数の半導体処理チャンバ102から第1の軸に垂直な別の方向にずれている。内部領域は、上部支持フレームワーク114の一部だけではなく、ガスボックス、多岐管、ガス供給源、電子回路、電線管などのような半導体処理のために使用される構成要素も包含してよい。この内部領域184はまた、処理チャンバ、またはツールの他の様態の中に、またはそこから外に1つまたは複数の基板を移送するように構成された1つまたは複数の基板搬送ロボットを含んでよい。本明細書で論じるように、これらの基板搬送ロボットは、開示するキャリッジと異なる。たとえば、基板搬送ロボットは、典型的には内部領域に配置され、これは、そのようなロボットが、制御された環境で、たとえば真空移送モジュールの内部などでウエハを依然として保ちながら、異なる処理チャンバの間でウエハを効率的に移送することができるようするからである。 Going back and referring to FIG. 1, the tool 100 may have two plurality of semiconductor processing chambers, i.e., a first plurality of semiconductor processing chambers 102 and a second plurality of semiconductor processing chambers 108. The second plurality of semiconductor processing chambers 108 are arranged along a third axis that deviates from the first axis 106 and is substantially parallel to the first axis 106 and can be considered to be the same as the axis 112. It's okay. In FIG. 1, the second plurality of semiconductor processing chambers 108 have a plurality of first semiconductor processing chambers such that the tool has an internal region 184 represented as a dashed line diagram of translucent cross-hatching between the plurality of semiconductor processing chambers. It is offset from the semiconductor processing chamber 102 in another direction perpendicular to the first axis. The internal region may include not only a portion of the upper support framework 114, but also components used for semiconductor processing such as gas boxes, diversified tubes, gas sources, electronic circuits, conduits and the like. .. This internal region 184 also includes one or more substrate transfer robots configured to transfer one or more substrates into or out of the processing chamber, or other aspect of the tool. good. As discussed herein, these substrate transfer robots differ from the disclosed carriages. For example, a substrate transfer robot is typically placed in an internal area, which is a different processing chamber while such a robot still holds the wafer in a controlled environment, for example inside a vacuum transfer module. This is because the wafers can be efficiently transferred between them.

多数の複数の半導体処理チャンバを伴うツール100は、複数の半導体処理チャンバごとに直線誘導システムおよびキャリッジを有してよい。図11は、図1のツール概略図に示す半導体処理ツールに類似する半導体処理ツールの例の上面図を描くが、追加の詳細および特徴を示す。第1の複数の半導体処理チャンバ102は、図2~図7に記述するものに類似してよい。これらの半導体処理チャンバは、第2の軸124に沿って配置されてよく、これらの半導体処理チャンバの基底部分116は、上部支持フレームワーク114に固定して搭載されてよい。図2~図7で上記に記述したように、第1の直線誘導システム120および第1のキャリッジ122は、第1の複数の半導体処理チャンバ102だけの、取外し可能な上部カバー118などの取外し可能な構成要素にアクセスして、それらを動かすように位置決めおよび構成されてよい。 The tool 100 with a large number of semiconductor processing chambers may have a linear guidance system and a carriage for each of the plurality of semiconductor processing chambers. FIG. 11 draws a top view of an example of a semiconductor processing tool similar to the semiconductor processing tool shown in the schematic diagram of the tool of FIG. 1, but shows additional details and features. The first plurality of semiconductor processing chambers 102 may be similar to those described in FIGS. 2 to 7. These semiconductor processing chambers may be arranged along the second axis 124, and the base portion 116 of these semiconductor processing chambers may be fixedly mounted on the upper support framework 114. As described above in FIGS. 2-7, the first linear guidance system 120 and the first carriage 122 are removable, such as the removable top cover 118 of only the first plurality of semiconductor processing chambers 102. Components may be accessed, positioned and configured to move them.

追加で、第2の複数の半導体処理チャンバ108は、第3の軸に沿って配列されてよい。第2の直線誘導システム1120は、第3の軸112に実質的に平行な第4の軸1185に沿って位置決めされてよい(図1を参照されたい)。第2のキャリッジ1122は、第2の直線誘導システム1120と移動可能に係合してよく、第2の複数の半導体処理チャンバ108だけの取外し可能な構成要素にアクセスし、それらを動かすように構成されてよい。第2のキャリッジ1122は、第1のキャリッジ122に関して上記で記述するように第2の複数の半導体処理チャンバ108の、取外し可能な上部カバー1118などの取外し可能な構成要素の巻上特徴と係合するように構成されてよい。いくつかの実施形態では、第2の直線誘導システム1120は、第1の直線誘導システム120の複製であってよく、第2のキャリッジ1122は、第1のキャリッジ122の複製であってよく、第1の複数の半導体処理チャンバおよび第2の複数の半導体処理チャンバの取外し可能な構成要素はすべて、同じ設計であっても、類似の設計であってもよい。いくつかの他の実施形態では、第1の直線誘導システム120は、第2の直線誘導システム1120と異なってよく、第1のキャリッジ122は、第2のキャリッジ1122と異なってよいが、第1のキャリッジおよび第2のキャリッジそれぞれは、それらの対応する半導体処理チャンバの取外し可能な構成要素の巻上特徴と係合するように依然として構成されてよい。 In addition, the second plurality of semiconductor processing chambers 108 may be arranged along the third axis. The second linear guidance system 1120 may be positioned along a fourth axis 1185 that is substantially parallel to the third axis 112 (see FIG. 1). The second carriage 1122 may be movably engaged with the second linear guidance system 1120 and is configured to access and move the removable components of only the second plurality of semiconductor processing chambers 108. May be done. The second carriage 1122 engages with the hoisting features of the removable components of the second plurality of semiconductor processing chambers 108, such as the removable top cover 1118, as described above for the first carriage 122. It may be configured to do so. In some embodiments, the second linear guidance system 1120 may be a duplicate of the first linear guidance system 120 and the second carriage 1122 may be a duplicate of the first carriage 122. The removable components of one plurality of semiconductor processing chambers and the second plurality of semiconductor processing chambers may all have the same design or similar designs. In some other embodiments, the first linear guidance system 120 may be different from the second linear guidance system 1120 and the first carriage 122 may be different from the second carriage 1122. The carriage and the second carriage, respectively, may still be configured to engage the hoisting features of the removable components of their corresponding semiconductor processing chambers.

いくつかの実施形態では、第1の複数の半導体処理チャンバ102、第2の複数の半導体処理チャンバ108、および内部領域は、包絡面170の内部に配置されてよい。いくつかの実例では、第1のキャリッジおよび/または直線誘導システムの少なくとも一部分は、包絡面170の外側に位置決めされる。対応する第1の巻上アームおよび第2の巻上アームを含む第1のキャリッジ122および第2のキャリッジ1122は、各複数の半導体処理チャンバからの取外し可能な構成要素を包絡面の外側に動かすことができるように移動可能であってよい。これを図11に例示し、取外し可能な上部カバー118および1118は、包絡面170の外側に動かされ、位置決めされる。 In some embodiments, the first plurality of semiconductor processing chambers 102, the second plurality of semiconductor processing chambers 108, and the internal region may be arranged inside the envelope surface 170. In some embodiments, at least a portion of the first carriage and / or linear guidance system is positioned outside the envelope surface 170. The first carriage 122 and the second carriage 1122, including the corresponding first hoisting arm and second hoisting arm, move removable components from each of the plurality of semiconductor processing chambers out of the envelope. It may be movable so that it can be moved. Illustrating this in FIG. 11, the removable top covers 118 and 1118 are moved and positioned outside the envelope surface 170.

本明細書で記述する巻上様態、たとえば直線誘導システムおよび第1のキャリッジは、さまざまな程度の動力を供給される特徴および動力を供給されない特徴を含んでよい。たとえばツールのいくつかの実装形態では、第2の軸124に沿った第1のキャリッジ122の動き、および第1の巻上アームの水平方向の動きおよび回転方向の動きは、モータも、電力も、機械的力もなしに人力を使用して第1のキャリッジ122を動かし、第1の巻上アームを水平方向に、かつ回転するように動かすように、動力源を持たなくてよい。いくつかの例では、モータまたは油圧応用機器などの機械的動力は、モータが第1の巻上アーム130を垂直に動かすなど、第1のキャリッジ122および第1の巻上アーム130の1つまたは複数の様態を垂直に動かしてよい。いくつかの他の実装形態では、直線誘導システムに沿った第1のキャリッジの動き、ならびに第1の巻上アームの水平の動きおよび回転する動きは、モータ、ポンプ、油圧応用機器などによる動力源による動きであってよい。 The hoisting modalities described herein, such as linear guidance systems and first carriages, may include varying degrees of powered and unpowered features. For example, in some embodiments of the tool, the movement of the first carriage 122 along the second axis 124, and the horizontal and rotational movement of the first hoisting arm, are both motor and power. It is not necessary to have a power source to move the first carriage 122 by human power without any mechanical force and to move the first hoisting arm horizontally and in a rotating manner. In some examples, mechanical power, such as a motor or hydraulic application, is one of the first carriage 122 and the first hoisting arm 130, such as the motor moving the first hoisting arm 130 vertically. Multiple modes may be moved vertically. In some other embodiments, the movement of the first carriage along the linear guidance system, as well as the horizontal and rotating movements of the first hoisting arm, are powered by motors, pumps, hydraulic application equipment, etc. It may be a movement by.

本明細書で記述するツールのいくつかの実施形態はまた、ツールのさまざまな様態を制御するコントローラを含んでよい。いくつかの実装形態では、コントローラは、1つもしくは複数の処理チャンバ、処理するための1つもしくは複数のプラットフォーム、および/または特有の処理構成要素(ウエハペダル、ガス流システムなど)の一部であってよい。これらのツールは、半導体ウエハまたは半導体基板を処理する前、処理する間、および処理後に自身の動作を制御するための電子回路と一体化されてよい。電子回路は、ツールのさまざまな構成要素または下位区分を制御してよい「コントローラ」と呼ばれることがある。コントローラは、処理要件および/またはツールのタイプに応じて、たとえば、本明細書で開示する巻上システムに電力をいつ供給してよいかを制御すること、および安全に保守できる条件のために半導体処理チャンバの動作状態を監視することを含む、本明細書で開示する処理のいずれも制御するようにプログラムされてよい。コントローラはまた、処理ガスの配送、温度設定(たとえば、加熱および/または冷却)、圧力設定、真空設定、出力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体配送設定、位置および動作の設定、ツールおよび他の移送ツールの中へ、およびそれらから外へのウエハ移送、ならびに/または特有のシステムに接続された、もしくはそれとインタフェースをとるロードロックなどを含む、ツール動作の他の様態を制御してよい。 Some embodiments of the tools described herein may also include controllers that control various aspects of the tool. In some implementations, the controller is part of one or more processing chambers, one or more platforms for processing, and / or specific processing components (wafer pedals, gas flow systems, etc.). It's okay. These tools may be integrated with electronic circuits to control their operation before, during, and after processing a semiconductor wafer or substrate. Electronic circuits are sometimes referred to as "controllers" that may control various components or subdivisions of the tool. The controller controls when the hoisting system disclosed herein may be powered, depending on processing requirements and / or the type of tool, and for safe and maintainable conditions. Any of the processes disclosed herein may be programmed to control, including monitoring the operating state of the processing chamber. The controller also delivers processing gas, temperature settings (eg heating and / or cooling), pressure settings, vacuum settings, output settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, Includes fluid delivery settings, position and operation settings, wafer transfer into and out of tools and other transfer tools, and / or load locks connected to or interface with specific systems. , Other modes of tool operation may be controlled.

大まかに言って、コントローラは、さまざまな集積回路、論理回路、メモリ(非一時的媒体を含む)、および/または命令を受け取り、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなどを行うソフトウェアを有する電子回路として規定されてよい。集積回路は、プログラム命令を記憶するファームウェアの形をとるチップ、デジタル・シグナル・プロセッサ(digital signal processor、DSP)、特定用途向け集積回路(application specific integrated circuit、ASIC)として規定されるチップ、および/またはプログラム命令(たとえば、ソフトウェア)を実行する1つもしくは複数のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、半導体ウエハ上での、もしくは半導体ウエハのための、またはシステムに対する特定の処理を行うための動作パラメータを規定するさまざまな個々の設定(またはプログラムファイル)の形でコントローラに伝達される命令であってよい。動作パラメータは、いくつかの実施形態では、1つもしくは複数の層、材料、金属、酸化物、ケイ素、酸化ケイ素、表面、回路、および/またはウエハのダイを製作する間、1つまたは複数の処理ステップを達成するために処理技術者が規定するレシピの一部であってよい。 Broadly speaking, the controller receives various integrated circuits, logic circuits, memory (including non-temporary media), and / or instructions, issues instructions, controls operations, enables cleaning operations, and ends. It may be defined as an electronic circuit having software that enables point measurement and the like. Integrated circuits are chips in the form of firmware that stores program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and /. Alternatively, it may include one or more microprocessors or microcontrollers that execute program instructions (eg, software). Program instructions are transmitted to the controller in the form of various individual settings (or program files) that specify operating parameters on or for the semiconductor wafer or for performing specific processing on the system. It may be an instruction. The operating parameters are, in some embodiments, one or more while making the die of one or more layers, materials, metals, oxides, silicon, silicon oxide, surfaces, circuits, and / or wafers. It may be part of a recipe specified by a processing technician to accomplish the processing step.

コントローラは、いくつかの実装形態では、ツールと一体化された、ツールに連結された、ツールに他の方法でネットワーク化された、またはそれらを組合せたコンピュータの一部であってよい、またはそのコンピュータに結合されてよい。たとえば、コントローラは、「クラウド」の中にあってよい、またはFabのホスト・コンピュータ・システムのすべて、もしくは一部であってよく、これにより、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製作動作の現在の進展を監視し、過去の製作動作の履歴を調べ、複数の製作動作から傾向または性能指標を調べるためにシステムへの遠隔アクセスを可能にして、現在の処理のパラメータを変更して、現在の処理に続く処理ステップを設定してよい、または新しい処理を開始してよい。いくつかの例では、遠隔コンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを介してシステムに処理レシピを提供することができる。遠隔コンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインタフェースを含んでよく、パラメータおよび/または設定は、次いで遠隔コンピュータからシステムに伝達される。いくつかの例では、コントローラは、1つまたは複数の動作の間に遂行すべき処理ステップごとにパラメータを指定する、データの形をとる命令を受け取る。パラメータは、遂行すべき処理のタイプ、およびコントローラがインタフェースをとる、または制御するように構成されたツールのタイプに特有であってよいことを理解されたい。したがって、上記で記述したように、コントローラは、本明細書で記述する処理および制御などの共通の目的に向かって作動する、一緒にネットワーク化された1つまたは複数の別個のコントローラを備えることによるなど、分散させられてよい。そのような目的のための分散コントローラのある例は、チャンバ上の処理を制御するために組み合わせる、(プラットフォームレベルで、または遠隔コンピュータの一部としてなど)遠隔に位置する1つまたは複数の集積回路と通信状態にある、チャンバ上の1つまたは複数の集積回路である。 In some implementations, the controller may be part of a computer that is integrated with the tool, attached to the tool, networked to the tool in other ways, or a combination thereof. May be combined with a computer. For example, the controller may be in the "cloud" or may be all or part of Fab's host computer system, which may allow remote access to wafer processing. The computer monitors the current progress of the production operation, examines the history of past production operations, allows remote access to the system to determine trends or performance indicators from multiple production operations, and parameters of the current process. May be modified to set a processing step that follows the current processing, or to start a new processing. In some examples, a remote computer (eg, a server) can provide processing recipes to the system over a local network or a network that may include the Internet. The remote computer may include a user interface that allows input or programming of parameters and / or settings, which are then transmitted from the remote computer to the system. In some examples, the controller receives instructions in the form of data that specify parameters for each processing step to be performed during one or more operations. It should be understood that the parameters may be specific to the type of processing to be performed and the type of tool the controller is configured to interface with or control. Accordingly, as described above, the controller is by comprising one or more separate controllers networked together to operate towards a common purpose such as the processing and control described herein. Etc. may be dispersed. One example of a distributed controller for such purposes is one or more remotely located integrated circuits (such as at the platform level or as part of a remote computer) that are combined to control processing on the chamber. One or more integrated circuits on the chamber that are in communication with.

限定することなく、ツールの例は、プラズマ・エッチング・チャンバもしくはモジュール、堆積チャンバもしくはモジュール、スピン・リンス・チャンバもしくはモジュール、金属メッキチャンバもしくはモジュール、洗浄チャンバもしくはモジュール、ベベル縁部エッチングチャンバもしくはモジュール、物理蒸着法(physical vapor deposition、PVD)チャンバもしくはモジュール、化学蒸着(chemical vapor deposition、CVD)チャンバもしくはモジュール、原子層堆積(ALD)チャンバもしくはモジュール、原子層エッチング(ALE)チャンバもしくはモジュール、イオン注入チャンバもしくはモジュール、またはトラックチャンバもしくはモジュールを含んでよい。ツールは、多数のチャンバまたはモジュールを有してよい。 Examples of tools include, without limitation, plasma etching chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etching chambers or modules, Physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etching (ALE) chamber or module, ion injection chamber Alternatively, it may include a module, or a track chamber or module. The tool may have multiple chambers or modules.

上記で指摘したように、ツールが遂行すべき1つまたは複数の処理ステップに応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツールインタフェース、近接するツール、隣接するツール、工場全体に位置するツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツールの場所および/またはロードポートとの間でウエハの容器を運ぶ材料搬送で使用するツールのうち1つまたは複数と通信してよい。 As pointed out above, depending on one or more processing steps the tool should perform, the controller may be another tool circuit or module, other tool components, cluster tools, other tool interfaces, adjacent tools. Of the tools used to transport wafer containers to and from adjacent tools, factory-wide tools, main computers, other controllers, or tool locations and / or load ports in semiconductor manufacturing plants. You may communicate with one or more.

いくつかの実施形態では、ツールのさまざまな巻上様態は電力を供給されてよく、コントローラは、直線誘導システムに対するキャリッジの動きおよび/またはキャリッジに対する1つまたは複数のアームリンクの動きを制御する駆動システムを制御するように構成されてよい。図12は、図6Eの半導体処理ツールの例の上面図を描くが、追加の特徴を共に示す。例えば、ツール1200は、第1の軸124に沿って第1のキャリッジ122を並進させるように構成されたキャリッジ並進システム1288を含む第1の直線誘導システム120を有してよい。キャリッジ並進システム1288は、第1の直線誘導システム120に沿って第1のキャリッジ122を押し出すことができる(モータなどの)移動機構を含んでよい。たとえば、第1のキャリッジ122は、1つまたは複数の車輪に動力を供給して、第1の直線誘導システム120に沿って第1のキャリッジ122を動かす1つまたは複数のモータを有してよい。図6Aなどに描く別の実例では、第1の直線誘導システム120は、直線ボールねじ189がモータ191により作動させられたときに第1の直線誘導システム120に沿って第1のキャリッジ122を動かすように第1のキャリッジ122に接続された直線ボールねじ189を回すように構成されたモータ191を伴う直線ボールねじアクチュエータを有してよい。 In some embodiments, the various hoisting modes of the tool may be powered and the controller is driven to control the movement of the carriage with respect to the linear guidance system and / or the movement of one or more arm links with respect to the carriage. It may be configured to control the system. FIG. 12 draws a top view of the example semiconductor processing tool of FIG. 6E, but also shows additional features. For example, the tool 1200 may have a first linear guidance system 120 including a carriage translation system 1288 configured to translate the first carriage 122 along the first axis 124. The carriage translation system 1288 may include a moving mechanism (such as a motor) capable of pushing out the first carriage 122 along the first linear guidance system 120. For example, the first carriage 122 may have one or more motors that power one or more wheels to move the first carriage 122 along the first linear guidance system 120. .. In another embodiment depicted in FIG. 6A and the like, the first linear guidance system 120 moves the first carriage 122 along the first linear guidance system 120 when the linear ball screw 189 is actuated by the motor 191. There may be a linear ball screw actuator with a motor 191 configured to turn the linear ball screw 189 connected to the first carriage 122.

ツール1200は、いくつかの実装形態では、上記で記述したように垂直軸に垂直な平面内で第1の巻上アーム130を動かすように構成された、ボックス1290により表される巻上アーム移動システムをさらに含む第1のキャリッジを有してよい。この構成は、垂直軸に平行な方向を中心にして第1の巻上アームの1つまたは複数の枢動点を回転するように駆動する能力を含んでよい。例えば、第1のキャリッジ122は、垂直軸134を中心にして第1のリンク132を矢印136の方向に回転させることができる、枢動区画133で第1のリンク132に接続されたモータを含んでよい。第1のキャリッジ122はまた、図6C~図6Eの169で上記に例示し、図4Aで見えるように、軸160を中心にして巻上特徴係合インタフェース152を回転させることができる、巻上特徴係合インタフェース152に直接に、または間接的に接続された別のモータを含んでよい。この別のモータ、空気システム、または油圧システムは、第1の巻上アーム上に配置されてよい、または第1のキャリッジ122内部に配置されて、滑車、ベルト、駆動チェーン、駆動ねじ、ギア、連動機構などを通して巻上特徴係合インタフェース152に接続されてよい。いくつかの実装形態では、巻上アーム移動システムはまた、巻上特徴係合インタフェース152を垂直軸に垂直な1つまたは複数の軸を中心にして、たとえば上記で記述するように第1の軸に平行な軸などを中心にして回転させることができてよい。ツール1200はまた、第1の巻上アーム130を垂直軸134に沿って並進させるように構成された、上記で記述する垂直並進システム162を含む。 The tool 1200, in some implementations, is configured to move the first hoisting arm 130 in a plane perpendicular to the vertical axis as described above, represented by the hoisting arm movement represented by the box 1290. It may have a first carriage that further includes a system. This configuration may include the ability to drive one or more pivot points of the first hoisting arm to rotate about a direction parallel to the vertical axis. For example, the first carriage 122 includes a motor connected to the first link 132 in a pivot compartment 133 capable of rotating the first link 132 around the vertical axis 134 in the direction of arrow 136. It's fine. The first carriage 122 is also exemplified above in 169 of FIGS. 6C-6E, and as can be seen in FIG. 4A, the hoisting feature engaging interface 152 can be rotated about the axis 160, hoisting. Features Another motor connected directly or indirectly to the engagement interface 152 may be included. This other motor, air system, or hydraulic system may be located on the first hoisting arm or inside the first carriage 122, including pulleys, belts, drive chains, drive screws, gears. It may be connected to the hoisting feature engagement interface 152 through an interlocking mechanism or the like. In some implementations, the hoisting arm moving system also has the hoisting feature engagement interface 152 centered on one or more axes perpendicular to the vertical axis, eg, a first axis as described above. It may be possible to rotate around an axis parallel to the interface. The tool 1200 also includes the vertical translation system 162 described above, configured to translate the first hoisting arm 130 along a vertical axis 134.

ツール1200はまた、キャリッジ並進システムおよび巻上アーム移動機構を制御するために採用された、たとえば上記で記述するコントローラに類似するコントローラ1292を含む。コントローラ1292は、1つまたは複数の非一時的記憶素子1294、および1つまたは複数のプロセッサ1296を含んでよい。プロセッサ1296は、1つもしくは複数のCPU、ASIC、1つもしくは複数の汎用コンピュータおよび/または1つもしくは複数の専用コンピュータ、1つもしくは複数のアナログおよび/またはデジタル入力/出力接続、1つもしくは複数のステップ・モータ・コントローラ・ボードなどを含んでよい。 The tool 1200 also includes a controller 1292, which is similar to, for example, the controller described above, employed to control the carriage translation system and hoisting arm movement mechanism. Controller 1292 may include one or more non-temporary storage elements 1294 and one or more processors 1296. Processor 1296 is one or more CPUs, ASICs, one or more general purpose computers and / or one or more dedicated computers, one or more analog and / or digital input / output connections, one or more. It may include a step motor controller board and the like.

コントローラ1292は、キャリッジ並進システム1288、巻上アーム移動システム1290、および垂直並進システム162に通信可能に接続されてよい。図13は、半導体処理ツール1200の例の一部の構成図を描いており、理解することができるように、コントローラ1292は、第1の複数の半導体処理チャンバ102の中の各半導体処理チャンバ104、キャリッジ並進システム1288、巻上アーム移動システム1290、および垂直並進システム162に通信可能に接続される。コントローラ1292はまた、1つまたは複数のプロセッサ1296を制御して、第1の巻上アーム130を含む第1のキャリッジ122を動かすための命令を非一時的メモリ1294に記憶する。この命令は、キャリッジ並進システム1288に、第2の軸124に沿って第1のキャリッジ122を動かすようにさせること、垂直並進システム162に、上記で記述するように第1のリンク132および巻上特徴係合インタフェース152を含み、第1の巻上アーム130を垂直方向に動かすようにさせること、ならびに巻上アーム移動システム1290に、上記で記述するように垂直軸134に垂直な平面内部で第1の巻上アーム130を動かすようにさせることを含む。 The controller 1292 may be communicably connected to the carriage translation system 1288, the hoisting arm movement system 1290, and the vertical translation system 162. FIG. 13 depicts a block diagram of a portion of the example of the semiconductor processing tool 1200, and as can be understood, the controller 1292 is a semiconductor processing chamber 104 in the first plurality of semiconductor processing chambers 102. , Carrying translation system 1288, hoisting arm movement system 1290, and vertical translation system 162 communicably connected. The controller 1292 also controls one or more processors 1296 to store instructions in the non-temporary memory 1294 for moving the first carriage 122 including the first hoisting arm 130. This command causes the carriage translation system 1288 to move the first carriage 122 along the second axis 124, and causes the vertical translation system 162 to move the first link 132 and hoist as described above. Features The engagement interface 152 is included to allow the first hoisting arm 130 to move vertically, as well as to the hoisting arm movement system 1290 in a plane perpendicular to the vertical axis 134 as described above. It includes moving the hoisting arm 130 of 1.

いくつかの実装形態では、コントローラ1292はまた、1つまたは複数のプロセッサ1296を制御して、半導体処理チャンバ104の中の1つの取外し可能な構成要素の巻上特徴と巻上特徴係合インタフェース152を係合させる命令を非一時的メモリ1294に記憶する。これらの命令は、第2の軸124に沿った直線並進、第1の巻上アーム130の垂直の動き、および第1の巻上アーム130の水平の動き、すなわち垂直軸に垂直な平面内部での動きなどの、第1のキャリッジ122のさまざま動きを生じさせる。たとえば、戻って図4Aおよび図4Bを参照すると、命令は、穴144Aおよび144Bを含む巻上特徴係合インタフェース152が、巻上特徴の第2の機械的インタフェース特徴151Aおよび151Bの、すなわち第2の構造物142Aおよび142Bの下方になるように、垂直並進システム162に、第1の巻上アーム130を垂直軸134に平行な方向に動かすようにさせてよい。命令はまた、それぞれ穴144Aおよび144Bを用いて第2の機械的インタフェース特徴151Aおよび151Bを整列させるように、巻上特徴係合インタフェース152を含んでよい第1の巻上アーム130を垂直軸に垂直な平面内部で回転するように、直線状に、またはいずれにも動かしてよい。これらの特徴が整列すると(これは、たとえばそのような整列を検出するように構成されたさまざまなセンサの出力に基づき決定されてよい)、命令は、第2の機械的インタフェース特徴151Aおよび151Bがそれぞれ穴144Aおよび144Bの中に挿入されるように、およびいくつかの実施形態では、サドルプレート150Aおよび150Bが手作業によるユーザ入力により巻上特徴係合インタフェース152に接触しているように、垂直並進システム162が第1の巻上アーム130を上方に、垂直軸134に平行な方向に動かすようにさせてよい、または動かすことができるようにしてよい。 In some embodiments, the controller 1292 also controls one or more processors 1296 to handle the hoisting and hoisting feature engagement interface 152 of one removable component in the semiconductor processing chamber 104. The instruction to engage with is stored in the non-temporary memory 1294. These commands are linear translations along the second axis 124, vertical movement of the first hoisting arm 130, and horizontal movement of the first hoisting arm 130, i.e., within a plane perpendicular to the vertical axis. Causes various movements of the first carriage 122, such as movements of the first carriage 122. For example, referring back to FIGS. 4A and 4B, the instruction is that the hoisting feature engaging interface 152 including holes 144A and 144B is the second mechanical interface feature 151A and 151B of the hoisting feature, i.e. the second. The vertical translation system 162 may be made to move the first hoisting arm 130 in a direction parallel to the vertical axis 134 so as to be below the structures 142A and 142B of the above. The instruction also aligns the first hoisting arm 130, which may include the hoisting feature engaging interface 152, with the vertical axis so that the second mechanical interface features 151A and 151B are aligned using the holes 144A and 144B, respectively. It may be moved linearly or either so that it rotates within a vertical plane. When these features are aligned (which may be determined, for example, based on the output of various sensors configured to detect such alignment), the instructions are given by the second mechanical interface features 151A and 151B. Vertical so that they are inserted into holes 144A and 144B, respectively, and in some embodiments the saddle plates 150A and 150B are in contact with the hoisting feature engagement interface 152 by manual user input. The translational system 162 may or may not allow the first hoisting arm 130 to move upward, in a direction parallel to the vertical axis 134.

取外し可能な構成要素の巻上特徴および第1の巻上アームの巻上特徴係合インタフェースが互いに係合すると、命令は、1つまたは複数のプロセッサを制御して、取外し可能な構成要素を垂直軸に平行な方向に持ち上げさせてよく、および/または下げさせてよく、同様に、命令は、上記で記述するように、巻上アーム移動システム、キャリッジ並進システム、または両方に、第1の巻上アームを動かすようにさせることにより、取外し可能な構成要素を垂直軸に垂直な平面内部で動かしてよい。たとえば、コントローラ1292は、図6A~図6Eに関して記述する動きのシーケンスを生じさせる命令を含んでよい。 Removable component hoisting feature and first hoisting arm hoisting feature When the engagement interfaces engage with each other, the instruction controls one or more processors to make the removable component vertical. It may be lifted and / or lowered in a direction parallel to the axis, and similarly, the instructions may be on the hoisting arm moving system, the carriage translation system, or both, as described above, in the first winding. The removable component may be moved within a plane perpendicular to the vertical axis by allowing the upper arm to move. For example, controller 1292 may include instructions that give rise to the sequence of motion described for FIGS. 6A-6E.

いくつかの実装形態では、命令は、第1のキャリッジが静止したままでいる間、第1の巻上アーム移動システムだけに第1の巻上アームを動かすようにさせてよい。いくつかの実施形態では、図6A~図FFEに関して、たとえば取外し可能な構成要素がキャリッジの並進軸に垂直な概して直線状の軸に沿って移動するような、キャリッジの同時並進に連結した1つまたは複数の巻上アームリンクの回転に関して上記で記述するように、命令は、巻上アーム移動システムとキャリッジ並進システムの両方に第1の巻上アームを動かすようにさせてよい。他の実例では、命令は、巻上アーム移動システムが第1の巻上アームを動かしていない間、キャリッジ並進システムだけに第1の巻上アームを動かすようにさせてよい。例示的な例では、図12を参照すると、巻上特徴係合インタフェース152は、取外し可能な上部カバー1218の巻上特徴と係合し、キャリッジ並進システム1288は、第1のキャリッジ122を、したがって取外し可能な上部カバー1218を第2の軸124に沿って並進させてよい。この動きの間、巻上アーム移動機構1290および第1の垂直並進システム162は、第1の巻上アーム130を動かしていなくてよい。 In some implementations, the instruction may cause only the first hoisting arm movement system to move the first hoisting arm while the first carriage remains stationary. In some embodiments, with respect to FIGS. 6A-6FE, one coupled to the simultaneous translation of the carriage, eg, the removable component moves along a generally linear axis perpendicular to the translation axis of the carriage. Alternatively, as described above for the rotation of multiple hoisting arm links, the command may cause both the hoisting arm movement system and the carriage translation system to move the first hoisting arm. In another embodiment, the instruction may cause only the carriage translation system to move the first hoist arm while the hoist arm movement system is not moving the first hoist arm. In an exemplary example, with reference to FIG. 12, the hoisting feature engagement interface 152 engages the hoisting feature of the removable top cover 1218, and the carriage translation system 1288 attaches the first carriage 122 and thus. The removable top cover 1218 may be translated along the second axis 124. During this movement, the hoisting arm moving mechanism 1290 and the first vertical translation system 162 do not have to move the first hoisting arm 130.

命令はまた、たとえば、取外し可能な構成要素がその最初の場所に戻され、再度設置された後、取外し可能な構成要素の巻上特徴と第1の巻上アームの巻上特徴係合インタフェースを切り離させる。図4Aおよび図4Bでは、この切り離しは、穴144Aおよび144Bから第2の機械的インタフェース特徴151Aおよび151Bが取り除かれるように、第1の巻上アーム130を下げることを含んでよい。 The instruction also provides, for example, a removable component hoisting feature and a first hoisting arm hoisting feature engagement interface after the removable component has been returned to its original location and re-installed. Separate. In FIGS. 4A and 4B, this disconnection may include lowering the first hoisting arm 130 so that the second mechanical interface features 151A and 151B are removed from the holes 144A and 144B.

いくつかの実施形態では、ツールはまた、第1の直線誘導システムに沿った第1のキャリッジの位置に関する情報を生成するように構成された第1のキャリッジ位置センサを含んでよい。戻って図13を参照すると、第1のキャリッジ位置センサ1298は、第1のキャリッジ122の上に位置決めされて見え、第1のキャリッジ位置センサ1298が生成したデータをコントローラ1292が受信することができるように、有線接続または無線接続を通してコントローラ1292に通信可能に接続される。コントローラ1292はまた、このデータから、半導体処理チャンバ104の中のどれが第1のキャリッジ122に最も近いか、およびどれが第1のキャリッジ122のすぐ近接にあるか、または隣にあるかに関するデータを含む、第1の直線誘導システム120に沿った第1のキャリッジ122の位置を解釈および決定することができる。たとえば、図13では、第1のキャリッジ位置センサ1298は、第1のキャリッジ122が、半導体処理チャンバ104Aに最も近く、半導体処理チャンバ104Bに近接していることを示すデータを生成してよい。キャリッジの位置は、巻上アームがどの半導体処理チャンバにアクセスすることができるかに関する判断を可能にしてよい。図6Aでの実例では、キャリッジ位置センサ1298は、所与の第1のキャリッジ122が半導体処理チャンバ104Aと104Bの両方の隣にあり、第1の巻上アームがこれらの処理チャンバの両方の取外し可能な構成要素にアクセスすることができてよいことを示してよい。 In some embodiments, the tool may also include a first carriage position sensor configured to generate information about the position of the first carriage along the first linear guidance system. Going back and referring to FIG. 13, the first carriage position sensor 1298 appears to be positioned on top of the first carriage 122 and the controller 1292 can receive the data generated by the first carriage position sensor 1298. As such, it is communicably connected to the controller 1292 through a wired or wireless connection. From this data, controller 1292 also provides data on which of the semiconductor processing chambers 104 is closest to the first carriage 122 and which is in close proximity to or next to the first carriage 122. Can interpret and determine the position of the first carriage 122 along the first linear guidance system 120, including. For example, in FIG. 13, the first carriage position sensor 1298 may generate data indicating that the first carriage 122 is closest to the semiconductor processing chamber 104A and closest to the semiconductor processing chamber 104B. The position of the carriage may allow a determination as to which semiconductor processing chamber the hoisting arm can access. In the embodiment in FIG. 6A, the carriage position sensor 1298 has a given first carriage 122 next to both semiconductor processing chambers 104A and 104B, with a first hoisting arm removing both of these processing chambers. It may indicate that the possible components may be accessible.

いくつかの実装形態では、ツールはまた、半導体処理チャンバに対する第1の巻上アームの位置に関するデータを生成するように構成された第1のアーム位置センサを含んでよい。図13では、第1のアーム位置センサ12100は、第1の巻上アーム130上に位置決めされ、第1のアーム位置センサ12100が生成したデータをコントローラ1292が受信することができるように、有線接続または無線接続を通してコントローラ1292に通信可能に接続される。コントローラ1292はまた、第1のキャリッジ122の位置を前提として第1の巻上アーム130がどの巻上特徴と係合することができるか、どの1つまたは複数の処理チャンバ104が第1の巻上アーム130のすぐ近接に、または隣にあるか、各半導体処理チャンバ104に対する第1の巻上アーム130の枢動区画(すなわち、肩)の位置、各半導体処理チャンバ104上の各取外し可能な構成要素の巻上特徴に対する第1の巻上アーム130の位置決め、および垂直軸に沿った第1の巻上アーム130の位置(たとえば、取外し可能な構成要素の巻上特徴に対する第1の巻上アームの垂直位置および巻上特徴係合インタフェースの垂直位置を決定する)を含む、各半導体処理チャンバ104に対する第1の巻上アーム130の位置をキャリッジ位置データおよび/またはアーム位置データから解釈および決定することができる。データはまた、ツールのさまざまな特徴から第1の巻上アーム130の様態までの距離を含んでよい(これは、たとえば隣接センサを使用することによって行われてよい)。いくつかの実施形態では、ツールはまた、垂直軸を中心にして回転した巻上アームの位置に関するデータを生成するように構成されたアーム位置センサを含んでよい。コントローラは、このデータから、たとえば垂直に並進しているときに既知の傷害物を避けるようにアームが適切に位置決めされるか、その巻上特徴が係合するかどうかを判断してよい。コントローラはまた、垂直並進システムが、アームを垂直に一定の高さの上方または下方に並進させる、または並進させない命令を含んでよい。 In some implementations, the tool may also include a first arm position sensor configured to generate data regarding the position of the first hoisting arm with respect to the semiconductor processing chamber. In FIG. 13, the first arm position sensor 12100 is positioned on the first hoisting arm 130 and is wired so that the controller 1292 can receive the data generated by the first arm position sensor 12100. Alternatively, it is communicably connected to the controller 1292 through a wireless connection. The controller 1292 also assumes which hoisting feature the first hoisting arm 130 can engage with, given the position of the first carriage 122, which one or more processing chambers 104 are the first hoisting. Close to or next to the upper arm 130, or the location of the pivot compartment (ie, shoulder) of the first hoisting arm 130 with respect to each semiconductor processing chamber 104, each removable on each semiconductor processing chamber 104. Positioning of the first hoisting arm 130 with respect to the hoisting feature of the component, and position of the first hoisting arm 130 along the vertical axis (eg, first hoisting with respect to the hoisting feature of the removable component). Vertical Position of Arms and Hoisting Features The position of the first hoisting arm 130 with respect to each semiconductor processing chamber 104, including determining the vertical position of the engagement interface), is interpreted and determined from the carriage position data and / or the arm position data. can do. The data may also include the distance from various features of the tool to the mode of the first hoisting arm 130 (this may be done, for example, by using adjacent sensors). In some embodiments, the tool may also include an arm position sensor configured to generate data about the position of the hoisting arm rotated about a vertical axis. From this data, the controller may determine, for example, whether the arm is properly positioned to avoid known injuries when translating vertically or whether its hoisting features engage. The controller may also include instructions that the vertical translation system translates the arm vertically above or below a certain height, or does not translate.

例えば、第1のアーム位置センサ12100は、半導体処理チャンバの巻上特徴に対する第1の巻上アームの巻上特徴係合インタフェースの水平位置および垂直位置を含む、第1の巻上アームの位置を示すデータを生成してよく、この位置データを使用して、第1の巻上アーム130がどの巻上特徴と係合することができるかを判断することができる。例えば、図6Aでは、第1のアーム位置センサ12100は、巻上特徴係合インタフェースが半導体処理チャンバ104Aの巻上特徴と係合することができることを判断するためにコントローラが使用することができるデータを生成してよい。上記で指摘したように、コントローラは、垂直軸に沿った第1のアーム130の位置を決定して、取外し可能な構成要素の巻上特徴に対する第1のアーム130の位置決めをさらに決定してよい。いくつかの実施形態では、ツールは、1つまたは複数の処理チャンバに対する第1の巻上アームの位置に関する情報をすべて生成する2つ以上のアーム位置センサを有してよい。 For example, the first arm position sensor 12100 determines the position of the first hoisting arm, including the horizontal and vertical positions of the hoisting feature engagement interface of the first hoisting arm with respect to the hoisting feature of the semiconductor processing chamber. The indicated data may be generated and this position data can be used to determine which hoisting feature the first hoisting arm 130 can engage with. For example, in FIG. 6A, the first arm position sensor 12100 can be used by the controller to determine that the hoisting feature engagement interface can engage the hoisting feature of the semiconductor processing chamber 104A. May be generated. As pointed out above, the controller may determine the position of the first arm 130 along the vertical axis to further determine the positioning of the first arm 130 with respect to the hoisting features of the removable component. .. In some embodiments, the tool may have two or more arm position sensors that generate all the information about the position of the first hoisting arm with respect to one or more processing chambers.

いくつかの実施形態では、ツールはまた、巻上特徴係合インタフェースが半導体処理チャンバの中のいずれかの巻上特徴と係合したかどうかに関するデータを生成するように構成された係合センサを含んでよい。図13では、係合センサ12102は、第1の巻上アーム130上に位置決めされ、係合センサ12102が生成したデータをコントローラ1292が受信することができるように、有線接続または無線接続を通してコントローラ1292に通信可能に接続される。コントローラ1292はまた、このデータから、巻上特徴係合インタフェースが巻上特徴の中のいずれかと係合したかどうかを解釈および判断することができる。たとえば、係合センサ12102は、巻上特徴係合インタフェースが巻上特徴と係合したとき、これらの導電性表面の間に電気的連続性が生み出されるように巻上特徴上の別の導電性表面に電気的に接触するように構成された導電性表面であってよい。戻って図4Aおよび図4Bを参照すると、例えば、第1の導電性表面は、巻上特徴係合インタフェース152が巻上特徴142Aおよび142Bと係合したとき、サドルプレート150Aおよび150Bの下側の上で第2の導電性表面に接触することができる第1の構造物138の上面の上に位置決めされてよい。コントローラ1292は、これら2つの表面の間に電気的共同体が存在するかどうかを検出して、巻上特徴係合インタフェースと巻上特徴の間の係合を判断してよい。係合センサは、近接センサ、接点スイッチ、視覚センサなどのような他のタイプのセンサであってよい。いくつかの実装形態では、コントローラ1292は、垂直並進システムが第1のアームを垂直に並進させるのを防止する、または巻上特徴係合インタフェースが巻上特徴のいずれとも係合していないときに一定の高さより上に並進させない(その結果、巻上特徴と巻上特徴係合インタフェースの係合を生じさせるのに十分な垂直並進を可能にするが、取外し可能な構成要素上に持ち上げ荷重を実際に加えるように作用するそれ以上の並進を防止する)命令を含んでよい。 In some embodiments, the tool also has an engagement sensor configured to generate data as to whether the hoisting feature engagement interface has engaged any hoisting feature in the semiconductor processing chamber. May include. In FIG. 13, the engagement sensor 12102 is positioned on the first hoisting arm 130 and the controller 1292 is connected through a wired or wireless connection so that the data generated by the engagement sensor 12102 can be received by the controller 1292. Connected to communicable. From this data, controller 1292 can also interpret and determine whether the hoisting feature engagement interface has engaged any of the hoisting features. For example, the engagement sensor 12102 has another conductivity on the hoisting feature such that when the hoisting feature engaging interface engages the hoisting feature, electrical continuity is created between these conductive surfaces. It may be a conductive surface configured to be in electrical contact with the surface. Looking back at FIGS. 4A and 4B, for example, the first conductive surface is the underside of the saddle plates 150A and 150B when the hoisting feature engaging interface 152 engages with the hoisting features 142A and 142B. It may be positioned above the top surface of the first structure 138 which can contact the second conductive surface. Controller 1292 may detect the presence of an electrical community between these two surfaces to determine the engagement between the hoisting feature engagement interface and the hoisting feature. The engagement sensor may be another type of sensor such as a proximity sensor, a contact switch, a visual sensor, and the like. In some embodiments, the controller 1292 prevents the vertical translation system from translating the first arm vertically, or when the hoisting feature engagement interface is not engaged with any of the hoisting features. Do not translate above a certain height (resulting in sufficient vertical translation to cause engagement between the hoisting feature and hoisting feature engagement interface, but with a lifting load on the removable component. It may include instructions (to prevent further translations that act to actually add).

いくつかの実施形態では、ツールはまた、巻上特徴係合インタフェースが、半導体処理チャンバの中のいずれかの巻上特徴と整列したかどうかに関するデータを生成するように構成された整列センサを含んでよい。いくつかの実例では、このデータを使用して、第1の巻上アーム130の垂直の動きが巻上特徴と巻上特徴係合インタフェースの間の係合を生じさせるかどうかを判断してよい。整列センサは、第1の巻上アーム130、取外し可能な構成要素、または両方の上に位置決めされて、整列センサが生成したデータをコントローラ1292が受信することができるように、有線接続または無線接続を通してコントローラ1292に通信可能に接続されてよい。したがって、コントローラ1292は、このデータから、第1の巻上アームの垂直の動きがこれらの品目を係合させるように巻上特徴係合インタフェースが任意の取外し可能な構成要素の巻上特徴と適切に係合したかどうかを解釈および判断することができる。たとえば、戻って図4Aおよび図4Bを参照すると、整列センサは、第1の巻上アーム130の上方の動きが第2の機械的インタフェース特徴151Aおよび151Bを穴144Aおよび144Bの中に挿入させるように穴144Aおよび144Bが第2の機械的インタフェース特徴151Aおよび151Bの真下にあり、第2の機械的インタフェース特徴151Aおよび151Bと整列するかどうかをコントローラ1292が判断することができるようにデータを生成することができてよい。そのような整列センサは、例えば、視覚センサ、磁気センサ、または近接センサであってよい。 In some embodiments, the tool also includes an alignment sensor configured to generate data as to whether the hoisting feature engagement interface is aligned with any hoisting feature in the semiconductor processing chamber. It's fine. In some embodiments, this data may be used to determine if the vertical movement of the first hoisting arm 130 results in an engagement between the hoisting feature and the hoisting feature engagement interface. .. The alignment sensor is positioned on the first hoisting arm 130, removable components, or both so that the controller 1292 can receive the data generated by the alignment sensor in a wired or wireless connection. It may be communicably connected to the controller 1292 through. Therefore, from this data, the controller 1292 is suitable with the hoisting features of any removable component such that the vertical movement of the first hoisting arm engages these items. Can be interpreted and determined whether or not they are engaged in. For example, referring back to FIGS. 4A and 4B, the alignment sensor causes the upward movement of the first hoisting arm 130 to insert the second mechanical interface features 151A and 151B into the holes 144A and 144B. Generates data so that the controller 1292 can determine whether holes 144A and 144B are directly below the second mechanical interface features 151A and 151B and are aligned with the second mechanical interface features 151A and 151B. You may be able to. Such alignment sensors may be, for example, visual sensors, magnetic sensors, or proximity sensors.

コントローラは、上記で記述するように、上記で参照したセンサのいずれかからのデータを利用して、第1のキャリッジおよび取外し可能な構成要素の動きを実現させてよい。たとえば、図13を参照すると、コントローラ1292は、最初に第1のキャリッジ122の位置決めおよび整列を判断してよく、図13に示すように、これらの判断は、第1のキャリッジ122が半導体処理チャンバ104Bに最も近く位置決めされたこと、第1の巻上アーム130が半導体処理チャンバ104Cに最も近く位置決めされたこと、ならびに巻上特徴係合インタフェースがどの巻上特徴とも係合していないことである。半導体処理チャンバ104Aの取外し可能な構成要素を動かすことが望ましい場合、コントローラ1292は、これらの判断およびデータを使用して、第1の巻上アーム130がこの半導体処理チャンバ104Aの巻上特徴と係合することができるように第1のキャリッジ122および/または第1の巻上アーム130を動かしてよい。この動きは、半導体処理チャンバ104Aのより近くに第1のキャリッジ122を動かすようにキャリッジ並進システム1288に命令すること、ならびに第1の巻上アーム130が、図13に描くよりも半導体処理チャンバ104Aに近くなるように第1の巻上アーム130を、たとえば時計回りの方向にほぼ180°だけ回転させることを含んでよい。 The controller may utilize data from any of the sensors referenced above to implement movement of the first carriage and removable components, as described above. For example, with reference to FIG. 13, controller 1292 may first determine the positioning and alignment of the first carriage 122, and as shown in FIG. 13, these determinations are such that the first carriage 122 is the semiconductor processing chamber. Positioned closest to 104B, the first hoisting arm 130 was positioned closest to the semiconductor processing chamber 104C, and the hoisting feature engagement interface was not engaged with any hoisting feature. .. If it is desirable to move the removable components of the semiconductor processing chamber 104A, the controller 1292 uses these judgments and data to allow the first hoisting arm 130 to engage with the hoisting features of the semiconductor processing chamber 104A. The first carriage 122 and / or the first hoisting arm 130 may be moved so that they can be fitted. This movement commands the carriage translation system 1288 to move the first carriage 122 closer to the semiconductor processing chamber 104A, as well as the first hoisting arm 130 to the semiconductor processing chamber 104A than depicted in FIG. It may include rotating the first hoisting arm 130 so that it is close to, for example, by approximately 180 ° in a clockwise direction.

いくつかの実施形態では、ツールは、さまざまな安全特徴を有してよい。例えば、コントローラは、各半導体処理チャンバの動作状態に関する情報を受信するように構成されてよい。この動作状態は、半導体処理チャンバが基板を能動的に処理しているかどうか、およびチャンバに電力が供給されていない、圧力が周囲圧力である、およびチャンバから揮発性化学物質が取り除かれたなど、半導体処理チャンバが、人がチャンバにアクセスするために安全な状態にあるかどうかであってよい。コントローラは、半導体処理チャンバの中の1つが人に安全な状態にあるという情報を受信する、またはそうであると判断する場合、キャリッジ並進システム、巻上アーム移動システム、および/または垂直並進システムがその半導体処理チャンバの取外し可能な構成要素を動作させ、動かすことを許可してよい。同様に、コントローラは、半導体処理チャンバの中の1つが人に安全な状態にないという情報を受信する、またはそうであると判断する場合、キャリッジ並進システム、巻上アーム移動システム、および/または垂直並進システムがその半導体処理チャンバの取外し可能な構成要素を動作させるように動作するのを防止してよい(そうはいっても、コントローラは、そのような活動にとって安全な条件にあるツール上で他のチャンバの取外し可能な構成要素の動きを可能にしてよい)。 In some embodiments, the tool may have various safety features. For example, the controller may be configured to receive information about the operating state of each semiconductor processing chamber. This operating condition includes whether the semiconductor processing chamber is actively processing the substrate, the chamber is unpowered, the pressure is ambient pressure, and volatile chemicals have been removed from the chamber. It may be whether the semiconductor processing chamber is in a safe condition for human access to the chamber. If the controller receives or determines that one of the semiconductor processing chambers is in a human-safe state, the carriage translation system, hoisting arm movement system, and / or vertical translation system The removable components of the semiconductor processing chamber may be allowed to operate and move. Similarly, if the controller receives or determines that one of the semiconductor processing chambers is not in a human-safe state, it receives a carriage translation system, a hoisting arm movement system, and / or vertical. The translational system may be prevented from operating to operate the removable components of its semiconductor processing chamber (although the controller may be on other tools in safe conditions for such activities. It may allow the movement of removable components of the chamber).

いくつかの実施形態では、各半導体処理チャンバは、第1のキャリッジの電気ケーブルと接続可能なように構成される、電気コンセントなどの電気的インタフェースを有してよく、そのような電気的インタフェースは、各半導体処理チャンバの取外し可能な部分の上に配置されてよい。この電気ケーブルは、第1のキャリッジ上で電源と、またはツールのシステム電源分配ボックス(system power distribution box、SPDB)などのツール上の別の電源と電気的に接続されてよい。電力は、SPDBから直線ガイドに沿って第1のキャリッジへ経路設定され、巻上機構に終端され、さらにまた電気ケーブルにより第1の巻上アームに沿って経路設定されてよい。電気ケーブルは、取外し可能な構成要素の中のいずれかの電気的インタフェースと接続するように構成されたコネクタにより終端されてよく、電力が供給されコネクタが接続された場合、そのような構成要素に電力を供給するように構成されてよい。取外し可能な構成要素に電力を供給することにより、取り除かれた取外し可能な構成要素に対して、取外し可能な上部カバー上に配置されたモータを較正中に駆動すること、および取外し可能な上部カバー上に配置された設備(圧力計など)を電力が供給され暖かい状態に保って、点検回復時間を早めることなど、点検作業を遂行することができるようになる。 In some embodiments, each semiconductor processing chamber may have an electrical interface, such as an electrical outlet, configured to be connectable to the electrical cable of the first carriage, such electrical interface. , May be placed on the removable portion of each semiconductor processing chamber. This electrical cable may be electrically connected to a power source on the first carriage or to another power source on the tool such as the system power distribution box (SPDB) of the tool. The power may be routed from the SPDB to the first carriage along a linear guide, terminated by a hoisting mechanism, and further routed along the first hoisting arm by an electrical cable. The electrical cable may be terminated by a connector configured to connect to any of the electrical interfaces in the removable component, and when powered and the connector connected, to such component. It may be configured to supply power. By powering the removable components, the motors located on the removable top cover are driven during calibration for the removed removable components, and the removable top cover. It will be possible to carry out inspection work such as shortening the inspection recovery time by keeping the equipment (pressure gauge, etc.) located above in a warm state by being supplied with electric power.

いくつかの実施形態では、電気的インタフェースは、安全保護装置の一部として使用されてよい。例えば、コントローラは、電気ケーブルと電気的インタフェースの間の電気的連続性を判断するための命令を含んでよい。この電気的連続性が存在すると判断した場合、コントローラは、第1のキャリッジ上で垂直並進機構または他の移動機構が動作するのを許可してよい。追加で、コントローラは、チャンバが大気状態にあるかどうか判断して、チャンバが大気状態にある場合、最上部プレートのような取外し可能な構成要素の動きを許可することができてよい。いくつかの実施形態では、コントローラにより検出することができる大気信号をチャンバにより生成し、電気的インタフェースおよび電気ケーブルを通して中継する。大気信号は、チャンバが大気状態にあることおよび大気状態にないことを示してよい。 In some embodiments, the electrical interface may be used as part of a safety protection device. For example, the controller may include instructions for determining electrical continuity between an electrical cable and an electrical interface. If this electrical continuity is determined to exist, the controller may allow the vertical translation mechanism or other moving mechanism to operate on the first carriage. Additionally, the controller may be able to determine if the chamber is in atmospheric condition and allow the movement of removable components such as the top plate if the chamber is in atmospheric condition. In some embodiments, the chamber produces an atmospheric signal that can be detected by the controller and relays it through an electrical interface and electrical cable. Atmospheric signals may indicate that the chamber is in and is not in atmospheric conditions.

いくつかの実施形態では、電気ケーブルは、第1の巻上アームに沿って経路設定されてよく、コネクタ、および第1の巻上アームの巻上特徴係合インタフェースがそれぞれ一度に半導体処理チャンバの中の1つだけの電気的インタフェースおよび巻上特徴とだけ同時に係合できるような長さからなってよい。たとえば戻って図5を参照すると、第1のキャリッジ122は、第1の巻上アーム130に沿って経路設定され、かつ取外し可能な上部カバー118の電気的インタフェース1108にコネクタ1106が接続可能であるような長さからなる電気ケーブル1104を含む。また、電気ケーブル1104の長さは、図4Aおよび図4Bに記述するように、コネクタ1106が同時にその半導体処理チャンバの電気的インタフェース1108および巻上特徴係合インタフェースと接続して、その取外し可能な上部カバー118の巻上特徴と係合できるようにするが、描かれた取外し可能な上部カバー118の巻上特徴と第1の巻上アームが係合している間、任意の他の取外し可能な上部カバーの類似する電気的インタフェースにコネクタ1106が接続されるのを防止する。たとえば図12を参照すると、電気ケーブル1104の長さは、半導体処理チャンバ104Aの取外し可能な上部カバー118の巻上特徴と巻上特徴係合インタフェースが係合したとき、半導体処理チャンバ104Bの取外し可能な上部カバー118の電気的インタフェース1108とコネクタ1106が同時に接続するのを防止する。 In some embodiments, the electrical cable may be routed along the first hoisting arm, the connector, and the hoisting feature engagement interface of the first hoisting arm, respectively, in the semiconductor processing chamber at once. It may consist of only one electrical interface and a length that can be engaged simultaneously with the hoisting feature. For example, going back and referring to FIG. 5, the first carriage 122 is routed along the first hoisting arm 130 and the connector 1106 can be connected to the electrical interface 1108 of the removable top cover 118. Includes an electrical cable 1104 of such length. Also, the length of the electrical cable 1104 is removable when the connector 1106 is simultaneously connected to the electrical interface 1108 and the hoisting feature engagement interface of its semiconductor processing chamber, as described in FIGS. 4A and 4B. Allows engagement with the hoisting feature of the top cover 118, but any other removable while the hoisting feature of the drawn removable top cover 118 and the first hoisting arm are engaged. Prevents the connector 1106 from being connected to a similar electrical interface on the top cover. For example, referring to FIG. 12, the length of the electrical cable 1104 is such that the semiconductor processing chamber 104B is removable when the hoisting feature and hoisting feature engagement interface of the removable top cover 118 of the semiconductor processing chamber 104A are engaged. It prevents the electrical interface 1108 and the connector 1106 of the upper cover 118 from being connected at the same time.

いくつかの他の実施形態では、電気的インタフェースは、キャリッジ上の構成要素に電力を提供してよい。電気的インタフェースは、各半導体処理チャンバの固定した場所の上に位置決めされてよい、または取外し可能な上部構成要素上に位置決めされてよい、電気ケーブルは、垂直並進システムのモータおよび(存在する場合には)巻上アーム移動システムのモータなどの、第1のキャリッジのモータの1つまたは複数と接続され、取外し可能な構成要素の中のいずれかの電気的インタフェースと接続されるように構成されたコネクタにより終端されてよく、電力が供給されコネクタが接続された場合、そのような構成要素に電力を供給するように構成されてよい。 In some other embodiments, the electrical interface may provide power to the components on the carriage. The electrical interface may be positioned on a fixed location in each semiconductor processing chamber, or on a removable top component, the electrical cable is the motor of the vertical translation system and (if present). Is configured to be connected to one or more of the motors of the first carriage, such as the motor of a hoisting arm transfer system, and to any electrical interface among the removable components. It may be terminated by a connector and may be configured to power such components when powered and connected.

いくつかの実装形態では、コントローラはまた、上記で言及したセンサのうち1つまたは複数から得られるデータに基づき半導体処理チャンバの電気的インタフェースに電力を供給するための命令を含んでよい。たとえばいくつかの実装形態では、コントローラは、第1のキャリッジの位置の決定に基づき、一度に第1の複数の半導体処理チャンバの中の1つの半導体処理チャンバの電気インタフェースだけに、その半導体処理チャンバの動作状態がコップカバーを除去することが安全な状態にあると判断されたときだけ、電力を供給させてよい。単一の複数の半導体処理チャンバのために多数のキャリッジ/巻上装置が含まれる場合、そのような機能を拡張して、特定の半導体処理チャンバの電気的インタフェースだけに、キャリッジ/巻上アームの1つが取外し可能な構成要素をその半導体処理チャンバから取り除くのに適した位置に配置されていると判断されたとき、さらにまたその半導体処理チャンバが動作上安全な状態にあると判断されたときだけ、電力を供給できるようにしてよい。実例として図13を参照すると、コントローラ1292は、第1のキャリッジ位置センサ1298から第1のキャリッジ122の位置に関するデータを受信し、このデータに基づき第1のキャリッジの位置を決定し、次いで、第1のアーム130の巻上特徴が半導体処理チャンバ104A1の取外し可能な構成要素だけに到達することができるので、半導体処理チャンバ104Bの電気的インタフェースだけに(そのチャンバが「安全な」状態にあると判断された後)電力を供給する。上記で指摘したように、この「安全な」状態は、チャンバに電力が供給されていないとき、チャンバ圧力が周囲圧力であり、取外し可能な構成要素を人が取り扱う温度が十分低いとき、どんな揮発性または有害な化学物質もチャンバから取り除かれたとき、ならびに取外し可能な構成要素がチャンバから掛け金を外された、またはボルトを外されたときなど、人がチャンバに安全にアクセスすることができるときであってよい。 In some implementations, the controller may also include instructions for powering the electrical interface of the semiconductor processing chamber based on data obtained from one or more of the sensors mentioned above. For example, in some embodiments, the controller is based on the determination of the position of the first carriage to only the electrical interface of one semiconductor processing chamber in the first plurality of semiconductor processing chambers at a time. Power may be supplied only when it is determined that it is safe to remove the cup cover. If multiple carriage / hoisting devices are included for a single multiple semiconductor processing chamber, such functionality may be extended to include only the electrical interface of a particular semiconductor processing chamber of the carriage / hoisting arm. Only when one is determined to be in a suitable position to remove the removable component from its semiconductor processing chamber, and also when it is determined that the semiconductor processing chamber is in an operational safe state. , May be able to supply power. Referring to FIG. 13, as an example, the controller 1292 receives data from the first carriage position sensor 1298 regarding the position of the first carriage 122, determines the position of the first carriage based on this data, and then determines the position of the first carriage. Since the hoisting feature of the arm 130 of 1 can reach only the removable components of the semiconductor processing chamber 104A1, only the electrical interface of the semiconductor processing chamber 104B (when the chamber is in a "safe" state). Supply power (after being determined). As pointed out above, this "safe" condition is any volatility when the chamber is unpowered, the chamber pressure is ambient pressure, and the temperature at which the removable component is handled by a person is low enough. When a person can safely access the chamber, such as when sexual or harmful chemicals are also removed from the chamber, and when removable components are unstrapped or unbolted from the chamber. May be.

同様に、コントローラ1292は、プロセッサ1296を制御して、第1の巻上アーム130および第1のキャリッジ122の位置の決定に基づき、第1の巻上アーム130の巻上特徴係合インタフェース152により係合可能な巻上特徴を有する、第1の複数の半導体処理チャンバの中の半導体処理チャンバの電気的インタフェース1108だけに電力を供給させる命令を含んでよい。この場合も、たとえば図13では、これらの決定は、半導体処理チャンバ104Aの取外し可能な構成要素の巻上特徴が第1の巻上アーム130の巻上特徴係合インタフェースにより係合可能であることを示してよく、この理由で、コントローラ1292は、次いで半導体処理チャンバ104Cの電気的インタフェース1108に電力を供給してよいことを示してよい。 Similarly, the controller 1292 controls the processor 1296 by the hoisting feature engagement interface 152 of the first hoisting arm 130 based on the determination of the positions of the first hoisting arm 130 and the first carriage 122. It may include an instruction to power only the electrical interface 1108 of the semiconductor processing chamber among the first plurality of semiconductor processing chambers having an engageable hoisting feature. Again, for example in FIG. 13, these determinations are that the hoisting features of the removable components of the semiconductor processing chamber 104A are engageable by the hoisting feature engaging interface of the first hoisting arm 130. For this reason, the controller 1292 may then indicate that it may power the electrical interface 1108 of the semiconductor processing chamber 104C.

追加でまたは代わりに、コントローラは、上記で記述するように、巻上特徴係合インタフェースが第1の複数の半導体処理チャンバの中の半導体処理チャンバの取外し可能な上部カバーの中の1つの巻上特徴と係合したと判断したことに応答して、その取外し可能な上部カバーを包含する第1の複数の半導体処理チャンバの中の半導体処理チャンバの電気的インタフェースだけに電力を供給させてよい。実例としての図13では、係合センサ12104、第1のアーム位置センサ12100、およびキャリッジ位置センサ1298が生成したデータに基づき、第1の巻上アーム130の巻上特徴係合インタフェースは、半導体処理チャンバ104Cの取外し可能な構成要素の巻上特徴と係合したと判断してよく、その判断に基づき、コントローラ1292は、半導体処理チャンバ104Cの電気的インタフェースだけに電力を供給してよいと判断してよい。 In addition or instead, the controller, as described above, has a hoisting feature engagement interface in one hoisting in the removable top cover of the semiconductor processing chamber in the first plurality of semiconductor processing chambers. In response to determining that the feature is engaged, only the electrical interface of the semiconductor processing chamber in the first plurality of semiconductor processing chambers including its removable top cover may be powered. In FIG. 13 as an example, based on the data generated by the engagement sensor 12104, the first arm position sensor 12100, and the carriage position sensor 1298, the hoisting feature engagement interface of the first hoisting arm 130 is semiconductor processed. It may be determined that it is engaged with the hoisting feature of the removable component of chamber 104C, and based on that determination, controller 1292 may determine that power may be supplied only to the electrical interface of semiconductor processing chamber 104C. It's okay.

いくつかの実施形態では、係合センサは、巻上特徴が巻上特徴係合インタフェースと係合したかどうかに関するデータを生成するように構成された第1のインターロックセンサであると考えてよい。命令は、コントローラにプロセッサを制御させて、第1のインターロックセンサが生成したデータに基づき、第1の複数の半導体処理チャンバの取外し可能な構成要素の中の1つの巻上特徴と巻上特徴係合インタフェースが係合したかどうかを判断させてよい。命令はまた、垂直並進システムを動作させる第1の入力信号を受信したことだけではなく、取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースが係合したという判断に応答して、垂直並進システムに第1の巻上アームを垂直に並進させてよい。命令はさらに、垂直並進システムを動作させる第1の入力信号を受信したことだけではなく、取外し可能な上部カバーの中の1つの巻上特徴と巻上特徴係合インタフェースが係合していないという判断に応答して、垂直並進システムが第1の巻上アームを垂直に並進させるのを防止してよい。 In some embodiments, the engagement sensor may be thought of as a first interlock sensor configured to generate data as to whether the hoisting feature engages the hoisting feature engaging interface. .. The instruction causes the controller to control the processor, and based on the data generated by the first interlock sensor, one of the hoisting features and hoisting features among the removable components of the first plurality of semiconductor processing chambers. It may be made to determine whether the engagement interface is engaged. The instruction not only received the first input signal to operate the vertical translation system, but also determined that one hoisting feature in the removable top cover and the hoisting feature engaging interface were engaged. In response, the vertical translation system may translate the first hoist arm vertically. The instruction not only received the first input signal to operate the vertical translation system, but also said that one hoisting feature in the removable top cover and the hoisting feature engaging interface were not engaged. In response to the determination, the vertical translation system may prevent the first hoisting arm from being translated vertically.

いくつかの実施形態では、含まれてよい別の安全特徴は、第1の巻上アームの動きが、例えば、実質的に(実質的には、±10°の範囲内を意味する)180°または270°の扇型の範囲内など、360°未満の扇型に制限されてよいということである。この制限は、第1の巻上アームが扇型の外側の場所まで回転するのを防止する1つまたは複数のピンなどの物理的な1つまたは複数のハードストップを使用することにより提供されてよい、または存在する場合には、モータが第1の巻上アームを扇形の外側の位置まで回転させるのを防止する、コントローラ内部の命令により提供されてよい。いくつかの実例では、第1のキャリッジを通過する垂直面の第1の側だけで移動可能なように制限された第1の巻上アームは、垂直軸に平行であり、かつ第2の軸に垂直である。たとえば図6Bを参照すると垂直面1110が示され、垂直面1110は、垂直軸134に実質的に平行な(たとえば±5°の範囲内で平行な)第2の軸124に実質的に垂直(たとえば、±5°の範囲内で垂直)であり、垂直面1110は、第1のキャリッジ122を通過する。この場合、第1の巻上アーム130は、垂直面1110の左側に配置された位置だけに回転可能である。上記で明言したように、命令は、1つまたは複数のプロセッサを制御して、第1の巻上アーム130をこの垂直面1110の第1の側だけで、すなわち左側だけで動かしてよい。代わりに、そのような回転限定特徴は、右側の位置だけに巻上アームの回転運動を制限するように動作してよい。 In some embodiments, another safety feature that may be included is that the movement of the first hoisting arm is, for example, substantially (substantially meaning within ± 10 °) 180 °. Alternatively, the fan shape may be limited to less than 360 °, such as within the fan shape range of 270 °. This limitation is provided by using one or more physical hard stops, such as one or more pins, which prevent the first hoisting arm from rotating to a location outside the sector. If good or present, it may be provided by a command inside the controller that prevents the motor from rotating the first hoisting arm to a position outside the sector. In some embodiments, the first hoisting arm, restricted so that it can move only on the first side of the vertical plane passing through the first carriage, is parallel to the vertical axis and the second axis. Is perpendicular to. For example, reference to FIG. 6B shows a vertical plane 1110, which is substantially perpendicular (eg, parallel within ± 5 °) to a second axis 124 that is substantially parallel to the vertical axis 134 (eg, parallel within ± 5 °). For example, it is vertical within a range of ± 5 °), and the vertical plane 1110 passes through the first carriage 122. In this case, the first hoisting arm 130 can rotate only at a position arranged on the left side of the vertical surface 1110. As stated above, the instruction may control one or more processors to move the first hoisting arm 130 only on the first side of this vertical plane 1110, i.e. only on the left side. Alternatively, such rotation limiting features may operate to limit the rotational movement of the hoisting arm only to the right position.

どんな混同の可能性も回避するために、本明細書で論じるキャリッジおよび巻上アームは、基板を移送するように意図される、またはそのように構成されるロボットアームまたはエンドエフェクタに等価ではないことに留意されたい。さらに、本明細書で記述する半導体処理チャンバの取外し可能な構成要素は、基板と見られるべきではなく、本明細書で記述する巻上アームは、基板を支持するように構成されても、またはそれを意図されてもいない、ならびに巻上特徴係合インタフェースは、基板を支持するように構成されても、またはそのように意図されてもいない。 To avoid any possibility of confusion, the carriages and hoisting arms discussed herein are not equivalent to robotic arms or end effectors intended or configured to transfer the substrate. Please note. Moreover, the removable components of the semiconductor processing chamber described herein should not be viewed as a substrate, and the hoisting arms described herein may be configured to support the substrate or. It is not intended, as well as the hoisting feature engagement interface is configured or not intended to support the substrate.

いくつかの実装形態では、半導体処理ツールに恒久的に搭載されることが意図され、付着する直線誘導システムにより完全に支持されるキャリッジおよび巻上アームシステムの代わりに、半導体処理ツールから分離できる巻上システムを使用してよい。そのような代替システムでは、巻上アームは、垂直並進システムを備え付ける取外し可能な垂直部材に付着してよい(この実装形態で「垂直な」への言及は、半導体処理ツール上に巻上システムが据え付けられたときの構成要素の配向を指すことを理解されたく、明らかに、そのような巻上システムが半導体処理ツールから取り除かれ、90°回転させられ、床の上に水平に置かれた場合、それまで「垂直な」と記述された部分は、技術的には水平になり、逆もまた同様であり、本開示の目的のために、そのような構成要素は、依然として潜在的に「垂直な」と記述できる)。取外し可能な垂直部材は、下部フレームワークのように、上部支持フレームワークまたはツールの他の下側部分など、取外し可能な垂直部材を半導体処理ツール上のさまざまな場所に配置された対応する付着点と接続できるようになる1つまたは複数の機械的インタフェースを含んでよい。垂直部材の最下部は、垂直部材を半導体処理設備内で回転させ、かつ異なる半導体処理ツールと垂直部材がインタフェースをとることができるようにする場所に位置決めされるように位置決めされるローラまたは車輪を備え付けてよい。垂直部材自体、自身に搭載された垂直並進システムにより支持される1つまたは複数のアームリンクを有してよい。垂直部材が半導体処理ツールのための上部支持フレームワークに付着すると、垂直並進システムを使用して、1つまたは複数のアームリンクを上下に垂直駆動してよい。 In some implementations, the winding is intended to be permanently mounted on the semiconductor processing tool and can be separated from the semiconductor processing tool instead of the carriage and hoisting arm system fully supported by the attached linear guidance system. The above system may be used. In such an alternative system, the hoisting arm may be attached to a removable vertical member equipped with a vertical translational system (a reference to "vertical" in this embodiment is that the hoisting system is on a semiconductor processing tool. It should be understood that it refers to the orientation of the components when installed, apparently when such a hoisting system is removed from the semiconductor processing tool, rotated 90 ° and placed horizontally on the floor. , The parts previously described as "vertical" are technically horizontal and vice versa, and for the purposes of the present disclosure, such components are still potentially "vertical". It can be described as "na"). The removable vertical member is the corresponding attachment point where the removable vertical member is placed at various locations on the semiconductor processing tool, such as the upper support framework or the other lower part of the tool, such as the lower framework. May include one or more mechanical interfaces that allow connection with. The bottom of the vertical member is a roller or wheel that is positioned to rotate the vertical member within a semiconductor processing facility and to be positioned where different semiconductor processing tools and vertical members can interface. You may equip it. The vertical member itself may have one or more arm links supported by a vertical translation system mounted on it. Once the vertical member is attached to the top support framework for the semiconductor processing tool, a vertical translation system may be used to vertically drive one or more arm links up and down.

そのような巻上システムは、自立型とすることができないように、および/またはたとえば上部支持フレームワーク上の付着点を介して、ツール上の何らかの形の支持物に付着することなく取外し可能な構成要素を支持することができないように設計されてよい。したがって、たとえばいくつかの実施形態では、巻上システムは、概して巻上アームの真下の場所に至り、かつ巻上アーム(および巻上システムが持ち上げているものはなんでも)の重量に起因して、巻上システムを落下させないように作用する(本開示ですでに論じたような)支持脚部を欠いてよい。別の例では、巻上システムは、巻上システム自体の重量だけを支持することができるが、取外し可能な構成要素の重量を支持することができない軽量支持システムを有してよい。既存の自立型巻上システムは、概して巻上アームの真下の場所に至る脚部または等価な構造物を含む。対照的に、本明細書で論じる取外し可能な巻上システムは、何らかの形の外部支持物なしに巻上アームおよび/または取外し可能な構成要素の重量を支持することができない。 Such hoisting systems are removable so that they cannot be self-supporting and / or, for example, through attachment points on the upper support framework, without attaching to any form of support on the tool. It may be designed so that it cannot support the components. Thus, for example, in some embodiments, the hoisting system generally reaches a location beneath the hoisting arm and is due to the weight of the hoisting arm (and whatever the hoisting system is lifting). It may lack support legs (as already discussed in this disclosure) that act to prevent the hoisting system from falling. In another example, the hoisting system may have a lightweight support system that can only support the weight of the hoisting system itself, but not the weight of removable components. Existing self-supporting hoisting systems generally include legs or equivalent structures that extend directly below the hoisting arm. In contrast, the removable hoisting systems discussed herein are unable to support the weight of hoisting arms and / or removable components without some form of external support.

巻上システムが1つまたは複数の付着点を介して半導体処理ツールに付着したとき、付着点は、主として横荷重を受け取るのに役立つのに対して、軸(垂直)荷重はむしろ、取外し可能な垂直部材の長さを通って、かつ取外し可能な垂直部材の最下部を通って外へ、(おそらくは、たとえばいくつかの実例では、取外し可能な垂直部材の基部に配置されてよい車輪を通って設備の床へ経路設定されてよい。したがって、取外し可能な垂直部材の中心線から外れて加えられた垂直荷重の結果生じるどんなトルクも、巻上システムを付着させる1つまたは複数の付着点により加えられる抵抗力により無効になってよい。いくつかの実例では付着点は、横荷重、および垂直荷重の一部またはすべてを受け取ってよい。この場合、ツールは、巻上システムの横荷重、および垂直荷重の一部もしくはすべてを支持することができる上部付着点および下部付着点を含んでよい。上述のトルクは、巻上システムの垂直部材の端部でこれら多数の付着点により無効にすることができる。垂直荷重はまた、垂直部材へ、上部付着点および上部支持フレームへ、それだけではなく下部付着点へ、および巻上システムの車輪を通すのとは対照的に最終的に床へ経路設定することができる。これにより、垂直部材およびその移動機構は軽量になり、より小さな耐荷重構造を有することが可能になる。 When the hoisting system adheres to a semiconductor processing tool through one or more attachment points, the attachment points primarily serve to receive lateral loads, whereas axial (vertical) loads are rather removable. Out through the length of the vertical member and through the bottom of the removable vertical member (perhaps through wheels that may be placed at the base of the removable vertical member, for example in some examples). It may be routed to the floor of the equipment, so any torque resulting from vertical loads applied off the centerline of the removable vertical member is applied by one or more attachment points to which the hoisting system adheres. It may be invalidated by the resistance force that is applied. In some embodiments, the attachment point may receive a lateral load, and some or all of the vertical load. In this case, the tool is the lateral load of the hoisting system, and vertical. It may include upper and lower attachment points that can support some or all of the load. The torque described above can be nullified by these numerous attachment points at the ends of the vertical members of the hoisting system. Vertical loads can also be routed to vertical members, to upper attachment points and upper support frames, as well as to lower attachment points, and finally to the floor as opposed to passing through the wheels of the hoisting system. This allows the vertical member and its moving mechanism to be lighter and have a smaller load-bearing structure.

そのような巻上システムは、自らを支える必要がないので、非常に小さな占有面積を有してよく、自立型巻上システムよりも軽量であってよい。これにより、巻上システムは、人がより容易に取り扱うことができるようになり、より狭苦しい場所で使用することができるようになる。 Such hoisting systems may have a very small footprint and may be lighter than self-contained hoisting systems, as they do not need to support themselves. This makes the hoisting system easier for humans to handle and can be used in tighter locations.

したがって、本開示は、上記で記述する直線誘導システムおよびキャリッジを有しないがむしろ、分離できる巻上システムを有する半導体処理ツールの別の例の追加代替実施形態を含む。これらの代替巻上システム実施形態では、ツールは、上部支持フレームワーク、第1の軸に沿って配列された複数の半導体処理チャンバ、および上部支持フレームワークに固定して付着した基底部分を依然として含んでよい。いくつかのそのような実装形態では、半導体チャンバは、直線状の軸に沿う以外の方法で、たとえば円形配列で配列されてよい。 Accordingly, the present disclosure includes additional alternative embodiments of another example of a semiconductor processing tool that does not have the linear guidance system and carriage described above but rather has a separable hoisting system. In these alternative hoisting system embodiments, the tool still includes a top support framework, multiple semiconductor processing chambers arranged along a first axis, and a base portion fixed and attached to the top support framework. It's fine. In some such implementations, the semiconductor chambers may be arranged in a circular arrangement, eg, in a manner other than along a linear axis.

これらの代替実施形態のいくつかでは、分離できる巻上システムは、Fabの床により支持され、高い付着点で支持フレームに接続可能であり、巻上アームを有する。分離できる巻上システムは、点検領域内部のFabの床の上でツールと並んだ位置に動かされ、次いで上部支持フレームワーク上の付着点で上部支持フレームワークに固定することができるような移動式である。所定の位置で上部支持フレームワークに接続されると、巻上アームおよび垂直並進システムを使用して、半導体処理ツールの1つまたは複数の取外し可能な構成要素を持ち上げ、下げ、動かす。以下でより詳細に論じるように、巻上アームおよび垂直並進システムは、上記で論じる巻上アームおよび垂直並進システムと同じであっても、それらに類似してもよい。 In some of these alternative embodiments, the separable hoisting system is supported by the Fab floor, can be connected to the support frame at a high point of attachment, and has a hoisting arm. The separable hoisting system is mobile so that it can be moved alongside the tool on the Fab floor inside the inspection area and then secured to the top support framework at a point of attachment on the top support framework. Is. Once connected to the top support framework in place, a hoisting arm and vertical translation system are used to lift, lower, and move one or more removable components of the semiconductor processing tool. As discussed in more detail below, the hoisting arm and vertical translation system may be the same as or similar to the hoisting arm and vertical translation system discussed above.

図14は、半導体処理ツールの別の例を描き、この図は、図2に類似するが、際立った違いがある。これらの実施形態では、上記で記述するようなツールの別の例1400は、上部支持フレームワーク1414、第1の軸1406に沿って配置された第1の複数の半導体処理チャンバ1402の中の半導体処理チャンバ1404、および上部支持フレームワーク1414に固定して付着した基底部分1416だけではなく、上記で記述するように第2の構造物1442などの巻上特徴を伴う、取外し可能な上部カバー1418などの取外し可能な構成要素も含む。 FIG. 14 depicts another example of a semiconductor processing tool, which is similar to FIG. 2, but with significant differences. In these embodiments, another example 1400 of the tool as described above is a semiconductor in a first plurality of semiconductor processing chambers 1402 arranged along a top support framework 1414, a first axis 1406. A removable top cover 1418 and the like, with hoisting features such as a second structure 1442 as described above, as well as a base portion 1416 fixed and attached to the processing chamber 1404 and the top support framework 1414. Also includes removable components of.

ツール100とは対照的に、別のツール1400は、上部支持フレームワーク1414により固定して支持される1つまたは複数の付着点14112を含んでよい付着システムを含む。いくつかの実施形態では、付着点14112の2つ以上は、第2の軸1424に沿って上部支持フレームワーク1414に関して固定した位置で上部支持フレームワーク1414に接続されてよい。いくつかの他の実施形態では、付着システムは、1つまたは複数の付着点が移動可能に接続されるガイドレールを含んでよい。たとえば、図14に網掛け付きで強調され描かれたガイドレール14114は、上記で記述する第1の直線誘導システムに類似する第2の軸1424に沿って伸展し、1つまたは複数の付着点14112は、矢印1428により示すように第2の軸1424に沿って並進することができるようにガイドレール14114に移動可能に接続される。いくつかのそのような実装形態では、付着点は、締められたときにガイドレールに対して空間内に固定されるように締められる、または緩められたときにガイドレールに沿ってスライド可能なように緩められることができてよく、それにより、付着点の場所を再調整することにより、新しいツール構成または修正を保守手順に適合させることが可能になる。上記で論じるように、付着システムの1つまたは複数の付着点14112は、分離できる巻上システムを上部支持フレームワーク1414に付着させる、または接続することができる場所、すなわち、高い接続点の役割を果たす。 In contrast to the tool 100, another tool 1400 includes an attachment system that may include one or more attachment points 14112 secured and supported by the upper support framework 1414. In some embodiments, the two or more attachment points 14112 may be connected to the top support framework 1414 in a fixed position with respect to the top support framework 1414 along the second axis 1424. In some other embodiments, the attachment system may include a guide rail to which one or more attachment points are movably connected. For example, the shaded and highlighted guide rail 14114 in FIG. 14 extends along a second axis 1424 similar to the first linear guidance system described above and has one or more attachment points. The 14112 is movably connected to the guide rail 14114 so that it can be translated along the second axis 1424 as indicated by the arrow 1428. In some such implementations, the attachment point is to be fastened so that it is secured in space with respect to the guide rail when tightened, or to be slidable along the guide rail when loosened. It may be loosened to allow new tool configurations or modifications to be adapted to maintenance procedures by readjusting the location of the attachment points. As discussed above, one or more attachment points 14112 of the attachment system serve as a place where the separable hoisting system can be attached to or attached to the top support framework 1414, i.e., a high attachment point. Fulfill.

図15Aおよび図15Bは、図14の半導体処理ツールの他の例および分離できる巻上システムの第1の例の側面図を描く。図15Aでは、1つの基底部分1416は、上部支持フレームワーク1414に付着しているのが見え、取外し可能な構成要素1418を有する。1つの付着点14112はまた、上部支持フレームワーク1414に接続されているのが見え、この付着点は、上記で論じるような移動可能な接続点であっても、固定した接続点であってもよい。分離できる巻上システムの第1の例14116もまた見え、相補的付着点14122が付着する上端部14120、および車輪または軌道などの移動機構14126が付着する下端部14124を有する垂直部材14118を含む。移動機構14126は、Fabの床14128の上に位置決めされ、それにより支持されてよく、分離できる巻上システムの第1の例14116がFabの床を中心にさまざまな方向に動くことができるようにする。相補的付着点14122は、図15Bに例示するように付着システムの付着点14112に接続される、または付着するように構成され、識別子14127で例示するように、相補的付着点14122および付着点14112が接続されるとき、分離できる巻上システムの第1の例14116は、上部支持フレームワーク1414に付着する。この付着は、分離できる巻上システムの第1の例14116が点検領域1415内部でツール1400のすぐそばに適合することができる比較的小さな占有面積を有することを可能にし、かつツール1400の重い取外し可能な構成要素を持ち上げ、下げ、支持することを可能にする側面支持を、分離できる巻上システムの第1の例14116に提供する。上部支持フレームワーク1414と分離できる巻上システム14116の間にこの高い付着がなければ、分離できる巻上システムの第1の例14116は、取外し可能な構成要素を持ち上げ、動かし、支持することができず、むしろ、ツール間で許容できる領域よりも広くてよい、従来の持ち上げ機構から伸展する脚部のような、Fabの床に沿って伸展する支持特徴がなければ落下するであろう。 15A and 15B depict side views of another example of the semiconductor processing tool of FIG. 14 and a first example of a separable hoisting system. In FIG. 15A, one base portion 1416 is visible attached to the top support framework 1414 and has a removable component 1418. One attachment point 14112 is also seen to be connected to the top support framework 1414, which attachment point may be a mobile connection point as discussed above or a fixed connection point. good. A first example 14116 of a separable hoisting system is also visible and includes a vertical member 14118 having an upper end 14120 to which a complementary attachment point 14122 is attached and a lower end 14124 to which a moving mechanism 14126 such as a wheel or track is attached. The moving mechanism 14126 may be positioned and supported by the Fab floor 14128 so that the first example 14116 of the separable hoisting system can move in different directions around the Fab floor. do. Complementary attachment points 14122 are configured to be connected to or adhere to attachment points 14112 of the attachment system as illustrated in FIG. 15B, and complementary attachment points 14122 and attachment points 14112 are exemplified by identifier 14127. The first example 14116 of the separable hoisting system attaches to the top support framework 1414 when connected. This adhesion allows the first example 14116 of a separable hoisting system to have a relatively small footprint within the inspection area 1415 that can be fitted in the immediate vicinity of the tool 1400, and the heavy removal of the tool 1400. A side support that allows the possible components to be lifted, lowered and supported is provided in the first example 14116 of a separable hoisting system. Without this high adhesion between the top support framework 1414 and the separable hoisting system 14116, the first example 14116 of the separable hoisting system could lift, move and support the removable components. Rather, it would fall without a support feature that extends along the Fab floor, such as a leg that extends from a conventional lifting mechanism, which may be wider than the area allowed between the tools.

分離できる巻上システムの第1の例14116はまた、上記で記述する第1の巻上アームと同じであっても、それに類似してもよい巻上アーム14130を含む。例えば、巻上アーム14130は、上記で記述するように、巻上特徴係合インタフェースを含んでよく、第2の軸1424および第1の軸1406に垂直な、垂直部材14118を通って伸展する垂直軸1434を中心にして枢動するように構成されてよい。いくつかの実施形態では、分離できる巻上システムの第1の例14116はまた、上記で記述するように巻上アーム14130を垂直軸1434に沿って矢印1464の方向に並進させるように構成された垂直並進システム14132を含んでよい。上記で言及するように、取外し可能な構成要素の垂直荷重は、巻上アーム14130,および分離できる巻上システムの第1の例14116とFabの床の接続によりFabの床に移送される垂直部材14118により保持される。 A first example 14116 of a separable hoisting system also includes a hoisting arm 14130 which may be the same as or similar to the first hoisting arm described above. For example, the hoisting arm 14130 may include a hoisting feature engagement interface as described above, a vertical extending through a vertical member 14118 perpendicular to a second axis 1424 and a first axis 1406. It may be configured to pivot around a shaft 1434. In some embodiments, the first example 14116 of a separable hoisting system is also configured to translate the hoisting arm 14130 along the vertical axis 1434 in the direction of arrow 1464 as described above. A vertical translation system 14132 may be included. As mentioned above, the vertical load of the removable component is transferred to the Fab floor by the hoisting arm 14130, and the first example 14116 of the separable hoisting system and the Fab floor connection. Retained by 14118.

この垂直並進システム14132は、モータ駆動式であってよい、または図15Bに描くように手回しクランク、またはケーブルおよびウインチなどにより手作業で動力供給されてよい。いくつかの実施形態では、分離できる巻上システムの第1の例14116の相補的付着点14122が付着点14112で上部支持フレームワーク1414に接続されると、巻上アーム14130は、第1の複数の半導体処理チャンバ1402の中の半導体処理チャンバ1404の取外し可能な構成要素の中の1つの巻上特徴と係合するように巻上特徴係合インタフェースを動かすことができるように移動可能である。巻上アーム14130の可動性は、例えば、図6A~図6Eに描く可動性を含み上記で記述する可動性と同じであってよく、その結果、巻上アーム14130を水平に、または垂直軸1434に垂直な平面内部で動かすことができる。 The vertical translation system 14132 may be motor driven or manually powered by a hand-cranked crank, cable and winch, as depicted in FIG. 15B. In some embodiments, when the complementary attachment point 14122 of the first example 14116 of the separable hoisting system is connected to the top support framework 1414 at the attachment point 14112, the hoisting arm 14130 is a first plurality. The hoisting feature engagement interface is movable so as to engage one of the hoisting features in the removable components of the semiconductor processing chamber 1404 in the semiconductor processing chamber 1402. The mobility of the hoisting arm 14130 may be the same as described above, including, for example, the mobility depicted in FIGS. 6A-6E, and as a result, the hoisting arm 14130 may be horizontal or vertical axis 1434. Can be moved inside a plane perpendicular to.

いくつかの実施形態では、分離できる巻上システムの第1の例14116は、第2の軸1424に沿って並進するように構成される。いくつかのそのような実施形態では、付着システムは、上記で記述するように、ガイドレール14114と、ガイドレール14114に接続された、第2の軸1424に沿って移動可能な移動可能付着点14112とを有してよく、その結果、分離できる巻上システムの第1の例14116の相補的付着点14122が付着点14112で上部支持フレームワーク1414に接続されたとき、分離できる巻上システムの第1の例14116および付着点14112は、図14で矢印1428により示すように第2第2の軸1424に沿って一緒に同時に移動可能である。図15Aおよび図15Bでは、この動きは、ページの中におよびページから外に向くと考えてよい。この動きにより、分離できる巻上システムの第1の例14116は、第1の複数の半導体処理チャンバ1402の取外し可能な構成要素の中のいずれかと接続し、それを持ち上げ、動かし、下げることが可能になる。そのような実施形態では、移動機構14124は、Fabの床14128と接触しており、分離できる巻上システムの第1の例14116の残りの部分と共に第2の軸1424に沿って垂直部材14118が動くことを可能にする。 In some embodiments, the first example 14116 of a separable hoisting system is configured to translate along a second axis 1424. In some such embodiments, the attachment system is a movable attachment point 14112 that is movable along a guide rail 14114 and a second axis 1424 connected to the guide rail 14114, as described above. As a result, when the complementary attachment point 14122 of the first example 14116 of the separable hoisting system is connected to the top support framework 1414 at the attachment point 14112, the first example of the separable hoisting system. Example 14116 and attachment point 14112 of 1 can move together along a second second axis 1424 as indicated by arrow 1428 in FIG. In FIGS. 15A and 15B, this movement can be considered to be in and out of the page. This movement allows the first example 14116 of the separable hoisting system to connect to any of the removable components of the first plurality of semiconductor processing chambers 1402 and lift, move and lower it. become. In such an embodiment, the moving mechanism 14124 is in contact with the floor 14128 of the Fab, with the vertical member 14118 along the second axis 1424 along with the rest of the first example 14116 of the separable hoisting system. Allows you to move.

いくつかの実施形態では、分離できる巻上システムの第1の例に類似して構成されるが、いくつかの点で異なる分離できる巻上システムの第2の例が提供されてよい。分離できる巻上システムのこの第2の例は、垂直部材と、巻上アームと、ツールに接続するための少なくとも1つの付着点と、分離できる巻上システムのこの第2の例が半導体処理設備の周囲で動くことができるようにする車輪またはローラと、本明細書で記述する追加の特徴および構成とを含む。いくつかの実例では、分離できる巻上システムの第2の例は、それ自体で自立することができなくても、ツールに接続されることなく取外し可能な構成要素の荷重を支持することがでなくてもよい。図16は、高い付着点16121(本明細書では相補的付着点とも呼ばれる)を有する上端部16120および車輪または軌道などの移動機構16126を有する下端部16124を伴う垂直部材16118を含み、かつ巻上アーム16130および垂直並進システム16132をさらに含む分離できる巻上システムの第2の例16116の透視図を描く。 In some embodiments, a second example of a separable hoisting system that is configured similar to the first example of a separable hoisting system but differs in some respects may be provided. This second example of a separable hoisting system is a vertical member, a hoisting arm, at least one attachment point for connecting to a tool, and this second example of a separable hoisting system is a semiconductor processing facility. Includes wheels or rollers that allow them to move around, and additional features and configurations described herein. In some examples, a second example of a separable hoisting system can support the load of removable components without being connected to a tool, even if it cannot stand on its own. It does not have to be. FIG. 16 includes a vertical member 16118 with an upper end 16120 having a high attachment point 16121 (also referred to herein as a complementary attachment point) and a lower end 16124 having a movement mechanism 16126 such as a wheel or track, and hoisting. Draw a perspective view of a second example 16116 of a separable hoisting system further comprising an arm 16130 and a vertical translation system 16132.

いくつかの実施形態では、巻上アーム16130は、上記で記述する第1の巻上アームおよび巻上アーム14130と同じであっても、それらに類似してもよい。いくつかの実施形態では、巻上アーム16130は、図16に描くように2つ以上のリンクを含んでよい。図16では、巻上アーム16130は、3つのリンクを有し、第1のリンク16131および第2のリンク16133は、互いに平行であり、第3のリンク16139と共に二重肩継手16135および二重エルボ継手16137を形成する。巻上アーム16130は、垂直部材16118の長手方向の軸に実質的に平行な(実質的には、たとえば約5%または1%の範囲内で平行であることを意味する)垂直軸1634を中心にして二重肩継手16135で枢動するように構成される。長手方向の軸は、図21に描かれ、垂直部材16118の長さに沿って伸展し、第1の端部16120および第2の端部16124と交差する。巻上アーム16130はまた、エルボ継手16137で垂直軸1634に平行な別の軸16141を中心にして回転するように構成される。巻上アーム16130の第3のリンク16139の遠位端部は、本明細書で記述する取外し可能な構成要素の中のいずれかの巻上特徴と係合するように構成されるように、上記で記述する巻上特徴係合インタフェースのいずれかを有してよい。巻上アームの構成に応じて、巻上アームは、水平に、または垂直軸1634に垂直な平面内部で動くことができるように、例えば図6A~図6Eに描くように移動可能であることを含み、上記で記述するように移動可能であってよい。この動きはまた、図20Aおよび図20Bに例示されている。 In some embodiments, the hoisting arm 16130 may be the same as or similar to the first hoisting arm and hoisting arm 14130 described above. In some embodiments, the hoisting arm 16130 may include two or more links as depicted in FIG. In FIG. 16, the hoisting arm 16130 has three links, the first link 16131 and the second link 16133 are parallel to each other and together with the third link 16139 the double shoulder joint 16135 and the double elbow. The joint 16137 is formed. The hoisting arm 16130 is centered on a vertical axis 1634 that is substantially parallel to the longitudinal axis of the vertical member 16118 (meaning that it is substantially parallel, eg, within a range of about 5% or 1%). It is configured to be pivotally driven by a double shoulder joint 16135. The longitudinal axis is drawn in FIG. 21 and extends along the length of the vertical member 16118 and intersects the first end 16120 and the second end 16124. The hoisting arm 16130 is also configured to rotate about another shaft 16141 parallel to the vertical shaft 1634 at the elbow joint 16137. The distal end of the third link 16139 of the hoisting arm 16130 is configured above to engage any hoisting feature among the removable components described herein. It may have any of the hoisting feature engagement interfaces described in. Depending on the configuration of the hoisting arm, the hoisting arm may be movable, eg, as depicted in FIGS. 6A-6E, so that it can move horizontally or within a plane perpendicular to the vertical axis 1634. It may include and be mobile as described above. This movement is also illustrated in FIGS. 20A and 20B.

この垂直並進システム16132は、図16に描くように、モータ16166および駆動ねじ16167などによりモータ駆動式であっても、手作業で動力供給されてもよい。垂直並進システム16132は、巻上アーム16130を垂直軸1634に沿って並進させるように構成される。上記で記述するように、これにより巻上アーム16130は、ツールの取外し可能な構成要素を持ち上げ、下げることが可能になる。 As shown in FIG. 16, the vertical translation system 16132 may be motor-driven by a motor 16166, a drive screw 16167, or the like, or may be manually powered. The vertical translation system 16132 is configured to translate the hoisting arm 16130 along the vertical axis 1634. As described above, this allows the hoisting arm 16130 to lift and lower the removable components of the tool.

分離できる巻上システムの第2の例は、さまざまな方法でツールに付着されてよい。たとえば、分離できる巻上システムの第1の例のように、分離できる巻上システムの第2の例は、単一の高い付着点でツールに接続されてよく、一方では、分離できる巻上システムの第2の例の最下部は、製作施設の床の上に位置決めされ、それにより支持される。いくつかの実施形態では、分離できる巻上システムの第2の例は、上部付着点および下部付着点などの2つの異なる付着点でツールに接続されてよい。図17は、半導体処理ツールのさらに別の例を描く。上記の他の図に類似して、ツールの例1700は、上部支持フレームワーク1714、第1の軸1706に沿って配列された第1の複数の半導体処理チャンバ1702の中の半導体処理チャンバ1704、上部支持フレームワーク1714に直接に、または間接的に固定して付着した基底部分1716だけではなく、上記で記述するように巻上特徴を伴う、取外し可能な上部カバー1718などの取外し可能な構成要素も含む。ツールはまた、上部支持フレームワーク1714に固定して付着した1つまたは複数の上部付着点17112A~17112D、および下部フレームまたはプレート17115などのツールの下部要素に固定して付着した1つまたは複数の下部付着点17113A~17113Dを含む。いくつかの実施形態では、図17に例示するように、各上部付着点は、対応する下部付着点を有し、各対は、垂直軸1634などの第1の軸1706に垂直な軸に沿って互いに平行に位置決めされてよい。 A second example of a separable hoisting system may be attached to the tool in various ways. A second example of a separable hoisting system, such as the first example of a separable hoisting system, may be connected to the tool at a single high point of attachment, while the separable hoisting system. The bottom of the second example of is positioned and supported on the floor of the production facility. In some embodiments, a second example of a separable hoisting system may be connected to the tool at two different attachment points, such as an upper attachment point and a lower attachment point. FIG. 17 depicts yet another example of a semiconductor processing tool. Similar to the other figures above, example 1700 of the tool is an upper support framework 1714, a semiconductor processing chamber 1704 in a first plurality of semiconductor processing chambers 1702 arranged along a first axis 1706, Removable components such as the removable top cover 1718 with hoisting features as described above, as well as the base portion 1716 fixed and attached directly or indirectly to the top support framework 1714. Also includes. The tool also has one or more upper attachment points 17112A-17112D fixed and attached to the upper support framework 1714, and one or more fixed and attached to the lower element of the tool such as the lower frame or plate 17115. Includes lower attachment points 17113A-17113D. In some embodiments, as illustrated in FIG. 17, each upper attachment point has a corresponding lower attachment point and each pair is along an axis perpendicular to the first axis 1706, such as vertical axis 1634. May be positioned parallel to each other.

図18Aおよび図18Bは、ツールと図16および図17の分離できる巻上システムの第2の例の間の付着シーケンスの側面図を描く。図18Aでは、分離できる巻上システムの第2の例16116は、破線の両側矢印により示すようにツール1700の上部付着点17112が巻上システムの第2の例16116の高い付着点16121と接続することができ、かつ分離できる巻上システムの第2の例16116の最下部付着点16123とツールの下部付着点17113を接続することができるようにツール1700から分離されるが、ツール1700と整列する。下部付着点17113は、図18Aで理解することができるように、最下部付着点16123の水平バーを受け入れるU字形受け口を有するなど、巻上システムの第2の例16116の重量を支持するように構成されてよい。 18A and 18B depict side views of the adhesion sequence between the tool and the second example of the separable hoisting system of FIGS. 16 and 17. In FIG. 18A, in the second example 16116 of the separable hoisting system, the upper point of attachment 17112 of the tool 1700 connects to the high point of attachment 16121 of the second example 16116 of the hoisting system, as indicated by the dashed double-sided arrows. Separated from the tool 1700 so that the bottom attachment point 16123 of the second example 16116 of a capable and separable hoisting system and the bottom attachment point 17113 of the tool can be connected, but aligned with the tool 1700. .. The bottom attachment point 17113 is to support the weight of the second example 16116 of the hoisting system, such as having a U-shaped receptacle that accepts the horizontal bar of the bottom attachment point 16123, as can be seen in FIG. 18A. May be configured.

図18Bでは、分離できる巻上システムの第2の例16116は、ツール1700の上部付着点17112および下部付着点17113でツール1700に接続される。この付着は、分離できる巻上システムの第2の例17116が、点検領域内部でツール1700のすぐそばに適合することができる比較的小さな占有面積を有することを可能にし、かつツール1700の重い取外し可能な構成要素を持ち上げ、下げ、支持することを可能にする側面支持および垂直支持を、分離できる巻上システムの第2の例17116に提供する。これらの上部付着点および下部付着点がなければ、分離できる巻上システムの第2の例17116は、取外し可能な構成要素を潜在的に落下させることなしに持ち上げ、動かし、支持することができない。いくつかの実施形態では、図18Bに描くように、ツール1700に接続されたとき、分離できる巻上システムの第2の例16116は、製作施設の床と直接に接触しなくても、それにより支持されなくてもよい。いくつかのそのような実施形態では、分離できる巻上システムの第2の例16116により支持される垂直荷重は、上部付着点17112および下部付着点17113を通してツール1700に伝えられ、これらの荷重は、床と、分離できる巻上システムの第2の例16116の間の直接接触を通して床に直接に伝えられるわけではない。いくつかの他の実施形態では、分離できる巻上システムの第2の例16116は、分離できる巻上システムの第2の例16116により支持される垂直荷重が床に直接に伝えられるように、製作施設の床に直接に接触し、それにより支持されてよい。 In FIG. 18B, a second example 16116 of a separable hoisting system is connected to the tool 1700 at the upper attachment point 17112 and the lower attachment point 17113 of the tool 1700. This adhesion allows the second example 17116 of the separable hoisting system to have a relatively small footprint within the inspection area that can be fitted in the immediate vicinity of the tool 1700, and the heavy removal of the tool 1700. A second example 17116 of a separable hoisting system provides side supports and vertical supports that allow the possible components to be lifted, lowered and supported. Without these upper and lower attachment points, the second example 17116 of the separable hoisting system would not be able to lift, move and support the removable components without potentially dropping them. In some embodiments, as depicted in FIG. 18B, a second example 16116 of a separable hoisting system when connected to the tool 1700 is thereby without direct contact with the floor of the production facility. It does not have to be supported. In some such embodiments, the vertical load supported by the second example 16116 of the separable hoisting system is transmitted to the tool 1700 through the upper attachment point 17112 and the lower attachment point 17113, and these loads are It is not directly transmitted to the floor through direct contact between the floor and the second example 16116 of the separable hoisting system. In some other embodiments, the second example 16116 of the separable hoisting system is made so that the vertical load supported by the second example 16116 of the separable hoisting system is directly transmitted to the floor. It may be in direct contact with the floor of the facility and thereby supported.

ツールに接続されると、巻上アーム16130は、上記で記述するように、巻上アーム16130の巻上特徴係合インタフェースを動かして、取外し可能な構成要素の中の1つの巻上特徴と係合するように動くことができるように移動可能である。図19は、図17~図18Bのツール1700に接続された分離できる巻上システムの第2の例16116の透視図を描く。図19に描くように、巻上アーム16130は、その巻上特徴係合インタフェース1652が、取外し可能な構成要素1718の巻上特徴1942と係合することができるように動かされている。これらの品目が係合すると、巻上アーム16130は、取外し可能な構成要素1718を動かすために、図に描かれたx軸およびy軸内にある、垂直軸1634に垂直な平面内で動かすことができる。垂直並進システム16132はまた、実質的に互いに平行(たとえば、±5%の範囲内で平行)であってよい描かれたz軸、すなわち垂直軸1634に沿って、取外し可能な構成要素を上げ下げすることができる。 Once connected to the tool, the hoisting arm 16130 will move the hoisting feature engagement interface of the hoisting arm 16130 to engage with one of the hoisting features among the removable components, as described above. It is movable so that it can move to fit. FIG. 19 depicts a perspective view of a second example 16116 of a separable hoisting system connected to the tool 1700 of FIGS. 17-18B. As depicted in FIG. 19, the hoisting arm 16130 is moved such that its hoisting feature engaging interface 1652 can engage the hoisting feature 1942 of the removable component 1718. When these items are engaged, the hoisting arm 16130 moves in a plane perpendicular to the vertical axis 1634 in the x-axis and y-axis depicted in the figure to move the removable component 1718. Can be done. The vertical translation system 16132 also raises and lowers removable components along a drawn z-axis, i.e., vertical axis 1634, which may be substantially parallel to each other (eg, parallel within ± 5%). be able to.

図20Aおよび図20Bは、分離できる巻上システムの第2の例16116による取外し可能な構成要素の例の動きのシーケンスを描く。これらの図は、図6A~図6Eと共に上記のように、分離できる巻上システムの第2の例16116がツール1700に付着した、図17~図19の図1700のツールの簡略化された上面図であり、図16、図18A、および図19の垂直軸1634に平行な角度で見ており、その結果、垂直軸1634は、ページに垂直であり、ページの中に伸展する。第1の複数の半導体処理チャンバ1702の中の半導体処理チャンバ1704はまた、これらのチャンバの基底部分1716、巻上アーム1730、および取外し可能な構成要素1718の巻上特徴1742と係合した巻上特徴係合インタフェース1752と共に見える。図20Bで理解することができるように、巻上アーム16130は、取外し可能な構成要素1718上の矢印により示すように、取外し可能な構成要素1718を少なくとも軸1706に垂直な方向に処理チャンバ1704から離して動かすことができるように移動可能である。この動きは、垂直軸1634および他の軸16141を中心とする回転を含む、巻上アーム16130のリンクおよび継手の動きにより可能になる。いくつかの実施形態では、巻上アーム16130は、1つのリンクだけを有してよく、取外し可能な構成要素1718は、第1のキャリッジが静止したままであった例を含み、上記で記述するように垂直軸1634に垂直な平面内部で依然として移動可能であってよい。巻上アームのこの動きはまた、上記で記述し図20Bで部分的に描かれているように、巻上アームと係合した取外し可能な構成要素をツールの包絡面20170の外側で動かすことを可能にする。 20A and 20B depict a sequence of movements of an example of removable components according to a second example 16116 of a separable hoisting system. These figures, together with FIGS. 6A-6E, are simplified top surfaces of the tool of FIG. 1700 of FIGS. 17-19, to which a second example 16116 of a separable hoisting system is attached to the tool 1700, as described above. It is a diagram, viewed at an angle parallel to the vertical axis 1634 of FIGS. 16, 18A, and 19, so that the vertical axis 1634 is perpendicular to the page and extends into the page. The semiconductor processing chamber 1704 in the first plurality of semiconductor processing chambers 1702 also engages with the hoisting feature 1742 of the base portion 1716, hoisting arm 1730, and removable component 1718 of these chambers. Features Seen with the engagement interface 1752. As can be seen in FIG. 20B, the hoisting arm 16130 has the removable component 1718 from the processing chamber 1704 in a direction at least perpendicular to the axis 1706, as indicated by the arrow on the removable component 1718. It is movable so that it can be moved apart. This movement is made possible by the movement of the links and fittings of the hoisting arm 16130, including rotation about the vertical axis 1634 and the other axis 16141. In some embodiments, the hoisting arm 16130 may have only one link and the removable component 1718 includes an example in which the first carriage remained stationary and is described above. As such, it may still be mobile within a plane perpendicular to the vertical axis 1634. This movement of the hoisting arm also causes the removable component engaged with the hoisting arm to move outside the envelope surface 20170 of the tool, as described above and partially depicted in FIG. 20B. to enable.

いくつかの実施形態では、分離できる巻上システムの第2の例は、垂直並進システムおよび巻上アームが垂直部材に沿って単一体として一緒に移動可能であるように構成されてよい。これにより、分離できる巻上システムの第2の例は、製作施設の周囲で容易に動き、使用されていないときに場所を取らずに保管することができるようになってよい。これによりさらにまた、有利には、高い付着点へのアクセスを垂直並進システムが妨害することも邪魔することもないように、ツールに対する据付および取外し中に邪魔にならないように垂直並進システムを動かすことができるようになる。図21は、図16の分離できる巻上システムの第2の例の別の構成を描く。図21では、分離できる巻上システムの第2の例16116は、垂直並進システム16132および巻上アーム16130が垂直部材16118の長手方向の軸16147に沿って垂直部材16118に沿って単一体として一緒に動くことができるようにするように構成されたスライドレール16145を含む。図21で理解することができるように、垂直並進システム16132および巻上アーム16130は、垂直部材16118の下端部に向けて一緒に動かされている。この動きは、いくつかの実施形態では動力を供給されなくてよく、一方では他の実施形態では、モータ、直線アクチュエータ、または本明細書で記述する他の機構により動力を供給されてよい。 In some embodiments, a second example of a separable hoisting system may be configured such that the vertical translation system and hoisting arm can be moved together as a single unit along a vertical member. This may allow a second example of a separable hoisting system to move easily around the manufacturing facility and be stored without taking up space when not in use. This also favorably moves the vertical translation system out of the way during installation and removal of the tool so that the vertical translation system does not interfere with or interfere with access to high attachment points. Will be able to. FIG. 21 depicts another configuration of a second example of the separable hoisting system of FIG. In FIG. 21, a second example 16116 of a separable hoisting system is a vertical translation system 16132 and a hoisting arm 16130 together as a single unit along the longitudinal axis 16147 of the vertical member 16118 along the vertical member 16118. Includes a slide rail 16145 configured to allow movement. As can be seen in FIG. 21, the vertical translation system 16132 and the hoisting arm 16130 are moved together towards the lower end of the vertical member 16118. This movement may be unpowered in some embodiments, while in other embodiments it may be powered by a motor, linear actuator, or other mechanism described herein.

上記で言及するように、分離できる巻上システムの第2の例16116は、製作施設の床の上に移動機構16126を位置決めすることにより製作施設の床の周囲で動かすことができる。いくつかの実施形態では、移動機構16126は、図21に見える折りたためる1組の車輪16149を含んでよい。図21では、折りたためる1組の車輪16149は、折りたたまれておらず、これにより、分離できる巻上システムの第2の例16116の4つの車輪すべてを床の上に位置決めし、床により支持することが可能になるので、床の上の周囲で動くことができる。折りたためる1組の車輪16149は、ツールの取外し可能な構成要素の荷重を支持することを意図するのではなく、むしろ、分離できる巻上システムの第2の例16116を動かすのを支援することを意図する。図18Aでは、折りたためる1組の車輪16149は、据え付けられた分離できる巻上システムの第2の例16116の占有面積を低減するために、折りたたまれて、または折り曲げられて見える。 As mentioned above, the second example 16116 of the separable hoisting system can be moved around the floor of the production facility by positioning the moving mechanism 16126 on the floor of the production facility. In some embodiments, the moving mechanism 16126 may include a set of collapsible wheels 16149 visible in FIG. In FIG. 21, a set of foldable wheels 16149 is not folded so that all four wheels of the second example 16116 of the separable hoisting system are positioned on the floor and supported by the floor. You can move around on the floor as you can. The foldable set of wheels 16149 is not intended to support the load of the removable components of the tool, but rather to help move the second example 16116 of the separable hoisting system. Intended. In FIG. 18A, a set of foldable wheels 16149 appears to be folded or folded to reduce the occupied area of the second example 16116 of the installed separable hoisting system.

上記で明言するように、ツールは、ツールの半導体処理チャンバのすべてにアクセスするために、分離できる巻上システムの第2の例をツールのさまざまな場所に位置決めすることができるように追加の上部付着点および下部付着点を含んでよい。たとえば図20Bを参照すると、ツール1700は、場所20150の周囲など、半導体処理チャンバの一部またはすべての周囲に追加の上部付着点および下部付着点を含んでよい。これにより、分離できる巻上システムの第2の例16116は、半導体処理チャンバ1704A~1704Eのすべての上の取外し可能な構成要素にアクセスすることができるようにこれらの場所20150の各々でツール1700に分離可能に接続されることが可能になる。いくつかの実施形態では、各対の上部付着点および下部付着点は、分離できる巻上システムの第2の例16116が1つの場所に位置決めされて、並んだチャンバの両方の取外し可能な構成要素にアクセスできるように2つの並んだチャンバのほぼ間に位置決めされてよい。例えば、図20Aを参照すると、分離できる巻上システムの第2の例16116が付着する上部付着点および下部付着点は、分離できる巻上システムの第2の例16116が半導体処理チャンバ1704Dおよび1704Eの両方の取外し可能な構成要素にアクセスすることができるようにする。したがって、いくつかのそのような実施形態では、上部付着点および下部付着点の対の数は、複数の半導体処理チャンバの数よりも1つ少なくてよい。実例としての図20Aおよび図20Bでは、複数の半導体処理チャンバ1702は、5つのチャンバ1704A~1704Eを有し、4対の上部付着点および下部付着点の対17112A~17112Dおよび17113A~17113Dを描く図17で理解することができるように、4対の上部付着点および下部付着点は、それぞれ場所20150でこれらのチャンバの各々のほぼ間で位置決めされてよい。 As stated above, the tool has an additional top so that a second example of a separable hoisting system can be positioned at different locations in the tool to access all of the tool's semiconductor processing chambers. The attachment point and the lower attachment point may be included. For example, referring to FIG. 20B, the tool 1700 may include additional top and bottom attachment points around some or all of the semiconductor processing chamber, such as around location 20150. Thereby, a second example 16116 of the separable hoisting system is attached to the tool 1700 at each of these locations 20150 so that the removable components on all of the semiconductor processing chambers 1704A-1704E can be accessed. It will be possible to connect in a separable manner. In some embodiments, each pair of upper and lower attachment points is a removable component of both side-by-side chambers, with a second example 16116 of separable hoisting systems positioned in one place. It may be positioned approximately between two side-by-side chambers for access to. For example, referring to FIG. 20A, the upper and lower attachment points to which the second example 16116 of the separable hoisting system adheres are such that the second example 16116 of the separable hoisting system has the semiconductor processing chambers 1704D and 1704E. Allow access to both removable components. Therefore, in some such embodiments, the number of pairs of upper and lower attachment points may be one less than the number of multiple semiconductor processing chambers. As an example, in FIGS. 20A and 20B, the plurality of semiconductor processing chambers 1702 have five chambers 1704A-1704E and draws four pairs of upper and lower attachment points pairs 17112A-17112D and 17113A-17113D. As can be seen in 17, the four pairs of upper and lower attachment points may be positioned approximately between each of these chambers at location 20150, respectively.

上記で明言するように、分離できる巻上システムとツールの間の接続は再構成可能であり、それにより、システムは、ツールを付着させ、破壊手段なしにツールからシステムを取り除くことができるようになる。これは、ボルト、ピン、ねじ、クランプ、または一緒に固定し、例えば溶接により引き起こされてよいなどの、ツールまたはシステムの破壊なしに取り除くことができる他の特徴を使用することを含んでよい。したがって、分離できる巻上システムは、点検または修理のために必要とされる時間などの限られた時間の間、位置の中に動かされ、ツールに付着することができ、その後、取り外されて、他のツールまで、または施設内部の別個の保管場所まで動かすことができる。 As stated above, the connection between the separable hoisting system and the tool is reconfigurable so that the system can attach the tool and remove the system from the tool without destructive means. Become. This may include using bolts, pins, screws, clamps, or other features that can be fixed together and removed without breaking the tool or system, for example may be caused by welding. Therefore, the separable hoisting system can be moved into the position and attached to the tool for a limited time, such as the time required for inspection or repair, and then removed, It can be moved to other tools or to a separate storage location inside the facility.

分離できる巻上システムはまた、巻上アームおよび安全保護装置に沿って経路設定された電源コードなどの、上記で記述する安全特徴のいずれも含んでよい。 The separable hoisting system may also include any of the safety features described above, such as a hoisting arm and a power cord routed along the safety protection device.

本開示で列挙する請求項に加えて、以下の追加の実装形態は、本開示の範囲に入ると理解されたい。 In addition to the claims listed in this disclosure, the following additional implementations are to be understood to fall within the scope of this disclosure.

実装形態1:半導体処理ツールであって、支持フレームワークと、第1の軸に沿って配列された第1の複数の半導体処理チャンバと、支持フレームワークに接続された第1の付着点と、各半導体処理チャンバが支持フレームワークに対して固定して搭載された基底部分を有し、かつ1つまたは複数の巻上特徴を伴う取外し可能な上部カバーを有する第1の分離できる巻上システムとを含み、第1の分離できる巻上システムは、相補的付着点を有する上端部および移動機構を有する下端部を伴う垂直部材を含み、相補的付着点は、第1の付着点に分離できるように接続され、移動機構は床により支持され、第1の分離できる巻上システムは、垂直部材に接続された、1つまたは複数のリンクを有する巻上アームをさらに含み、巻上アームは、第1の軸に実質的に垂直な垂直軸を中心にして枢動するように構成され、巻上アームは、第1の複数の半導体処理チャンバの取外し可能な上部カバーの中のいずれかの巻上特徴と係合するように構成された巻上特徴係合インタフェースを含む半導体処理ツール。 Embodiment 1: A semiconductor processing tool, a support framework, a first plurality of semiconductor processing chambers arranged along a first axis, and a first attachment point connected to the support framework. With a first separable hoisting system, each semiconductor processing chamber has a base portion fixedly mounted to a support framework and has a removable top cover with one or more hoisting features. The first separable hoisting system comprises a vertical member with an upper end having a complementary attachment point and a lower end having a moving mechanism so that the complementary attachment point can be separated into a first attachment point. Connected to, the moving mechanism is supported by the floor, the first separable hoisting system further comprises a hoisting arm with one or more links connected to a vertical member, the hoisting arm is a second. Configured to pivot about a vertical axis that is substantially perpendicular to one axis, the hoisting arm is hoisting any of the removable top covers of the first plurality of semiconductor processing chambers. A semiconductor processing tool that includes a hoist feature engagement interface configured to engage the feature.

実装形態2:実装形態1の半導体処理ツールであって、第1の分離できる巻上システムは、支持フレームワークに対して垂直に、垂直軸に平行な方向に巻上アームを並進させるように構成された垂直並進システムをさらに含む半導体処理ツール。 Mounting Form 2: The semiconductor processing tool of Mounting Form 1, the first separable hoisting system, is configured to translate the hoisting arm in a direction parallel to the vertical axis and perpendicular to the support framework. A semiconductor processing tool that further includes a vertical translational system.

実装形態3:実装形態2の半導体処理ツールであって、第1の垂直並進システムは、第1の垂直並進システムに第1の機械的入力を提供するように構成されたモータを含み、第1の機械的入力は、垂直部材に沿って巻上アームを並進させる半導体処理ツール。 Mount 3: The semiconductor processing tool of Mount 2, wherein the first vertical translation system includes a motor configured to provide a first mechanical input to the first vertical translation system, the first. The mechanical input is a semiconductor processing tool that translates the hoisting arm along a vertical member.

実装形態4:実装形態2の半導体処理ツールであって、第1の垂直並進システムは、垂直部材に沿って単一体として巻上アームと一緒に動くように構成された半導体処理ツール。 Mounting Form 4: The semiconductor processing tool according to the mounting form 2, wherein the first vertical translation system is a semiconductor processing tool configured to move together with a hoisting arm as a single body along a vertical member.

実装形態5:実装形態1の半導体処理ツールであって、移動機構は、折りたためる車輪を含む半導体処理ツール。 Mounting form 5: The semiconductor processing tool of mounting form 1, wherein the moving mechanism is a semiconductor processing tool including a foldable wheel.

実装形態6:半導体処理ツールであって、上部付着点を有する支持フレームワークと、上部付着点の下方で垂直にずれた下部付着点と、第1の軸に沿って配列された第1の複数の半導体処理チャンバと、各半導体処理チャンバが支持フレームワークに対して固定して搭載された基底部分を有し、かつ1つまたは複数の巻上特徴を伴う取外し可能な構成要素を有する分離できる巻上システムとを含み、分離できる巻上システムは、高い付着点を有する上端部、最下部付着点を有する下端部、および移動機構を伴う垂直部材を含み、高い付着点は、上部付着点に分離できるように接続され、最下部付着点は、下部付着点に分離できるように接続され、分離できる巻上システムは、第1の軸に実質的に垂直な垂直軸を中心にして枢動するように構成された、1つまたは複数のリンクを有する巻上アームと、巻上アームを支持フレームワークに対して垂直に、垂直軸に平行な方向に並進させるように構成された垂直並進システムとをさらに含み、巻上アームは、第1の複数の半導体処理チャンバの取外し可能な構成要素の中のいずれかの巻上特徴と係合するように構成された巻上特徴係合インタフェースを含む半導体処理ツール。 Embodiment 6: A semiconductor processing tool, a support framework having an upper attachment point, a lower attachment point vertically offset below the upper attachment point, and a first plurality arranged along a first axis. A separable winding having a semiconductor processing chamber and a base portion where each semiconductor processing chamber is fixedly mounted to a support framework and has removable components with one or more hoisting features. The hoisting system, including and separable from the upper system, includes an upper end with a high attachment point, a lower end with a bottom attachment point, and a vertical member with a moving mechanism, with the high attachment point separated into an upper attachment point. Connected so that the bottom attachment point is separably connected to the bottom attachment point, and the separable hoisting system is pivoted around a vertical axis that is substantially perpendicular to the first axis. A hoisting arm with one or more links configured in a vertical translation system configured to translate the hoisting arm perpendicular to the support framework and in a direction parallel to the vertical axis. Further included, the hoisting arm comprises a hoisting feature engaging interface configured to engage any hoisting feature among the removable components of the first plurality of semiconductor processing chambers. tool.

実装形態7:実装形態6の半導体処理ツールであって、垂直並進システムは、垂直並進システムに第1の機械的入力を提供するように構成されたモータを含み、第1の機械的入力は、巻上アームを垂直軸に平行な方向に並進させる半導体処理ツール。 Mount 7: A semiconductor processing tool of mount 6, wherein the vertical translation system includes a motor configured to provide a first mechanical input to the vertical translation system, where the first mechanical input is. A semiconductor processing tool that translates the hoisting arm in a direction parallel to the vertical axis.

実装形態8:実装形態7の半導体処理ツールであって、電源をさらに含み、分離できる巻上システムは、電源に接続され、かつ巻上アームに沿って経路設定され、かつコネクタにより終端された電気制御ケーブルをさらに含み、各取外し可能な構成要素は、コネクタと接続可能なように構成された電気的インタフェースをさらに含み、電気制御ケーブルは、コネクタ、および巻上アームの巻上特徴係合インタフェースがそれぞれ一度に半導体処理チャンバの1つだけの電気的インタフェースおよび巻上特徴とだけ同時に係合可能な長さからなる半導体処理ツール。 Mount 8: The semiconductor processing tool of mount 7, the hoisting system further including and separable from the power supply, is electrical connected to the power supply and routed along the hoisting arm and terminated by a connector. It further includes a control cable, each removable component further including an electrical interface configured to connect to the connector, the electrical control cable has a connector, and a hoisting arm hoisting feature engagement interface. A semiconductor processing tool consisting of a length that can be simultaneously engaged with only one electrical interface and hoisting feature of the semiconductor processing chamber, each at a time.

実装形態9:実装形態8の半導体処理ツールであって、1つまたは複数のプロセッサと、1つまたは複数の非一時的記憶素子とを備えるコントローラをさらに含み、非一時的記憶素子は、1つまたは複数のプロセッサを制御して、各半導体処理チャンバの動作状態に関する情報を受信し、電気的インタフェースにより半導体処理チャンバの1つに、その半導体処理チャンバが人に安全な状態にあることをその半導体処理チャンバの動作状態に関する情報が示すときだけ垂直並進システムを動作させる第1の作動信号を提供させる命令を記憶する半導体処理ツール。 Embodiment 9: The semiconductor processing tool of Embodiment 8, further comprising a controller comprising one or more processors and one or more non-temporary storage elements, one non-temporary storage element. Or control multiple processors to receive information about the operating state of each semiconductor processing chamber, and use an electrical interface to tell one of the semiconductor processing chambers that the semiconductor processing chamber is in a human-safe state. A semiconductor processing tool that stores instructions that provide a first operating signal to operate a vertical translational system only when information about the operating state of the processing chamber indicates.

実装形態10:実装形態8の半導体処理ツールであって、取外し可能な構成要素は、電気ケーブルを通して電源から電力を受信する半導体処理ツール。 Mounting Form 10: The semiconductor processing tool according to the mounting form 8, the removable component is a semiconductor processing tool that receives electric power from a power source through an electric cable.

実装形態11:実装形態7の半導体処理ツールであって、垂直並進システムは、直線ボールねじアクチュエータ、油圧アクチュエータ、ラックとピニオンを用いたアクチュエータ、およびケーブル巻上装置である半導体処理ツール。 Mounting Form 11: The semiconductor processing tool according to the mounting form 7, wherein the vertical translation system is a linear ball screw actuator, a hydraulic actuator, an actuator using a rack and a pinion, and a cable hoisting device.

実装形態12:実装形態6の半導体処理ツールであって、分離できる巻上システムは、第1の複数の半導体処理チャンバの取外し可能な構成要素の中のいずれかの巻上特徴と係合し、かつ第1の複数の半導体処理チャンバの取外し可能な上部カバーの中の1つの巻上特徴と係合しないときに第1の垂直並進システムが第1の巻上アームを垂直に並進させるのを防止するように構成された第1のインターロックをさらに含む半導体処理ツール。 Mount 12: The semiconductor processing tool of mounting form 6, the separable hoisting system, engages with any hoisting feature among the removable components of the first plurality of semiconductor processing chambers. And prevent the first vertical translation system from vertically translating the first hoisting arm when not engaging with one hoisting feature in the removable top cover of the first plurality of semiconductor processing chambers. A semiconductor processing tool further comprising a first interlock configured to do so.

実装形態13:実装形態6の半導体処理ツールであって、移動機構は、4つの車輪を備える半導体処理ツール。 Mounting form 13: A semiconductor processing tool according to mounting form 6, wherein the moving mechanism is a semiconductor processing tool including four wheels.

実装形態14:実装形態6の半導体処理ツールであって、移動機構は、折りたためる1組の車輪を備える半導体処理ツール。 Mounting form 14: A semiconductor processing tool according to mounting form 6, wherein the moving mechanism is a semiconductor processing tool including a set of foldable wheels.

実装形態15:実装形態6の半導体処理ツールであって、第1の垂直並進システムは、垂直部材に沿って単一体として巻上アームと一緒に動くように構成された半導体処理ツール。 Mounting Form 15: The semiconductor processing tool of mounting form 6, wherein the first vertical translation system is a semiconductor processing tool configured to move together with a hoisting arm as a single body along a vertical member.

実装形態16:実装形態15の半導体処理ツールであって、垂直部材は、第1の垂直並進システムを動かすように構成されたスライドレールをさらに含む半導体処理ツール。 Mounting Form 16: A semiconductor processing tool according to mounting form 15, wherein the vertical member further includes a slide rail configured to move a first vertical translation system.

実装形態17:実装形態6の半導体処理ツールであって、移動機構は、下部付着点および上部付着点に接続されたとき、床により支持されない半導体処理ツール。 Mounting Form 17: A semiconductor processing tool according to mounting form 6, wherein the moving mechanism is not supported by the floor when connected to the lower attachment point and the upper attachment point.

実装形態18:実装形態6の半導体処理ツールであって、移動機構は、下部付着点および上部付着点に接続されたとき、床により支持される半導体処理ツール。 Mounting Form 18: A semiconductor processing tool according to mounting form 6, wherein the moving mechanism is a semiconductor processing tool supported by a floor when connected to a lower attachment point and an upper attachment point.

実装形態19:実装形態6の半導体処理ツールであって、下部付着点は、複数の処理チャンバの基底部分の下方で垂直にずれた半導体処理ツール。 Mounting Form 19: A semiconductor processing tool according to mounting form 6, wherein the lower attachment point is vertically displaced below the base portion of a plurality of processing chambers.

実装形態20:実装形態6の半導体処理ツールであって、支持フレームワークは、複数の上部付着点をさらに含み、ツールは、複数の上部付着点の下方でずれた複数の下部付着点をさらに備え、複数の半導体処理チャンバは、Nの処理チャンバを含み、複数の上部付着点は、N-1の上部付着点を含み、複数の下部付着点は、N-1の下部付着点を含む半導体処理ツール。 Mount 20: The semiconductor processing tool of mounting form 6, wherein the support framework further comprises a plurality of top tack points, and the tool further comprises a plurality of bottom tack points displaced below the plurality of top tack points. , The plurality of semiconductor processing chambers includes N processing chambers, the plurality of upper attachment points includes the upper attachment points of N-1, and the plurality of lower attachment points includes the lower attachment points of N-1. tool.

実装形態21:実装形態6の半導体処理ツールであって、巻上アームは、3つ以上のリンク、二重肩継手、および二重エルボ継手をさらに含む半導体処理ツール。 Mount 21: A semiconductor processing tool according to mounting form 6, wherein the hoisting arm is a semiconductor processing tool further including three or more links, a double shoulder joint, and a double elbow joint.

実装形態22:実装形態6の半導体処理ツールであって、取外し可能な構成要素は基板ではない半導体処理ツール。 Mounting form 22: A semiconductor processing tool according to mounting form 6, wherein the removable component is not a substrate.

実装形態23:実装形態6の半導体処理ツールであって、巻上アームは、基板を支持するように構成されない半導体処理ツール。 Mounting form 23: A semiconductor processing tool according to mounting form 6, wherein the hoisting arm is not configured to support a substrate.

実装形態24:実装形態23の半導体処理ツールであって、巻上特徴係合インタフェースは、基板を支持するように構成されない半導体処理ツール。 Mounting Form 24: A semiconductor processing tool according to mounting form 23, wherein the hoisting feature engagement interface is not configured to support a substrate.

本明細書で記述するツールの特徴は、従来の持ち上げ機構と比較して取外し可能な構成要素を持ち上げて動かすための数多くの有利な点を提供する。これらの特徴によりクラスタツールを一緒により近く位置決めすることができるようになるのは、自立型巻上機構を収容するためにFabの床空間を追加で必要とせず、ツールの占有面積は、これらの特徴を含むことにより拡張されることも、わずかにだけでも拡張されることもなく、取外し可能な構成要素は、容易にアクセスして、迅速に動かすことができ、それにより、点検および保守のためのツールの動作不能時間は低減されるためである。移動機構およびコントローラを使用してキャリッジおよび巻上アームの動きを制御する能力はまた、取外し可能な構成要素の制御および動きをより効率的に、高速に、かつ安全に可能にしてよい。 The features of the tools described herein provide a number of advantages for lifting and moving removable components as compared to conventional lifting mechanisms. These features allow the cluster tools to be positioned closer together, requiring no additional Fab floor space to accommodate the self-contained hoisting mechanism, and the tool's footprint is these. Detachable components, neither extended by including features, nor even slightly expanded, are easily accessible and quick to move, thereby for inspection and maintenance. This is because the inoperability time of the tool is reduced. The ability to control the movement of the carriage and hoisting arm using a moving mechanism and controller may also enable more efficient, faster and safer control and movement of removable components.

本開示の内容が明確にそうではないと要求しない限り、明細書および特許請求の範囲の全体を通して、「comprise(備える)」、「comprising(備える)」などの用語は、排他的または網羅的な意味とは対照的に、包括的意味で、すなわち「含むが限定しない」の意味で、解釈されるべきである。単数または複数を使用する単語はまた、一般にそれぞれ複数または単数を含む。追加で、「本明細書で」、「本明細書の下で」、「上記の」、「以下の」という単語、および類似の意味の単語は、全体として本出願を指し、本出願の任意の特定の部分を指すものではない。2つ以上の品目からなるリストを参照して単語「or(または)」を使用するとき、その単語は、単語の以下の解釈、すなわち、リスト内の品目のいずれも、リスト内の品目のすべて、およびリスト内の品目の任意の組合せのうちすべてにわたる。用語「implementation(実装形態)」は、本明細書で記述する技法および方法の実装形態だけではなく、構造を具体化し、ならびに/または本明細書で記述する技法および/もしくは方法を組み入れる物理的対象物も指す。本明細書では用語「実質的に」は、特に断りのない限り、参照値の5%の範囲内を意味する。たとえば、実質的に垂直なは、±5%の範囲内で垂直であることを意味する。 Unless the content of this disclosure explicitly requires otherwise, terms such as "comprise" and "comprising" are exclusive or exhaustive throughout the specification and claims. In contrast to meaning, it should be interpreted in a comprehensive sense, i.e., in the sense of "including but not limiting". Words that use singular or plural also generally include plural or singular, respectively. In addition, the words "within the present specification", "under the present specification", "above", "below", and words with similar meanings refer to the present application as a whole and are optional in the present application. It does not refer to a specific part of. When the word "or (or)" is used with reference to a list of two or more items, the word is the following interpretation of the word, that is, any of the items in the list is all of the items in the list. , And any combination of items in the list. The term "implementation" is a physical object that embodies the structure and / or incorporates the techniques and / or methods described herein, as well as the implementation forms of the techniques and methods described herein. Also refers to things. As used herein, the term "substantially" means within 5% of the reference value unless otherwise noted. For example, substantially vertical means vertical within a range of ± 5%.

Claims (37)

半導体処理ツールであって、
上部支持フレームワークと、
第1の軸に沿って配列された第1の複数の半導体処理チャンバと、
前記上部支持フレームワークにより固定して支持された、前記第1の軸に実質的に平行な第2の軸に沿って伸展する第1の直線誘導システムと、
第1のキャリッジと
を備え、
各前記半導体処理チャンバは、前記上部支持フレームワークに対して固定して搭載された基底部分を有し、1つまたは複数の巻上特徴を伴う取外し可能な上部カバーを有し、
前記第1のキャリッジは、1つまたは複数のリンクを伴う第1の巻上アームを含み、
前記第1の巻上アームは、前記第2の軸に実質的に垂直な垂直軸を中心にして枢動するように構成され、
前記第1のキャリッジは、前記第1の直線誘導システムと移動可能に係合して、前記第1の直線誘導システムに対して前記第2の軸に沿って並進するように構成され、
前記第1の巻上アームは、前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中のいずれかの前記巻上特徴と係合するように構成された巻上特徴係合インタフェースを含み、
前記第1のキャリッジおよび前記第1の巻上アームは、前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中のいずれかの前記巻上特徴と係合するように前記巻上特徴係合インタフェースを動かすことができるように移動可能である半導体処理ツール。
It ’s a semiconductor processing tool.
Top support framework and
A first plurality of semiconductor processing chambers arranged along a first axis, and
A first linear guidance system fixed and supported by the upper support framework and extending along a second axis substantially parallel to the first axis.
Equipped with a first carriage
Each said semiconductor processing chamber has a base portion fixed and mounted relative to the top support framework and has a removable top cover with one or more hoisting features.
The first carriage comprises a first hoisting arm with one or more links.
The first hoisting arm is configured to pivot about a vertical axis that is substantially perpendicular to the second axis.
The first carriage is configured to movably engage the first linear guidance system and translate along the second axis with respect to the first linear guidance system.
The first hoisting arm is a hoisting feature engaging interface configured to engage any of the hoisting features in the removable top cover of the first plurality of semiconductor processing chambers. Including
The first carriage and the first hoisting arm are hoisted so as to engage any of the hoisting features in the removable top cover of the first plurality of semiconductor processing chambers. Features A semiconductor processing tool that is movable so that the engagement interface can be moved.
請求項1に記載の半導体処理ツールであって、前記第1のキャリッジは、前記第1の巻上アームを前記第1の直線誘導システムに対して垂直に、前記垂直軸に平行な方向に並進させる第1の垂直並進システムをさらに含む半導体処理ツール。 The semiconductor processing tool according to claim 1, wherein the first carriage translates the first hoisting arm perpendicular to the first linear guidance system and in a direction parallel to the vertical axis. A semiconductor processing tool that further includes a first vertical translation system to make it. 請求項2に記載の半導体処理ツールであって、電源をさらに備え、
前記第1の垂直並進システムは、前記第1の垂直並進システムに第1の機械的入力を提供するように構成されたモータを含み、前記第1の機械的入力は、前記第1の巻上アームを垂直に、前記垂直軸に平行な方向に並進させ、
前記第1のキャリッジは、前記電源に接続され、かつ前記第1の巻上アームに沿って経路設定され、かつコネクタにより終端される電気制御ケーブルをさらに含み、
各前記取外し可能な上部カバーは、前記コネクタと接続可能なように構成された電気的インタフェースをさらに含み、
前記電気制御ケーブルは、前記コネクタ、および前記第1の巻上アームの前記巻上特徴係合インタフェースがそれぞれ一度に前記半導体処理チャンバの中の1つだけの前記電気的インタフェースおよび前記巻上特徴とだけ同時に係合可能である長さからなる半導体処理ツール。
The semiconductor processing tool according to claim 2, further comprising a power source.
The first vertical translation system includes a motor configured to provide a first mechanical input to the first vertical translation system, the first mechanical input being the first hoisting. Translate the arm vertically, in a direction parallel to the vertical axis,
The first carriage further comprises an electrical control cable connected to the power source and routed along the first hoisting arm and terminated by a connector.
Each removable top cover further includes an electrical interface configured to connect with the connector.
The electrical control cable is such that the connector and the hoisting feature engaging interface of the first hoisting arm are each with only one electrical interface and the hoisting feature in the semiconductor processing chamber at a time. A semiconductor processing tool consisting of a length that can only be engaged at the same time.
請求項3に記載の半導体処理ツールであって、1つまたは複数のプロセッサと、1つまたは複数の非一時的記憶素子とを備えるコントローラをさらに備え、前記1つまたは複数の非一時的記憶素子は、前記1つまたは複数のプロセッサを制御して、
各前記半導体処理チャンバの動作状態に関する情報を受信し、
前記電気的インタフェースにより前記半導体処理チャンバの中の1つに、その半導体処理チャンバの前記動作状態に関する前記情報がその半導体処理チャンバが人に安全な状態にあることを示すときだけ前記第1の垂直並進システムを動作させる第1の作動信号を提供させる
ための命令を記憶する半導体処理ツール。
The semiconductor processing tool according to claim 3, further comprising a controller including one or more processors and one or more non-temporary storage elements, said one or more non-temporary storage elements. Controls the one or more processors
Receives information about the operating state of each semiconductor processing chamber,
The first vertical only when the information about the operating state of the semiconductor processing chamber indicates to one of the semiconductor processing chambers by the electrical interface that the semiconductor processing chamber is in a human-safe state. A semiconductor processing tool that stores instructions for providing a first actuation signal to operate a translational system.
請求項3に記載の半導体処理ツールであって、
前記第1の直線誘導システムに沿った前記第1のキャリッジの位置に関するデータを生成するように構成された第1のキャリッジ位置センサと、
1つまたは複数のプロセッサと、1つまたは複数の非一時的記憶素子とを備えるコントローラであって、前記1つまたは複数の非一時的記憶素子は、前記1つまたは複数のプロセッサを制御して、
前記第1のキャリッジ位置センサが生成した前記データに基づき、前記第1の直線誘導システムに沿った前記第1のキャリッジの前記位置を決定し、
前記第1のキャリッジの前記位置の前記決定に基づき、一度に前記第1の複数の半導体処理チャンバの中の1つの前記半導体処理チャンバの前記電気的インタフェースだけに電力を供給させる
ための命令を記憶するコントローラと
をさらに備える半導体処理ツール。
The semiconductor processing tool according to claim 3.
A first carriage position sensor configured to generate data about the position of the first carriage along the first linear guidance system.
A controller comprising one or more processors and one or more non-temporary storage elements, wherein the one or more non-temporary storage elements control the one or more processors. ,
Based on the data generated by the first carriage position sensor, the position of the first carriage along the first linear guidance system is determined.
Based on the determination of the position of the first carriage, a command for supplying power to only the electrical interface of one of the first semiconductor processing chambers at a time is stored. A semiconductor processing tool further equipped with a controller.
請求項5に記載の半導体処理ツールであって、前記第1の複数の半導体処理チャンバの中の前記半導体処理チャンバに対する前記第1の巻上アームの位置に関するデータを生成するように構成されたアーム位置センサをさらに備え、前記1つまたは複数の非一時的記憶素子は、前記1つまたは複数のプロセッサを制御して、
前記アーム位置センサが生成したデータに基づき、前記第1の複数の半導体処理チャンバの中の前記半導体処理チャンバの各々に関して前記第1の巻上アームの前記位置を決定し、
前記第1の巻上アームの前記位置の前記決定、および前記第1のキャリッジの前記位置の前記決定に基づき、前記第1の巻上アームの前記巻上特徴係合インタフェースに最も近い前記第1の複数の半導体処理チャンバの中の前記半導体処理チャンバの前記電気的インタフェースだけに電力を供給させる
ための命令をさらに記憶する半導体処理ツール。
The semiconductor processing tool according to claim 5, wherein the arm is configured to generate data regarding the position of the first hoisting arm with respect to the semiconductor processing chamber among the first plurality of semiconductor processing chambers. It further comprises a position sensor, wherein the one or more non-temporary storage elements control the one or more processors.
Based on the data generated by the arm position sensor, the position of the first hoisting arm is determined for each of the semiconductor processing chambers in the first plurality of semiconductor processing chambers.
Based on the determination of the position of the first hoisting arm and the determination of the position of the first carriage, the first hoisting feature closest to the hoisting feature engagement interface of the first hoisting arm. A semiconductor processing tool that further stores instructions for supplying power only to the electrical interface of the semiconductor processing chamber among a plurality of semiconductor processing chambers.
請求項5に記載の半導体処理ツールであって、前記1つまたは複数の非一時的記憶素子は、前記1つまたは複数のプロセッサを制御して、前記第1のキャリッジを通過し、かつ前記垂直軸に平行で前記第2の軸に垂直な垂直面の第1の側だけで前記第1の巻上アームを動かすための命令をさらに記憶する半導体処理ツール。 The semiconductor processing tool according to claim 5, wherein the one or more non-temporary storage elements control the one or more processors to pass through the first carriage and the vertical. A semiconductor processing tool that further stores commands for moving the first hoisting arm only on the first side of a vertical plane parallel to the axis and perpendicular to the second axis. 請求項3に記載の半導体処理ツールであって、
前記取外し可能な上部カバーの中の1つの前記巻上特徴と前記第1の巻上アームの前記巻上特徴係合インタフェースが係合したかどうかに関するデータを生成するように構成された係合センサと、
1つまたは複数のプロセッサと、1つまたは複数の非一時的記憶素子とを備えるコントローラであって、前記1つまたは複数の非一時的記憶素子は、前記1つまたは複数のプロセッサを制御して、
前記係合センサが生成した前記データに基づき、前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中の1つの前記巻上特徴と前記第1の巻上アームの前記巻上特徴係合インタフェースが係合したかどうかを判断し、
前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中の1つの前記巻上特徴と前記巻上特徴係合インタフェースが係合したと判断したことに応答してその前記取外し可能な上部カバーを包含する前記第1の複数の半導体処理チャンバの中の前記半導体処理チャンバの前記電気的インタフェースだけに電力を供給させる
ための命令を記憶するコントローラと
をさらに備える半導体処理ツール。
The semiconductor processing tool according to claim 3.
An engagement sensor configured to generate data as to whether one of the hoisting features in the removable top cover and the hoisting feature engaging interface of the first hoisting arm are engaged. When,
A controller comprising one or more processors and one or more non-temporary storage elements, wherein the one or more non-temporary storage elements control the one or more processors. ,
Based on the data generated by the engagement sensor, one of the hoisting features in the removable top cover of the first plurality of semiconductor processing chambers and the hoisting feature of the first hoisting arm. Determine if the engagement interface is engaged and
The removable top cover of the first plurality of semiconductor processing chambers is removable in response to the determination that one of the hoisting features and the hoisting feature engaging interface is engaged. A semiconductor processing tool further comprising a controller for storing instructions for supplying power only to the electrical interface of the semiconductor processing chamber among the first plurality of semiconductor processing chambers including the top cover.
請求項3に記載の半導体処理ツールであって、前記取外し可能な上部カバーは、前記電気ケーブルを通して前記電源から電力を受信する半導体処理ツール。 The semiconductor processing tool according to claim 3, wherein the removable upper cover is a semiconductor processing tool that receives electric power from the power source through the electric cable. 請求項2に記載の半導体処理ツールであって、前記第1のキャリッジは、
前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中のいずれかの前記巻上特徴と係合し、
前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中の1つの前記巻上特徴と係合しないとき、前記第1の垂直並進システムが前記第1の巻上アームを垂直に並進させるのを防止する
ように構成された第1のインターロックをさらに含む半導体処理ツール。
The semiconductor processing tool according to claim 2, wherein the first carriage is
Engage with the hoisting feature of any of the removable top covers of the first plurality of semiconductor processing chambers.
The first vertical translation system translates the first hoisting arm vertically when it does not engage one of the hoisting features in the removable top cover of the first plurality of semiconductor processing chambers. A semiconductor processing tool that further includes a first interlock configured to prevent it from happening.
請求項2に記載の半導体処理ツールであって、前記第1の垂直並進システムは、直線ボールねじアクチュエータ、油圧アクチュエータ、ラックとピニオンを用いたアクチュエータ、およびケーブル巻上装置からなるグループから選択される半導体処理ツール。 The semiconductor processing tool according to claim 2, wherein the first vertical translation system is selected from a group consisting of a linear ball screw actuator, a hydraulic actuator, an actuator using a rack and a pinion, and a cable hoisting device. Semiconductor processing tool. 請求項2に記載の半導体処理ツールであって、1つまたは複数のプロセッサと、1つまたは複数の非一時的記憶素子とを備えるコントローラをさらに備え、
前記第1の直線誘導システムは、前記第2の軸に沿って前記第1のキャリッジを並進させるように構成されたキャリッジ並進システムをさらに含み、
前記第1のキャリッジは、前記垂直軸に垂直な平面内で前記第1の巻上アームを動かすように構成された巻上アーム移動システムをさらに含み、
前記1つまたは複数の非一時的記憶素子は、前記1つまたは複数のプロセッサを制御して、
前記キャリッジ並進システムに、前記第2の軸に沿って前記第1のキャリッジを動かすようにさせ、
前記巻上アーム移動システムおよび前記第1の垂直並進システムに、前記第1の巻上アームを動かして、前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中の1つの前記巻上特徴と前記巻上特徴係合インタフェースを係合させ、
前記取外し可能な上部カバーの中の前記1つの前記巻上特徴と前記巻上特徴係合インタフェースが係合したとき、前記第1の垂直並進システムに、その前記取外し可能な上部カバーを垂直に並進させ、
前記取外し可能な上部カバーの中の前記1つの前記巻上特徴と前記巻上特徴係合インタフェースが係合したとき、前記巻上アーム移動システムに、前記垂直軸に垂直な前記平面内でその前記取外し可能な上部カバーを並進させる
ための命令を記憶する半導体処理ツール。
The semiconductor processing tool according to claim 2, further comprising a controller including one or more processors and one or more non-temporary storage elements.
The first linear guidance system further comprises a carriage translation system configured to translate the first carriage along the second axis.
The first carriage further comprises a hoisting arm movement system configured to move the first hoisting arm in a plane perpendicular to the vertical axis.
The one or more non-temporary storage elements control the one or more processors.
The carriage translation system is made to move the first carriage along the second axis.
One of the windings in the removable top cover of the first plurality of semiconductor processing chambers by moving the first hoisting arm into the hoisting arm moving system and the first vertical translation system. Engage the upper feature with the hoist feature engagement interface.
When the one hoisting feature in the removable top cover engages with the hoisting feature engaging interface, the removable top cover is vertically translated into the first vertical translation system. Let me
When the one hoisting feature in the removable top cover and the hoisting feature engaging interface are engaged, the hoisting arm moving system has its said in a plane perpendicular to the vertical axis. A semiconductor processing tool that stores instructions for translating the removable top cover.
請求項12に記載の半導体処理ツールであって、前記1つまたは複数の非一時的記憶素子は、前記1つまたは複数のプロセッサを制御して、
前記取外し可能な上部カバーの中の前記1つの前記巻上特徴と前記巻上特徴係合インタフェースが係合したとき、前記巻上アーム移動システムおよび前記第1の垂直並進システムに、前記第1の巻上アームを動かして、その前記取外し可能な上部カバーの前記巻上特徴から前記巻上特徴係合インタフェースを切り離させる
ための命令をさらに記憶する半導体処理ツール。
The semiconductor processing tool according to claim 12, wherein the one or more non-temporary storage elements control the one or more processors.
When the one hoisting feature in the removable top cover and the hoisting feature engaging interface are engaged, the first vertical translation system is associated with the hoisting arm moving system and the first vertical translation system. A semiconductor processing tool that further stores instructions for moving the hoisting arm to disconnect the hoisting feature engagement interface from the hoisting feature of its removable top cover.
請求項12に記載の半導体処理ツールであって、前記1つまたは複数の非一時的記憶素子は、前記1つまたは複数のプロセッサを制御して、
前記取外し可能な上部カバーの中の前記1つの前記巻上特徴と前記巻上特徴係合インタフェースが係合したとき、前記キャリッジ並進システムおよび前記巻上アーム移動システムに、前記垂直軸に垂直な前記平面内でその取外し可能な上部カバーを並進させる
ための命令をさらに記憶する半導体処理ツール。
The semiconductor processing tool according to claim 12, wherein the one or more non-temporary storage elements control the one or more processors.
When the one hoisting feature in the removable top cover and the hoisting feature engaging interface are engaged, the carriage translation system and the hoisting arm moving system are perpendicular to the vertical axis. A semiconductor processing tool that further stores instructions for translating its removable top cover in a plane.
請求項1に記載の半導体処理ツールであって、
前記第1の複数の半導体処理チャンバの中の前記半導体処理チャンバは、ツール包絡面の内部にすべて配置され、
前記第1の巻上アームは、前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中のいずれも前記ツール包絡面の外側に動かすことができるように移動可能である半導体処理ツール。
The semiconductor processing tool according to claim 1.
The semiconductor processing chambers among the first plurality of semiconductor processing chambers are all arranged inside the tool envelope surface.
The first hoisting arm is a semiconductor processing tool that is movable such that any of the removable top covers of the first plurality of semiconductor processing chambers can be moved outside the tool envelope. ..
請求項1に記載の半導体処理ツールであって、
前記第1の直線誘導システムは、互いに平行であり且つ前記垂直軸に平行な方向に互いにずれた第1のレールおよび第2のレールをさらに含み、
前記第1のキャリッジは、前記第1のレールおよび前記第2のレールと同時に係合して、前記第1のレールおよび前記第2のレールと同時に係合している間、前記第1の直線誘導システムに対して前記第2の軸に沿って並進するように構成される半導体処理ツール。
The semiconductor processing tool according to claim 1.
The first linear guidance system further comprises a first rail and a second rail that are parallel to each other and offset from each other in a direction parallel to the vertical axis.
The first rail is engaged simultaneously with the first rail and the second rail, and while simultaneously engaged with the first rail and the second rail, the first straight line. A semiconductor processing tool configured to translate along the second axis with respect to the guidance system.
請求項16に記載の半導体処理ツールであって、前記第1のキャリッジは、前記第1の直線誘導システムの下方かつ前記第1の複数の半導体処理チャンバの前記基底部分の上方で、第1の巻上アームを前記第1の直線誘導システムに対して垂直に、前記垂直軸に平行な方向に並進させるように構成された第1の垂直並進システムをさらに含む半導体処理ツール。 The semiconductor processing tool according to claim 16, wherein the first carriage is below the first linear guidance system and above the base portion of the first plurality of semiconductor processing chambers. A semiconductor processing tool further comprising a first vertical translation system configured to translate the hoisting arm perpendicular to the first linear guidance system and in a direction parallel to the vertical axis. 請求項17に記載の半導体処理ツールであって、前記第1の垂直並進システムは、前記第1の直線誘導システムの上方で前記第1の巻上アームを垂直に並進させるようにさらに構成される半導体処理ツール。 17. The semiconductor processing tool of claim 17, wherein the first vertical translation system is further configured to vertically translate the first hoisting arm above the first linear guidance system. Semiconductor processing tool. 請求項1に記載の半導体処理ツールであって、
前記第1の直線誘導システムは、前記第1の複数の半導体処理チャンバの上方で垂直に、前記垂直軸に平行な方向にずれており、
前記第1のキャリッジは、前記第1の直線誘導システムの真下で垂直にずれている半導体処理ツール。
The semiconductor processing tool according to claim 1.
The first linear guidance system is displaced vertically above the first plurality of semiconductor processing chambers and in a direction parallel to the vertical axis.
The first carriage is a semiconductor processing tool that is vertically offset beneath the first linear guidance system.
請求項1に記載の半導体処理ツールであって、前記巻上特徴係合インタフェースは、前記巻上特徴係合インタフェースが前記垂直軸に垂直な2つ以上の軸を中心にして回転できるようにするように構成された継手を使用して前記第1の巻上アームの遠位端部と接続される半導体処理ツール。 The semiconductor processing tool according to claim 1, wherein the hoisting feature engaging interface allows the hoisting feature engaging interface to rotate about two or more axes perpendicular to the vertical axis. A semiconductor processing tool connected to the distal end of the first hoisting arm using a joint configured as described above. 請求項20に記載の半導体処理ツールであって、前記継手は球面継手である半導体処理ツール。 The semiconductor processing tool according to claim 20, wherein the joint is a spherical joint. 請求項20に記載の半導体処理ツールであって、前記継手は、前記巻上特徴係合インタフェースが前記垂直軸に平行な軸を中心にして回転できるようにするようにさらに構成された半導体処理ツール。 The semiconductor processing tool according to claim 20, wherein the joint is further configured to allow the hoisting feature engagement interface to rotate about an axis parallel to the vertical axis. .. 請求項1に記載の半導体処理ツールであって、
各前記取外し可能な上部カバーの前記巻上特徴は、1対のサドルポストを含み、
各前記サドルポストは、1対の垂直ライザーロッド、および前記垂直ライザーロッドの上限を定め、ライザーロッドの間に広がるサドルプレートを含み
各前記サドルプレートは、第1の機械的インタフェース特徴を含み、
各前記巻上特徴の前記サドルポストは、前記第1の機械的インタフェース特徴が第1の距離だけ互いに離して間隔を置いて配置されるように位置決めされ、
前記巻上特徴係合インタフェースは、前記第1の距離だけ離して間隔を置いて配置された2つの第2の機械的インタフェース特徴を伴う梁を含み、
各前第1の記機械的インタフェース特徴は、前記第2の機械的インタフェース特徴の中の1つに相補的である半導体処理ツール。
The semiconductor processing tool according to claim 1.
The hoisting feature of each of the removable top covers includes a pair of saddleposts.
Each said saddlepost includes a pair of vertical riser rods, and a saddle plate extending between the riser rods, each said saddle plate comprises a first mechanical interface feature.
The saddleposts of each of the hoisting features are positioned such that the first mechanical interface features are spaced apart from each other by a first distance.
The hoisting feature engaging interface comprises a beam with two second mechanical interface features spaced apart by the first distance.
Each pre-first mechanical interface feature is a semiconductor processing tool that is complementary to one of the second mechanical interface features.
請求項1に記載の半導体処理ツールであって、
前記第1の複数の半導体処理チャンバの中の各前記半導体処理チャンバは、無線周波数(radio frequency、RF)発生器、ポンプ、および低温ポンプのうちの1つからなるグループから選択される取外し可能な構成要素を含み、
各前記取外し可能な構成要素は、1つまたは複数の第2の巻上特徴を含み、
前記巻上アームの前記巻上特徴係合インタフェースは、前記第1の複数の半導体処理チャンバの前記取外し可能な構成要素の中のいずれかの前記第2の巻上特徴と係合するようにさらに構成され、
前記第1のキャリッジおよび前記第1の巻上アームは、前記第1の複数の半導体処理チャンバの前記取外し可能な構成要素の中のいずれかの前記第2の巻上特徴と係合するように前記巻上特徴係合インタフェースを動かすことができるように移動可能である半導体処理ツール。
The semiconductor processing tool according to claim 1.
Each of the semiconductor processing chambers in the first plurality of semiconductor processing chambers is removable and is selected from a group consisting of one of a radio frequency (radio frequency, RF) generator, a pump, and a low temperature pump. Including components,
Each removable component comprises one or more second hoisting features.
The hoisting feature engagement interface of the hoisting arm further engages with the second hoisting feature of any of the removable components of the first plurality of semiconductor processing chambers. Configured,
The first carriage and the first hoisting arm are such that they engage the second hoisting feature of any of the removable components of the first plurality of semiconductor processing chambers. A semiconductor processing tool that is movable so that the hoisting feature engagement interface can be moved.
請求項1に記載の半導体処理ツールであって、前記第1の巻上アームは、前記垂直軸に垂直な、前記巻上特徴係合インタフェースを含む直線区画を含む半導体処理ツール。 The semiconductor processing tool according to claim 1, wherein the first hoisting arm is a semiconductor processing tool including a linear section including the hoisting feature engaging interface perpendicular to the vertical axis. 請求項25に記載の半導体処理ツールであって、
前記第1の巻上アームは、前記第1の巻上アームが前記垂直軸を中心にして枢動するように構成された枢動区画を含み、
前記第1の巻上アームは、前記枢動区画と前記直線区画の間に広がり、かつ前記垂直軸に関して傾いた角度で配向される、角度を成す区画を含む半導体処理ツール。
The semiconductor processing tool according to claim 25.
The first hoisting arm includes a pivot compartment configured such that the first hoisting arm pivots about the vertical axis.
The first hoisting arm is a semiconductor processing tool comprising an angled compartment that extends between the pivot compartment and the linear compartment and is oriented at an angle tilted with respect to the vertical axis.
請求項1に記載の半導体処理ツールであって、前記第1の複数の半導体処理チャンバは、2つの前記半導体処理チャンバを備える半導体処理ツール。 The semiconductor processing tool according to claim 1, wherein the first plurality of semiconductor processing chambers are semiconductor processing tools including two semiconductor processing chambers. 請求項27に記載の半導体処理ツールであって、前記第1の複数の半導体処理チャンバは、3つの前記半導体処理チャンバを備える半導体処理ツール。 The semiconductor processing tool according to claim 27, wherein the first plurality of semiconductor processing chambers are semiconductor processing tools including three semiconductor processing chambers. 請求項28に記載の半導体処理ツールであって、前記第1の複数の半導体処理チャンバは、5つの前記半導体処理チャンバを備える半導体処理ツール。 The semiconductor processing tool according to claim 28, wherein the first plurality of semiconductor processing chambers are semiconductor processing tools including five semiconductor processing chambers. 請求項1に記載の半導体処理ツールであって、
前記第1の軸に実質的に平行な、前記第1の軸からずれた第3の軸に沿って配列された第2の複数の半導体処理チャンバと、
前記第1の複数の半導体処理チャンバと前記第2の複数の半導体処理チャンバの間に配置された内部領域と、
前記上部支持フレームワークにより固定して支持された、前記第3の軸に実質的に平行な第4の軸に沿って伸展する第2の直線誘導システムと、
第2のキャリッジと
をさらに備え、
前記第1の直線誘導システムおよび前記第2の直線誘導システムは、前記内部領域の外側に位置決めされ、
前記第2の複数の半導体処理チャンバの中の各前記半導体処理チャンバは、前記上部支持フレームワークに対して固定して搭載された第2の基底部分を有し、1つまたは複数の第2の巻上特徴を伴う第2の取外し可能な上部カバーを有し、
前記第2のキャリッジは、1つまたは複数のリンクを伴う第2の巻上アームを含み、
前記第2の巻上アームは、前記第4の軸に垂直な第2の垂直軸を中心にして枢動するように構成され、
前記第2のキャリッジは、前記第2の直線誘導システムと移動可能に係合して、前記第2の直線誘導システムに対して前記第4の軸に沿って並進するように構成され、
前記第2の巻上アームは、前記第2の複数の半導体処理チャンバの中の前記半導体処理チャンバの前記第2の取外し可能な上部カバーの中のいずれかの前記第2の巻上特徴と係合するように構成された第2の巻上特徴係合インタフェースを含み、
前記第2のキャリッジおよび前記第2の巻上アームは、前記第2の複数の半導体処理チャンバの中の前記半導体処理チャンバの前記第2の取外し可能な上部カバーの中のいずれかの前記巻上特徴と係合するように前記第2の巻上特徴係合インタフェースを動かすことができるように移動可能である半導体処理ツール。
The semiconductor processing tool according to claim 1.
A second plurality of semiconductor processing chambers arranged along a third axis offset from the first axis, substantially parallel to the first axis.
An internal region arranged between the first plurality of semiconductor processing chambers and the second plurality of semiconductor processing chambers,
A second linear guidance system fixed and supported by the upper support framework and extending along a fourth axis substantially parallel to the third axis.
Further equipped with a second carriage,
The first linear guidance system and the second linear guidance system are positioned outside the internal region.
Each of the semiconductor processing chambers in the second plurality of semiconductor processing chambers has a second base portion fixedly mounted with respect to the upper support framework, and one or more second. Has a second removable top cover with hoisting features,
The second carriage comprises a second hoisting arm with one or more links.
The second hoisting arm is configured to pivot about a second vertical axis perpendicular to the fourth axis.
The second carriage is configured to movably engage the second linear guidance system and translate along the fourth axis with respect to the second linear guidance system.
The second hoisting arm relates to any of the second hoisting features in the second removable top cover of the semiconductor processing chamber in the second plurality of semiconductor processing chambers. Includes a second hoisting feature engagement interface configured to fit
The second carriage and the second hoisting arm are the hoisting of any of the second removable top covers of the semiconductor processing chamber in the second plurality of semiconductor processing chambers. A semiconductor processing tool that is movable so that the second hoisting feature engagement interface can be moved to engage the feature.
請求項30に記載の半導体処理ツールであって、
前記第1の複数の半導体処理チャンバの前記基底部分、前記第2の複数の半導体処理チャンバの前記第2の基底部分、および前記内部領域は、すべて第2の包絡面の内部に配置され、
前記第1の巻上アームは、前記第1の複数の半導体処理チャンバの中のいずれかの前記取外し可能な上部カバーを前記第2の包絡面の外側で動かすことができるように移動可能であり、
前記第2の巻上アームは、前記第2の複数の半導体処理チャンバの中のいずれかの前記第2の取外し可能な上部カバーを前記第2の包絡面の外側で動かすことができるように移動可能である半導体処理ツール。
The semiconductor processing tool according to claim 30.
The basal portion of the first plurality of semiconductor processing chambers, the second basal portion of the second plurality of semiconductor processing chambers, and the internal region are all arranged inside the second envelope surface.
The first hoisting arm is movable so that any of the removable top covers in the first plurality of semiconductor processing chambers can be moved outside the second envelope. ,
The second hoisting arm moves such that any of the second removable top covers in the second plurality of semiconductor processing chambers can be moved outside the second envelope. Possible semiconductor processing tools.
請求項30に記載の半導体処理ツールであって、
前記第2の取外し可能な上部カバーは、前記取外し可能な上部カバーと同じタイプからなり、
前記第2の巻上特徴係合インタフェースは、前記巻上特徴係合インタフェースと同じタイプからなり、
前記第2の巻上特徴は、前記巻上特徴と同じタイプからなる半導体処理ツール。
The semiconductor processing tool according to claim 30.
The second removable top cover is of the same type as the removable top cover.
The second hoisting feature engaging interface is of the same type as the hoisting feature engaging interface.
The second hoisting feature is a semiconductor processing tool of the same type as the hoisting feature.
請求項1に記載の半導体処理ツールであって、前記第1のキャリッジが前記第1の直線誘導システムと係合したとき、前記第1のキャリッジと前記第1の直線誘導システムの界面でシールを生み出すベローズをさらに備える半導体処理ツール。 The semiconductor processing tool according to claim 1, wherein when the first carriage engages with the first linear guidance system, a seal is provided at the interface between the first carriage and the first linear guidance system. A semiconductor processing tool with more bellows to produce. 請求項1に記載の半導体処理ツールであって、
第2のキャリッジをさらに備え、
前記第2のキャリッジは、1つまたは複数のリンクを伴う第2の巻上アームを含み、前記第2の巻上アームは、前記第2の軸に垂直な第2の垂直軸を中心にして枢動するように構成され、
前記第2のキャリッジは、前記第1の直線誘導システムと移動可能に係合して、前記第1の直線誘導システムに対して前記第2の軸に沿って並進するように構成され、
前記第2の巻上アームは、前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中のいずれかの前記巻上特徴と係合するように構成された第2の巻上特徴係合インタフェースを含み、
前記第2のキャリッジおよび前記第2の巻上アームは、前記第1の複数の半導体処理チャンバの前記取外し可能な上部カバーの中のいずれかの前記巻上特徴と係合するように前記第2の巻上特徴係合インタフェースを動かすことができるように移動可能であり、
前記第1の直線誘導システムは、前記第1のキャリッジおよび前記第2のキャリッジが前記第1の直線誘導システムに同時に係合し、前記第2の軸に沿って移動可能であることができるようにさらに構成される半導体処理ツール。
The semiconductor processing tool according to claim 1.
With a second carriage
The second carriage comprises a second hoisting arm with one or more links, the second hoisting arm being centered on a second vertical axis perpendicular to the second axis. Configured to be pivotal
The second carriage is configured to movably engage the first linear guidance system and translate along the second axis with respect to the first linear guidance system.
The second hoisting arm is configured to engage any of the hoisting features in the removable top cover of the first plurality of semiconductor processing chambers. Including engagement interface
The second carriage and the second hoisting arm engage with any of the hoisting features in the removable top cover of the first plurality of semiconductor processing chambers. Hoisting features Movable so that the engagement interface can be moved,
The first linear guidance system allows the first carriage and the second carriage to simultaneously engage the first linear guidance system and be movable along the second axis. Further configured in the semiconductor processing tool.
請求項1に記載の半導体処理ツールであって、前記取外し可能な上部カバーは基板ではない半導体処理ツール。 The semiconductor processing tool according to claim 1, wherein the removable top cover is not a substrate. 請求項1に記載の半導体処理ツールであって、前記第1の巻上アームは、基板を支持するように構成されない半導体処理ツール。 The semiconductor processing tool according to claim 1, wherein the first hoisting arm is not configured to support a substrate. 請求項36に記載の半導体処理ツールであって、前記巻上特徴係合インタフェースは、前記基板を支持するように構成されない半導体処理ツール。 The semiconductor processing tool according to claim 36, wherein the hoisting feature engagement interface is not configured to support the substrate.
JP2021549737A 2019-03-01 2020-02-21 Integrated tool elevator Pending JP2022522146A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962812868P 2019-03-01 2019-03-01
US62/812,868 2019-03-01
US201962826829P 2019-03-29 2019-03-29
US62/826,829 2019-03-29
PCT/US2020/019333 WO2020180505A1 (en) 2019-03-01 2020-02-21 Integrated tool lift

Publications (2)

Publication Number Publication Date
JP2022522146A true JP2022522146A (en) 2022-04-14
JPWO2020180505A5 JPWO2020180505A5 (en) 2023-04-04

Family

ID=72338063

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021549737A Pending JP2022522146A (en) 2019-03-01 2020-02-21 Integrated tool elevator

Country Status (6)

Country Link
US (3) US11495486B2 (en)
JP (1) JP2022522146A (en)
KR (2) KR102432209B1 (en)
CN (1) CN113748499A (en)
TW (2) TWI846642B (en)
WO (1) WO2020180505A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102432209B1 (en) 2019-03-01 2022-08-11 램 리써치 코포레이션 Integrated tool lift
WO2021235029A1 (en) * 2020-05-19 2021-11-25 村田機械株式会社 Overhead conveyance system
TWI764620B (en) * 2021-03-12 2022-05-11 旭東機械工業股份有限公司 Apparatus and method for inspecting the bottom surface of wafer box
US12085953B2 (en) * 2021-04-23 2024-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Installation and relocation of mobile stocker

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
US6115903A (en) * 1997-10-02 2000-09-12 Seh America, Inc. Purge tube removal and replacement
KR100408161B1 (en) 2001-03-09 2003-12-01 정광호 Apparatus for manufacturing Multi-Layered Thin Film for mass-production
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
JP4099074B2 (en) * 2003-01-27 2008-06-11 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US7287740B2 (en) * 2005-11-01 2007-10-30 International Business Machines Corporation Hoisting apparatus
JP5564271B2 (en) * 2010-01-20 2014-07-30 株式会社日立ハイテクノロジーズ Vacuum processing equipment
JP5668340B2 (en) 2010-07-02 2015-02-12 村田機械株式会社 Ceiling transport vehicle
US20120199065A1 (en) 2011-02-04 2012-08-09 Stion Corporation Multi-Module System for Processing Thin Film Photovoltaic Devices
JP2013229373A (en) 2012-04-24 2013-11-07 Tokyo Electron Ltd Substrate processing apparatus and maintenance method of the same
KR101485862B1 (en) * 2014-03-07 2015-01-26 한재형 Multi articulated robot for industrial
JP6206748B6 (en) 2014-06-19 2018-06-27 村田機械株式会社 Carrier transport system and transport method
KR102328325B1 (en) 2014-09-23 2021-11-18 (주)선익시스템 Chamber Equipment Maintenance System
KR102413131B1 (en) * 2015-06-19 2022-06-24 주식회사 에이씨엔 Hybrid substrate processing system for dry and wet process and substrate processing method thereof
CN109791379B (en) * 2016-09-30 2021-08-27 株式会社尼康 Measurement system, substrate processing system, and device manufacturing method
US10943769B2 (en) * 2018-07-19 2021-03-09 Lam Research Corporation Gas distributor and flow verifier
KR102432209B1 (en) 2019-03-01 2022-08-11 램 리써치 코포레이션 Integrated tool lift
TW202238787A (en) * 2021-01-20 2022-10-01 荷蘭商Asm Ip私人控股有限公司 Gate/barrier assembly, semiconductor processing system, and method of removing and replacing module
US20220310461A1 (en) * 2021-03-24 2022-09-29 Alfasemi Inc. In-wafer testing device

Also Published As

Publication number Publication date
US20230032820A1 (en) 2023-02-02
KR20220116351A (en) 2022-08-22
TWI825280B (en) 2023-12-11
US20220044958A1 (en) 2022-02-10
US11495486B2 (en) 2022-11-08
WO2020180505A1 (en) 2020-09-10
TW202410288A (en) 2024-03-01
KR102432209B1 (en) 2022-08-11
CN113748499A (en) 2021-12-03
TWI846642B (en) 2024-06-21
KR20210123422A (en) 2021-10-13
TW202101651A (en) 2021-01-01
US12027411B2 (en) 2024-07-02
US20240304490A1 (en) 2024-09-12

Similar Documents

Publication Publication Date Title
JP2022522146A (en) Integrated tool elevator
TWI623055B (en) Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
KR20160088427A (en) Process chamber and semiconductor processing apparatus
US9117865B2 (en) Robot systems, apparatus, and methods having independently rotatable waists
KR20190095166A (en) Robot having vertically oriented articulated arm motion
TW529058B (en) A robot and a method for moving a wafer from a first location to a second location
KR102323370B1 (en) Robot apparatus, drive assemblies, and methods for transporting substrates in electronic device manufacturing
US20080202892A1 (en) Stacked process chambers for substrate vacuum processing tool
US20080206036A1 (en) Magnetic media processing tool with storage bays and multi-axis robot arms
KR102143966B1 (en) Modular vertical furnace processing system
CN102110633B (en) There is Z-direction motion and the linear movement vacuum mechanical-arm of articulated jib
CN103155133A (en) Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element
US6991710B2 (en) Apparatus for manually and automatically processing microelectronic workpieces
KR20190109259A (en) Over and under linear axis robot
US20080019806A1 (en) Small footprint modular processing system
US20080202419A1 (en) Gas manifold directly attached to substrate processing chamber
JP7156940B2 (en) Substrate processing equipment
US20080202687A1 (en) Stacked process chambers for flat-panel display processing tool
KR101718540B1 (en) Apparatus and methods for transporting and processing substrates
US20080202686A1 (en) Self-contained process modules for magnetic media processing tool
JP2006190893A (en) Substrate treatment apparatus
US20080206020A1 (en) Flat-panel display processing tool with storage bays and multi-axis robot arms
US20080202410A1 (en) Multi-substrate size vacuum processing tool
KR20240074703A (en) Factory interface robots available with integrated loadlocks

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230324

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240321

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240717