JP2021521443A - オーバレイ計測システムおよび方法 - Google Patents

オーバレイ計測システムおよび方法 Download PDF

Info

Publication number
JP2021521443A
JP2021521443A JP2020555891A JP2020555891A JP2021521443A JP 2021521443 A JP2021521443 A JP 2021521443A JP 2020555891 A JP2020555891 A JP 2020555891A JP 2020555891 A JP2020555891 A JP 2020555891A JP 2021521443 A JP2021521443 A JP 2021521443A
Authority
JP
Japan
Prior art keywords
irradiation
lens
sample
measurement system
signals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020555891A
Other languages
English (en)
Other versions
JP7004842B2 (ja
Inventor
ユン−ホ アレックス チュワン
ユン−ホ アレックス チュワン
シュエフェン リウ
シュエフェン リウ
ペイリン ジャン
ペイリン ジャン
ジョン フィールデン
ジョン フィールデン
インイン シャオリー
インイン シャオリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2021521443A publication Critical patent/JP2021521443A/ja
Priority to JP2021177368A priority Critical patent/JP7282853B2/ja
Application granted granted Critical
Publication of JP7004842B2 publication Critical patent/JP7004842B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Radar Systems Or Details Thereof (AREA)

Abstract

試料210のオーバレイ誤差を測定するためのシステム200が開示される。システム200は、広帯域照射201を発するように構成された広帯域照射源202を含み得る。システム200はまた、試料210の上に配置されたターゲットまで広帯域照射201を導くように構成された1つ以上の光学要素を含むこともあり、1つ以上の光学要素は、ターゲットからの照射を収集して分光計214まで導くように構成され、分光計214は、試料210から収集された複数の波長の照射をセンサ219の複数の要素に向けて分散させて複数の信号を生成するように構成される。システム200はまた、複数の信号と複数の計算された信号とを比較することによって、ターゲットの第1の構造体と第2の構造体の間のオーバレイ誤差を計算するように構成されたコントローラ220を含むこともある。

Description

本願は、一般にオーバレイ計測に関し、詳細には、オーバレイ計測システムに使用するのに適している単層または多層の半導体ウェハスタックに形成された構造体間のオーバレイの決定に関する。
関連出願の相互参照
本願は、米国特許法(35 U.S.C.)第119条(e)に基づき、2018年3月13日出願の名称「OVERLAY METROLOGY SYSTEM AND METHOD」、発明者ヤンホー・アレックス・チャン(Yung−Ho Alex Chuang)、インイン・シャオリ(Yinying Xiao−Li)、ジョン・フィールデン(John Fielden)、クフェン・リュー(Xuefeng Liu)、およびペイリン・ジアン(Peilin Jiang)の米国特許仮出願第62/642,501号の利益を主張する。同仮出願は参照により全体が本明細書に組み込まれる。
集積回路(IC)産業界は、ますます小さい欠陥および微粒子を検出するための感度がいっそう高い検査ツールを必要としており、また、半導体ウェハ上の小さいフィーチャの寸法を正確に測定するための高精度の計測ツールを必要としている。半導体産業界では現在、フィーチャ寸法が約20nm以下の半導体デバイスを製造している。数年以内に、この業界では、フィーチャ寸法が約5nmのデバイスを製造していることになろう。サイズが数ナノメートルにすぎない粒子および欠陥がウェハ歩留まりを低下させることがある一方で、10分の数ナノメートル以下のフィーチャ寸法およびアライメントの変化が、トランジスタまたはメモリデバイスの電気性能の重大な変化、または不具合の原因となることがある。
半導体計測の分野において、計測ツールは、ターゲットを照射する照射システムと、照射システムがターゲット、デバイスまたはフィーチャと相互作用すること(またはしないこと)によって得られる関連情報を取り込む収集システムと、収集された情報を1つ以上のアルゴリズムを使用して分析する処理システムとを備え得る。計測処理は、半導体製造プロセスの様々な個所においてウェハに対して実施されて、ウェハ上にパターン化された構造体の幅、ウェハ上に形成された膜の厚さ、およびウェハの1つの層にパターン化された構造体の、ウェハの別の層にパターン化された構造体に対するオーバレイなどの、ウェハの様々な特性が測定される。これらの測定値は、プロセス制御を容易にするために、および/または半導体ダイの製造を効率化するために使用される。光学臨界寸法(CD)計測が現在、分光スキャトロメトリまたは角度分解スキャトロメトリを使用して実施されている。膜計測は、分光楕円偏光法を使用して実施される。光学オーバレイ計測は、イメージング法、またはスキャトロメトリをベースとする方法(分光および角度分解の両方)を使用して実施される。半導体および関連の産業用のオーバレイ計測ツールは、KLA−Tencor Corporationによって(たとえば、Archer 500 LCM)、ASML Holding N.V.によって(たとえば、YieldStar S−250D)、および他の会社によって製造されている。
様々な製造および生産環境において、試料の様々な層の間、またはこのような試料の特定の層の中でアライメントを制御する必要がある。たとえば、半導体製造産業において、電子デバイスは、基板上に一連の層を製作することによって生産することができ、これらの層の一部または全部が様々な構造体を含む。このような構造体の相対位置は、特定の各層の中のものも、他の各層内の構造体に対するものも、完成した電子デバイスの性能に関連し、さらには重要でさえある。このような試料中の構造体の、この相対位置はオーバレイと呼ばれる。
ウェハ上の連続するパターン層間のオーバレイ誤差の測定は、集積回路およびデバイスの製造プロセスで使用される最も重要なプロセス制御技法の1つである。オーバレイ精度は一般に、第1のパターン層が、その上または下に配置された第2のパターン層に対してどれだけ正確に並んでいるかを決定すること、および第1のパターンが、同じ層に配置された第2のパターンに対してどれだけ正確に並んでいるかを決定することに関係する。
国際半導体技術ロードマップ(ITRS)によると、オーバレイ誤差は、半導体デバイスが適正に動作するにはパターンの最小寸法の約20%以内でなければならない。オーバレイデータに必要とされる総合計測不確実性(TMU)は、プロセス許容値よりもずっと小さい。TMUにはいくつかの誘因がある。測定の一貫性は、精度およびツール誘導シフト(TIS)に関して評価され、このTISは、ウェハが180°回転され、同じオーバレイ計測ツールで再測定されたときに得られるオーバレイ測定値の相違である。TMUの他の誘因にはまた、測定データとパターニングツールモデルの最良適合との間の差異、オーバレイ計測ツール間での測定値の違い、および同一の隣り合うターゲットから得られた結果の相違も含まれ得る。
オーバレイ計測誤差バジェットは通常、2つの大まかな部類、すなわち偶然誤差と系統誤差に分類される。偶然誤差は、統計的不確実性の結果として生じ、3つの主要な原因は、光子および電子の変動によるノイズ、機械的振動による測定位置繰り返し精度、およびプロセスノイズである。プロセスノイズとは、膜スタック特性、縁部粗度、ならびにレチクルおよびウェハ上の部位によって異なる粒状性などの、プロセスばらつきの影響を指す。どんな個々の計測イベントについても、これが系統誤差原因であると言うことができる。しかし、オーバレイ計測の場合では、このようなばらつきは、測定焦点位置に影響を及ぼし、ツールの不備点と相互作用して、TISおよびツール整合誤差の一因となる。
特定の構造上の要素に起因すると考えられ得る系統誤差の、可能性のある原因が多くある。照射システムに関しては、照射の非均一性およびセル間の信号汚染が潜在的な誘因である。収集サブシステムに関しては、光学コラムの色収差および非対称収差が考慮されなければならない。さらに、検出平面では、セル間の信号汚染、およびイメージセンサ自体からの固定ノイズが誤差をもたらし得る。最後に、特定のアルゴリズムのファミリもまた、誤差バジェットに系統バイアスを導入することがある。アルゴリズムに関する誤差は、限られたセルの数による固有のものである。ターゲット/アルゴリズムの組み合わせの設計が良好であると、この誤差原因を最小限にすることができるが、ゼロにまで低減することはできない。偶然誤差とは対照的に、系統誤差に関する重要な考慮事項は、場合によって系統誤差は、簡単な計測が利用可能であれば、TISと同様に補償できることである。したがって、誤差バジェットを構築し計測システムを設計するとき、補償可能誤差原因と非補償可能誤差原因を区別することが重要である。
処理ノードを低減することの利点には、処理オーバレイバジェットをより厳しい程度まで制御する必要が増すことが伴う。半導体産業におけるマルチパターニング技法の導入によって、リソグラフィスタックに含まれる層の数が大幅に増加した。多ピッチ分割技術が大量IC製造に関して実証されているので、より多くのリソグラフィ層および複雑なスタックが使用されると予想される。その結果、多くの層を一度に並べる機能は、近年ますます難しくなってきている。半導体製造では、パターンアライメントはしばしば、ナノメートル以下の不確実度が必要とされる。オーバレイ処理許容値は、臨界フィーチャ寸法が縮小する速度よりもずっと速く低減されている。過去には、アライメントツリーが設定され、それにより、すべての層が1つの層と並び、コンタクト対ポリおよびアイソレーションなど、多くても2つの層に対して測定された。現在、20nmノードにおいてさえも、アイソレーション、ポリ、コンタクト、メタル1などの重要な層のダブルおよびトリプルパターニングがある。このことが、さらにずっと複雑なアライメントツリーおよびオーバレイ測定を強いる。各層は時には、以前の層の平均と並べられ、別の層と別の向きで並べられ、また配置がいくつかの測定値に基づいて行われる。この増大する難題が、オーバレイ測定の数を大幅に増加させ、ターゲット領域を増加させ、異なる層の多くの測定を安定したものにする必要を生じさせる。オーバレイ計測ツールは、妥当な所有のコストを維持しながら、ますます数が増加するオーバレイターゲットを、より多くの層について各リソグラフィステップで測定する必要がある。測定ごとのコストは、測定時間と、計測で利用されるシリコン面積との両方について考察される。
オーバレイを測定するための様々な技術およびプロセスは、変化する成功の度合いと共に開発され使用されてきた(たとえば、N.P.スミス(Smith)、L.A.ビンス(Binns)、A.プラムベック(Plambeck)、およびK.ハイドリッヒの(Heidrich)の「Overlay metrology at the crossroads」、Proc.Of SPIE、Vol.6922、2008年3月、692203頁参照)。より最近では、様々な取り組みが、オーバレイ計測のベースとして放射線スキャトロメトリを利用することに集中されてきた(たとえば、米国特許第7,242,477号、およびM.アデル(Adel),D.カンデル(Kandel)、V.レビンスキ(Levinski)、J.セリグソン(Seligson)、およびA.クニアフスキ(Kuniavsky)の「Diffraction order control in overlay metrology−a review of the roadmap options」、Proc.Of SPIE、Vol.6922、2008年3月、692202頁参照)。スキャトロメトリなどの回折をベースとする分析技法が、マイクロエレクトロニクス計測用途に特によく適している。その理由は、これらの技法が非破壊的、十分に正確、繰り返し可能、高速、簡単であり、臨界寸法走査電子顕微鏡(CD−SEM)と比較して安価であるからである。
スキャトロメトリ測定によりオーバレイを決定するいくつかの既存の手法では、モデル形状プロファイル、オーバレイ、膜スタック、および材料光学特性(たとえば、nおよびk分散曲線)に基づいて、または測定された信号を校正ウェハからの参照信号と比較することによって、測定されたスペクトルと計算された理論スペクトルとを比較することに集中する。
既存の手法には、いくつかの関連する不利点がある。たとえば、オーバレイを正確に決定するには、比較的多数のパラメータがプロファイル、オーバレイおよび膜モデリングに含まれなければならない。たとえば、上層および下層プロファイルの両方で簡単な台形モデルを使用するいくつかの手法では、含まれなければならないパターンパラメータの最小数は、オーバレイを含めて7つである。膜厚変化がモデルに含まれる場合、それに応じてパラメータの数が増加する。多数のパラメータは、処理資源の増加を必要とすることがあり、対応する誤差を導入し、結果を遅らせ、それによって、場合によりスループットが低下し、非能率な点およびコストが増加し得る。たとえば、測定スペクトルと計算参照スペクトルの比較は、ライブラリベースの手法が使用されようと回帰手法が使用されようと、パラメータが多ければ長くかかる。
スキャトロメトリに基づいた、オーバレイを決定するいくつかの既存の手法の別の不利点は、膜スタック、膜材料およびパターン要素プロファイルについての詳細な知識であり、これは、測定スペクトルと比較されるべき正確な理論スペクトルを決定するために必要になり得る。
スキャトロメトリに基づいた、オーバレイを決定するいくつかの既存の手法のさらに別の不利点は、スキャトロメトリ光学系についての正確な知識であり、これは、測定スペクトルと比較されるべき正確な理論スペクトルを決定するために必要になり得る。
ほとんどの既存のスキャトロメトリは、周期格子への単一波長の複数の入射角を測定するように設計される(すなわち、角度分解スキャトロメトリ)。それでも、半導体計測ツールは、CMOS製造に使用される様々な材料および構造体の全部またはほとんどを測定できる場合には最も有用なものである。様々な材料および構造体は、反射率が互いに非常に異なる。
米国特許出願公開第2016/0117847号 米国特許第7,242,477号 米国特許第9,080,971号 米国特許第7,474,461号
「Overlay metrology at the crossroads」、N.P.スミス(Smith)、L.A.ビンス(Binns)、A.プラムベック(Plambeck)、およびK.ハイドリッヒの(Heidrich)、Proc.Of SPIE、Vol.6922、2008年3月、692203頁
したがって、スキャトロメトリに基づいたオーバレイを決定する既存の手法の不備点に照らして、上記で明らかにされた制限の一部または全部を克服する、スキャトロメトリに基づいたオーバレイを決定するための改善されたシステムおよび方法が必要になる。
本開示の1つ以上の実施形態による、試料のオーバレイ誤差を測定するための計測システムが開示される。1つの実施形態では、計測システムは、広帯域照射を発する広帯域照射源を含む。別の実施形態では、計測システムは、試料の上に配置されたターゲットまで広帯域照射を導くように、またターゲットから反射または回折された照射を収集して分光計まで導くように構成された光学要素を含む。別の実施形態では、分光計は、試料から収集された複数の波長の照射をセンサの複数の要素に向けて分散させて複数の信号を生成するように構成される。別の実施形態では、計測システムは、複数の信号と複数の計算された信号とを比較することによって、ターゲットの第1の構造体と第2の構造体の間のオーバレイ誤差を計算するように構成されたコントローラを含む。
本開示の1つ以上の実施形態による、試料のオーバレイ誤差を決定するための方法が開示される。1つの実施形態では、この方法は、第1の層に第1の構造体および第2の層に第2の構造体をそれぞれが有する複数の周期的なターゲットのある試料を提供するステップを含み、前記第1と第2の構造体の間には少なくとも1つの所定のオフセットがある。別の実施形態では、この方法は、放射を試料に向けて集束するステップを含む。別の実施形態では、この方法は、試料から放射を受けるステップを含む。別の実施形態では、この方法は、受けた放射を分光計まで導くステップを含み、分光計は受けた放射を、センサに向けられる複数の波長に分散させ、このセンサは、受けた放射の複数の波長に対応する複数の信号を生成する複数のセンサ要素を備える。別の実施形態では、この方法は、複数の信号と複数の計算された信号とを比較することによって第1の構造体と第2の構造体の間のオーバレイ誤差を計算するステップを含む。
本開示の1つ以上の実施形態による、試料のオーバレイ誤差を測定するための計測システムが開示される。1つの実施形態では、計測システムは、広帯域照射源と、照射を前記試料の上に集束し、試料から反射、回折、または散乱された照射を収集するように構成された対物レンズとを含む。別の実施形態では、この方法は、広帯域照射源からの照射を対物レンズまで導くように構成された1つ以上の照射光学要素を含む。別の実施形態では、この方法は、前記反射、回折、または散乱された照射を検出器アセンブリまで導くように構成された1つ以上の収集光学要素を含む。別の実施形態では、対物レンズは約0.9以上の開口数を有し、また対物レンズは、約400nm未満から約800nmより長い波長範囲にわたって機能するように構成される。別の実施形態では、1つ以上の照射光学要素は、広帯域照射の主光線と広帯域照射の周辺光線とが第1の鏡筒レンズ内で交差しないように第1の鏡筒レンズ内に第1の中間像を形成するために構成された1つ以上の光学要素を含む第1の鏡筒レンズを備える。別の実施形態では、1つ以上の収集光学要素は、広帯域照射の主光線と広帯域照射の周辺光線とが第2の鏡筒レンズ内で交差しないように第1の鏡筒レンズ内に第2の中間像を形成するために構成された1つ以上の光学要素を含む第2の鏡筒レンズを備える。
上記の一般的な説明および下記の詳細な説明は、例示的および説明的なものにすぎず、請求項に記載の本発明を必ずしも制限するものではないことを理解されたい。本明細書に組み込まれその一部を形成する添付の図面は、本発明の実施形態を図示し、一般的な記述と共に、本発明の原理を説明する役割を果たす。
本開示の多数の利点が、添付の図を参照することによって当業者にはよりよく理解されよう。
本開示の1つ以上の実施形態による、検査または計測システムのブロック図である。 本開示の1つ以上の実施形態による、分光反射率計に基づくスキャトロメトリシステムのブロック図である。 本開示の1つ以上の実施形態による、スキャトロメトリシステムに使用されるモデルベースのオーバレイ計測のための、リアルタイム回帰ベースのアルゴリズムを示す処理流れ図である。 本開示の1つ以上の実施形態による、スキャトロメトリシステムに使用されるモデルベースのオーバレイ計測のための、ライブラリ技術ベースのアルゴリズムの概念図である。 本開示の1つ以上の実施形態による、高品質顕微鏡対物レンズおよび鏡筒レンズを含むオーバレイ計測システムの簡略図である。 本開示の1つ以上の実施形態による、複数の測定サブシステムを含む計測システムの簡略図である。
次に、添付の図面に示されている、開示された主題を詳細に参照する。
本発明の特定の実施形態が示されたが、本発明の様々な修正および実施形態が当業者によって、上記の開示の範囲および趣旨から逸脱することなく行われ得ことは明らかである。したがって、本発明の範囲は、本明細書に添付の特許請求の範囲によってのみ限定されなければならない。
図1〜6を全体的に参照すると、本開示の1つ以上の実施形態による、改善されたオーバレイ計測のシステムおよび方法が示されている。
本開示の1つ以上の実施形態は、モデルベースのオーバレイ計測(mOVL)アルゴリズムアーキテクチャと組み合わせた分光反射率計ベースのハードウェアアーキテクチャを使用することによって、スキャトロメトリに基づいた半導体スタックの層間のオーバレイを正確に決定するように構成されたオーバレイ計測システムを対象としている。
半導体計測ツールは、相補型金属酸化膜半導体(CMOS)製造に使用される様々な材料および構造体の全部またはほとんどを測定できるとすれば最も役に立つ。CMOS製造に使用される様々な材料および構造体は、互いに大幅に異なる反射率を示すことに留意されたい。これに関して、適応性の増大を実現するために、半導体計測ツールでは、複数の波長(たとえば、広帯域放射/照射)および/または複数の角度の光照射および光収集を用いることができる。本開示の目的のために、用語の「光」、「放射」および「照射」は、本明細書で特にことわらない限り、交換可能に使用されることがある。
分光反射率測定では、光の複数の波長または色を利用して、膜厚およびオーバレイなどのパラメータを分析するためのデータを得る。反射率測定とは、反射光の測定のことである。オーバレイ計測では、可視領域の波長スペクトルが一般に使用される。基板および膜表面から反射された光は、コンピュータおよびアルゴリズムを使用して分析される。この分析では、膜を破壊しなくても、または膜に接触しなくても、対象のパラメータが得られる。分光反射率計は、複数の波長を用いて固定入射角(たとえば、垂直入射)の回折応答を測定するように設計される。この機構では、周期構造体から断面形状情報を正確に抽出するために、分光楕円偏光計および分光反射率計システムなどの薄膜計測機器を使用する。
本開示の追加の実施形態は、ターゲット構造体の複数のセルを1つずつ照射できる分光反射率測定法に基づいたスキャトロメトリシステムを対象とする。小さな視野絞りが、隣り合うセル間の信号汚染を防止するために、照射を単一のセルに制限することができる。開口絞りが照射を十分に小さい開口数(NA)に制限して、計測信号として用いられる回折次数と他の回折次数との間の重なりを防止することができる。さらに、信号をウェハ(たとえば、試料)から分光計まで伝送する光学要素は、選択された回折次数を有する照射(たとえば、計測信号)だけが分光計まで進めるように設計される。その場合、分光計は、受けた信号をイメージングモードで、または非イメージングモードで分析することができる。
対象のパラメータの測定には通常、いくつかのアルゴリズムを要するということに本明細書では留意されたい。スキャトロメトリベースの計測には逆散乱問題を解くことが本質的に伴うので、ニューラルネットワークまたは非線形回帰などのシステム同定アルゴリズムが、対象のパラメータを散乱信号から抽出するために必要になる。加えて、構造体の散乱特性を理論的に予測するために、電磁気(EM)ソルバが、入射ビームと試料との光学相互作用をモデル化するために必要になる。使用することができる、可能性のあるアルゴリズムには、厳密結合波解析(RCWA)、有限要素法(FEM)、モーメント法(MoM)、表面積分法、体積積分法、有限差分時間領域(FDTD)などが、これらだけには限らないが含まれ得る。1つの実施形態では、本開示の諸態様で、モデルベースのオーバレイ計測(mOVL)をアルゴリズムアーキテクチャとして利用する。mOVLはアイデアを光学臨界寸法(OCD)計測から借りており、臨界寸法(CD)が広帯域スペクトルまたは角度散乱情報から正確に推定されるということに本明細書では留意されたい。
CDおよびプロファイル測定値に対してスキャトロメトリを実施することは、信号形成処理をモデル化する機能に基づいていることにさらに本明細書では留意されたい。信号は、モデル化されたシグネチャと実験から得られたシグネチャの間で最も緊密に合致するこれらのパラメータを見つけることによって測定が行われ得るように、十分な情報を含む。同じ方法をオーバレイ測定に適用して、必要な測定セルの数を低減することができ、またオーバレイデータとプロファイルデータの両方を得ることができる。
mOVLは、オーバレイ不正確問題を解決できることに留意されたい。たとえば、mOVLは、正弦オーバレイモデルの誤差を取り除き、付加的なターゲットを加える必要性をなくすことができる。mOVLは、プロセスばらつき、およびターゲット非対称にかかわらず正確なオーバレイ測定を達成できることにもさらに留意されたい。加えて、mOVLは、オーバレイ情報以外にリソグラフィ/プロセス情報をもたらすこともできる。たとえば、ウェハを覆うレジストプロファイルの変化量を特定することができる。さらに、mOVLは、ターゲットモデル設定を簡単にすることができる。たとえば、mOVLは、名目CD値を正確に推定し、感度を最適化し、パラメータ相関性を低減することができる。
本開示の追加の実施形態はオーバレイ計測システムを対象とし、このシステムは、広帯域照射(約400nm〜800nmまたはそれより広い波長範囲にわたって複数の波長を含む光など)を発するように構成された広帯域照射源と、光を試料の上に集束し、試料から反射または回折された照射を収集するように構成された高(>0.9)開口数(NA)対物レンズと、広帯域照射源から対物レンズまで照射を伝達するように構成された照射光学部品と、対物レンズからの収集された照射を検出器まで導くように構成された収集光学部品とを含む。照射光学部品は、第1の外部からアクセス可能な瞳を作成するように構成された第1の鏡筒レンズを含むことができ、この第1の鏡筒レンズは、広帯域照射の主光線と広帯域照射の周辺光線とが第1の鏡筒レンズ内で交差しないように第1の鏡筒レンズ内に第1の中間像を形成する。収集光学部品は、第2の外部からアクセス可能な瞳を作成するように構成された第2の鏡筒レンズを含むことができ、この第2の鏡筒レンズは、広帯域光の主光線と広帯域光の周辺光線とが第2の鏡筒レンズ内で交差しないように第2の鏡筒レンズ内に第2の中間像を形成する。どちらかまたは両方の鏡筒レンズはさらに、対物レンズの軸方向色度変化を補正するように構成することができ、この色度変化が補正されなければ、前記第1および第2の中継された瞳の軸方向位置が波長によって変化することになる。1つの実施形態では、構成された照射および/または暗視野モードでオーバレイ計測を実施できようにするために、調整可能または切り替え可能な開口が、中継された両方の瞳の位置に配置される。検出器は、分光計またはカメラを含み得る。用語の主光線および周辺光線は光学部品に関して一般に使用され、当業者には十分に理解されているということに本明細書では留意されたい。
図1は、本開示の1つ以上の実施形態による、検査または計測システム100のブロック図を示す。1つの実施形態では、システム100は、照射源102、照射アーム103、収集アーム105、および検出器アセンブリ118を含む。1つの実施形態では、照射アーム103は、照射101を照射源102から試料110まで導くように構成された1つ以上の照射光学要素を含み得る。同様に、別の実施形態では、収集アーム105は、反射、屈折、散乱などされた照射を試料110の表面から検出器アセンブリ118の1つ以上のセンサ119まで導くように構成された、1つ以上の収集光学要素を含み得る。計測システムについての議論は、カンデル(Kandel)らの「METROLOGY SYSTEMS AND METHODS」という名称の米国特許第9,080,971号、およびチャン(Chuang)らの「BROAD BAND OBJECTIVE HAVING IMPROVED LATERAL COLOR PERFORMANCE」という名称の米国特許第7,474,461号に提示されており、両特許は、その全体が参照により本明細書に組み込まれる。
1つの実施形態では、システム100は、試料110を検査または測定するように構成される。試料110は、ウェハ、半導体ウェハ、レチクル、フォトマスクなどをこれらだけには限らないが含む、当技術分野で知られているあらゆる試料を含み得る。別の実施形態では、試料110は、試料110を移動しやすくするステージアセンブリ112の上に配置される。ステージアセンブリ112は、X−YステージまたはR−Θステージをこれらだけには限らないが含む、当技術分野で知られているあらゆるステージアセンブリを含み得る。1つの実施形態では、ステージアセンブリ112は、検査中に試料110の高さを調整して焦点を試料110上に維持することができる。追加および/または代替実施形態では、照射アーム103の1つ以上の光学要素が、焦点を試料110上に維持するように調整され得る。
システム100の照射源102は、当技術分野で知られている任意の照射源を含み得る。たとえば、照射源102は、1つ以上のレーザおよび/または広帯域光源をこれらだけには限らないが含み得る。別の例によれば、照射源102は、アーク灯、レーザポンププラズマ光源、または連続波(CW)レーザなどの連続源を含み得る。さらに別の例によれば、照射源102は、モードロックレーザ、Qスイッチレーザ、またはモードロックもしくはQスイッチレーザによってポンピングされるプラズマ光源のようなパルス源とすることができる。照射源102に含まれ得る適切な光源は、カーク(Kirk)らの「Methods and systems for providing illumination of a specimen for a process performed on the specimen」という名称の米国特許第7,705,331号、ベゼル(Bezel)らの「System and method for transverse pumping of laser−sustained plasma」という名称の米国特許第9,723,703号、およびチャン(Chuang)らの「High brightness laser−sustained plasma broadband source」という名称の米国特許第9,865,447号に記載されている。これらの特許は、その全体が参照により本明細書に組み込まれる。
別の実施形態では、照射源102は、近IR(NIR)、可視、近UV、遠UV(DUV)および/または真空UV(VUV)放射をこれらだけには限らないが含む、当技術分野で知られている任意のタイプの照射101を発するように構成することができる。
照射源102は、1つ以上の照射経路から試料110を照射するために、1つ以上の入射角および/または1つ以上のアジマス角を含む、当技術分野で知られている任意の配向で構成することができる。たとえば、照射源102は、暗視野配向、明視野配向などで構成することができる。加えて、照射源102は、試料110を照射101によって1つ以上の波長および/または偏光状態で照射するように構成することができる。さらに、システム100は、照射源102の出力、輝度、スペクトルなどをこれらだけには限らないが含む、1つ以上の特性を補正および/または安定化するために、照射源102への高速フィードバックを付加的に含み得る。照射源102から発せられる照射101は、自由空間伝播、光ファイバ、光導波路などをこれらだけには限らないが含む、当技術分野で知られている任意の手段によって伝達できることに留意されたい。1つの実施形態では、システム100の照射源102が、試料110上のラインを照射するように構成される。
別の実施形態では、システム100は、照射源102から試料110まで照射101を導くように構成された照射アーム103を含む。照射アーム103は、当技術分野で知られている任意の個数およびタイプの照射光学要素を含み得る。たとえば、照射アーム103は、コリメート光学部品104、照射瞳開口114、照射鏡筒レンズ116、ビームスプリッタ106、136、および対物レンズ108を含み得る。1つの実施形態では、照射鏡筒レンズ116は、照射瞳開口114を対物レンズ108内の瞳絞りに結像するように構成される(すなわち、照射鏡筒レンズ116は、照射瞳開口114と瞳絞りが互いに共役になるように構成される)。照射瞳開口114は、たとえば、別々の開口を照射瞳開口114の位置に切り替えることによって、または照射瞳開口114の開口部の直径または形状を調整することによって構成可能とすることができる。これに関して、試料110は、実施される測定または検査に応じて、異なる範囲の角度で照射することができる。
別の実施形態では、システム100は、試料110から反射、屈折、または散乱された照射を検出器アセンブリ118の1つ以上のセンサ119まで導くように構成された収集アーム105を含む。収集アーム105は、1つ以上の暗視野および/または明視野収集チャネルで反射および/または散乱された照射を、検出器アセンブリ118の1つ以上のセンサ119まで導くこと、および/または集束することができる。これに関して、システム100は、試料110によって様々な方向に反射、屈折、または散乱された照射を収集する、および/または様々な波長および/または様々な偏光状態に感受性のある、1つ以上の収集経路を含み得る。
1つの実施形態では、収集アーム105の1つ以上の収集光学要素には、対物レンズ108、収集鏡筒レンズ128、および収集瞳開口130が含まれる。収集鏡筒レンズ128は、対物レンズ108内の瞳絞りを収集瞳開口130に結像するように構成することができる(すなわち、収集鏡筒レンズ128は、収集瞳開口130と対物レンズ108内の瞳絞りとが互いに共役になるように構成され得る)。収集瞳開口130は、たとえば、別々の開口を収集瞳開口130の位置に切り替えることによって、または収集瞳開口130の開口部の直径または形状を調整することによって構成可能とすることができる。このようにして、試料110から反射または散乱された様々な角度の範囲の照射が、検出器アセンブリ118へ導かれ得る。
照射瞳開口114および/または収集瞳開口130は、ブルンナー(Brunner)の「2D programmable aperture mechanism」という名称の米国特許第9,255,887号に記載のもの、またはブルンナーの「Flexible optical aperture mechanisms」という名称の米国特許第9,645,287号に記載のものなどの、プログラム可能開口を備え得るということに本明細書では留意されたい。ウェハ検査のための開口構成を選択する方法は、コルチン(Kolchin)らの「Determining a configuration for an optical element positioned in a collection aperture during wafer inspection」という名称の米国特許第9,709,510号、およびコルチンらの「Apparatus and methods for finding a best aperture and mode to enhance defect detection」という名称の米国特許第9,726,617号に記載されている。すべての上記参照特許は、その全体が参照により本明細書に組み込まれる。
別の実施形態では、照射鏡筒レンズ116および収集鏡筒レンズ128の一方または両方がさらに、対物レンズ108の軸方向瞳色収差を実質的に相殺するように構成される。鏡筒レンズ設計のさらなる詳細については、本明細書で、特に図5およびその関連の記述を参照して説明される。
1つの実施形態では、照射アーム103および/または収集アーム105は、参照対物レンズ132および参照鏡134を備えるリニク干渉計を含む。参照対物レンズ132は、対物レンズ108と類似している設計の対物レンズとすること、または、コストを低減するためにより簡単な設計(たとえば、視野が小さいことがある)を含むことができる。リニク干渉計は、試料110の表面の対象となっている層が、ステージアセンブリ112の高さを対物レンズ108に対して調整することによって確実に焦点が合っているようにするために使用することができ、検出器アセンブリ118は、試料110からの反射が参照鏡134からの反射と干渉することによって生成される光信号を監視するということに本明細書では留意されたい。1つの実施形態では、シャッタ(図示せず)が、参照対物レンズ132および参照鏡134の照射経路内の照射を遮って、参照鏡134から反射される照射との干渉なしで試料110を測定または検査できるようにするために含まれ得る。一代替実施形態では、参照対物レンズ132および参照鏡134がシステム100から省かれ、自動焦点システムが検査または計測システム100に含まれ得る。
検査または計測システム100の様々な実施形態のさらなる詳細が、バザエパラムビル(Vazhaeparambil)らの「TDI Sensor in a Darkfield System」という名称の米国特許第9,891,177号、ロマノフスキ(Romanovsky)らの「Wafer inspection」という名称の米国特許第9,279,774号、アームストロング(Armstrong)らの「Split field inspection system using small catadioptric objectives」という名称の米国特許第7,957,066号、チャン(Chuang)らの「Beam delivery system for laser dark−field illumination in a catadioptric optical system」という名称の米国特許第7,817,260号、シェーファー(Shafer)らの「Ultra−broadband UV microscope imaging system with wide range zoom capability」という名称の米国特許第5,999,310号、レオング(Leong)らの「Surface inspection system using laser line illumination with two dimensional imaging」という名称の米国特許第7,525,649号、カンデル(Kandel)らの「Metrology systems and methods」という名称の米国特許第9,080,971号、チャンらの「Broad band objective having improved lateral color performance」という名称の米国特許第7,474,461号、チュワン(Zhuang)らの「Optical metrology with reduced sensitivity to grating anomalies」という名称の米国特許第9,470,639号、ワン(Wang)らの「Dynamically Adjustable Semiconductor Metrology System」という名称の米国特許第9,228,943号、ピウォンカ−コーレ(Piwonka−Corle)らの「Focused Beam Spectroscopic Ellipsometry Method and System」という名称の1997年3月4日発行の米国特許第5,608,526号、およびローゼンクウェイグ(Rosencwaig)らの「Apparatus for Analysing Multi−Layer Thin Film Stacks on Semiconductors」という名称の2001年10月2日発行の米国特許第6,297,880号に記載されている。上記参照特許のすべては、その全体が参照により本明細書に組み込まれる。
1つの実施形態では、収集アーム105は、試料108から反射、屈折、または散乱された照射を検出器アセンブリ118の1つ以上のセンサ119まで導くように構成される。検出器アセンブリ118は、当技術分野で知られている任意の検出器アセンブリを含み得る。たとえば、照射源102が試料110上のラインを照射するように構成されている実施形態では、検出器アセンブリ118は、ラインセンサまたは電子衝撃ラインセンサを含み得る。検出器アセンブリ118は、試料110への照射101の入射ビームに応答する試料110からの測定信号を検出するように構成することができる。これに関して、検出器アセンブリ118から(たとえば、検出器アセンブリ118の1つ以上のセンサ119から)の測定信号は、複数のスペクトル信号を含み得る。
別の実施形態では、検出器アセンブリ118は、1つ以上のプロセッサ122およびメモリ124を含むコントローラ120に通信可能に結合される。別の実施形態では、1つ以上のプロセッサ122はメモリ124に通信可能に結合することができ、1つ以上のプロセッサ122は、メモリ124に記憶されたプログラム命令のセット126を実行するように構成される。1つの実施形態では、1つ以上のプロセッサ122は、検出器アセンブリ118の出力を分析するように構成することができる。1つの実施形態では、プログラム命令のセット126は、1つ以上のプロセッサ122が試料110の1つ以上の特性を分析するように構成される。別の実施形態では、プログラム命令のセット126は、焦点を試料110および/または検出器アセンブリ118上に維持するために、1つ以上のプロセッサ122がシステム100の1つ以上の特性を修正するように構成される。たとえば、1つ以上のプロセッサ122は、照射源102からの照射101を試料110の表面に集束するために、システム100の対物レンズ108または1つ以上の光学要素を調整するように構成することができる。別の例によれば、1つ以上のプロセッサ122は、試料110の表面から散乱および/または反射された照射を収集し、その収集された照射を検出器アセンブリ118上に集束するために、対物レンズ108および/または1つ以上の光学要素を調整するように構成することができる。
システム100のサブシステムのいずれか(たとえば、検出器アセンブリ118)によって実行されるものとして前に説明された分析ステップのいずれも、付加的および/または代替的にコントローラ120の1つ以上のプロセッサ122によって実行できるということに本明細書では留意されたい。別の実施形態では、システム100は、ユーザインターフェース(図示せず)を含み得る。別の実施形態では、ユーザインターフェースは表示装置を含み得る。
システム100の諸要素が説明の目的のためだけに提示されており、もっと少ない要素/追加の要素が本開示の趣旨または範囲から逸脱することなくシステム100に存在し得るということに本明細書では留意されたい。これに関して、システム100は、1つ以上の鏡、1つ以上のレンズ、1つ以上の偏光子、1つ以上のコリメータ、1つ以上の波長板、1つ以上のセンサ、1つ以上の追加の検出器、1つ以上のカメラ、1つ以上の開口などをこれらだけには限らないが含む、追加の光学要素を含み得るということに留意されたい。
図2は、本開示の1つ以上の実施形態による、分光反射率計をベースとするスキャトロメトリシステム200のブロック図を示す。1つの実施形態では、システム200は、照射源202、照射アーム203、収集アーム205、分光計214、および検出器アセンブリ218を含む。図1のシステム100と関連する説明は、本明細書で特にことわらない限り、図2のシステム200に当てはまるとみなされ得ることに本明細書では留意されたい。
1つの実施形態では、システム200は、試料210上の多層構造体の少なくとも2つの層間のオーバレイ誤差を決定するように構成することができる。試料210は、ウェハ、半導体ウェハ、フォトマスクなどをこれらだけには限らないが含む、当技術分野で知られているあらゆる試料を含み得る。試料210の少なくとも2つの層が通常は、積層周期構造体(たとえば、格子構造体)を含むということに本明細書では留意されたい。これに関して、試料210は、試料210の層に配置された複数の周期的なターゲット構造体(たとえば、格子構造体)を含むことがあり、それぞれの周期的なターゲット構造体は、第1の層に第1の構造体、および第2の層に第2の構造体を有するということに本明細書ではさらに留意されたい。別の実施形態では、試料210は、第1の構造体と第2の構造体の間に所定のオフセットを含み得る。
分光反射率測定では、複数の波長または色の光を利用して、膜厚およびオーバレイなどのパラメータを分析するためのデータを得る。反射率測定とは、反射光の測定のことである。オーバレイ計測では、可視領域の波長スペクトルが一般に使用される。その理由は、可視光が、半導体産業界で一般に使用される材料の多くを透過するからである。膜表面および基板から反射された光は、コンピュータおよびアルゴリズムを使用して分析される。この分析では、膜を破壊しなくても、または膜に接触しなくても、対象のパラメータが得られる。分光反射率計は、複数の波長を用いて固定入射角(たとえば、垂直入射)の回折応答を測定するように設計される。この機構では、周期構造体から断面形状情報を正確に抽出するために、分光楕円偏光計および分光反射率計システムなどの薄膜計測機器を使用する。
1つの実施形態では、システム200は、複数の波長を有する光入射ビーム(たとえば、照射201)を生成するための照射源202と、照射201を平行にするコリメート光学部品204と、ビームスプリッタ206と、照射を試料210まで導き、試料210からの反射および回折された照射を収集する対物レンズ108と、分光計214と、入射ビーム(たとえば、照射201)に応答する試料210からの測定信号を検知するための1つ以上のセンサ219を含む検出器アセンブリ218とを含む。
システム200の照射源202は、当技術分野で知られている任意の照射源を含み得る。たとえば、照射源202は、複数の波長を有する照射201を生成するための広帯域照射源を含み得る。たとえば、照射源202は、白色光源、アーク灯、無電極ランプ、レーザ維持プラズマ(LSP)源、超連続源(たとえば、広帯域レーザ源)などをこれらだけには限らないが含み得る。LSP源の例としては、Eergetiq Technology,Inc.からのLSP源が、これだけには限らないが挙げられる。超連続源の例としては、NKT Photonics Inc.からの超連続源が、これだけには限らないが挙げられる。照射源202はまた、十分な輝度を有する照射201を供給するように構成することもできる。たとえば、照射源202は、輝度が約1W(nm・cm・sr)を超える照射201を発するように構成することができる。
半導体計測ツールは、CMOS製造に使用される様々な材料および構造体の全部またはほとんどを測定できるとすれば最も役に立つことが理解されよう。異なる材料および構造体は、反射率が互いに非常に異なる。適応性を得るために、半導体計測ツールは、複数の波長および/または光照射および光収集の複数の角度を用いることがある。これに関して、照射源202は、暗視野配向、明視野配向などをこれらだけには限らないが含む当技術分野で知られている任意の配向で構成できるということに本明細書では留意されたい。さらに、システム200は、照射源202の、出力、輝度、スペクトルなどをこれらだけには限らないが含む、1つ以上の特性を補正および/または安定化するために、照射源202への高速フィードバックを付加的に含み得る。照射源202から発せられる照射201は、自由空間伝播、光ファイバ、光導波路などをこれらだけには限らないが含む、当技術分野で知られている任意の手段によって伝達できることに留意されたい。
別の実施形態では、システム200は、照射201を試料120まで導くように構成された照射アーム203を含む。照射アーム203は、当技術分野で知られている任意の個数およびタイプの照射光学構成要素を含み得る。1つの実施形態では、照射アーム203は、照射201を平行にするコリメート光学部品204と、ビームスプリッタ206と、照射201を試料210まで導く対物レンズ208とを含む。
別の実施形態では、システム200は、試料210から反射、回折、または散乱された照射(たとえば、放射、光)を収集するように構成された収集アーム205を含む。別の実施形態では、収集アーム205は、反射および/または散乱された照射を分光計214へ誘導および/または集束することができる。分光計214は、収集された照射を複数の波長に分離するように、かつ収集された照射を検出器アセンブリ218の複数のセンサ219まで導くように構成された分散要素216を含み得るということに本明細書では留意されたい。これに関して、検出器アセンブリ218は、試料210への照射201の入射ビームに応答する試料210からの測定信号を検出するように構成することができる。これに関して、検出器アセンブリ218から(たとえば、検出器アセンブリ218のセンサ219から)の測定信号は、複数のスペクトル信号を含み得る。
別の実施形態では、検出器アセンブリ218は、1つ以上のプロセッサ222およびメモリ224を含むコントローラ220に通信可能に結合される。別の実施形態では、1つ以上のプロセッサ222はメモリ224に通信可能に結合することができ、1つ以上のプロセッサ222は、メモリ224に記憶されたプログラム命令のセットを実行するように構成される。1つの実施形態では、1つ以上のプロセッサ222は、検出器アセンブリ218の出力を分析するように構成することができる。1つの実施形態では、プログラム命令のセットは、1つ以上のプロセッサ222が試料210の1つ以上の特性を分析するように構成される。別の実施形態では、プログラム命令のセットは、焦点を試料210、分光計214、および/または検出器アセンブリ218上に維持するために、1つ以上のプロセッサ222がシステム200の1つ以上の特性を修正するように構成される。たとえば、1つ以上のプロセッサ222は、照射源202からの照射201を試料210の表面に集束するために、システム200の対物レンズ208または1つ以上の光学要素を調整するように構成することができる。別の例によれば、1つ以上のプロセッサ222は、試料210の表面から散乱および/または反射された照射を収集し、その収集された照射を分光計214および/または検出器アセンブリ218上に集束するために、対物レンズ208および/または1つ以上の光学要素を調整するように構成することができる。
システム200のサブシステムのいずれか(たとえば、分光計214、センサ219、検出器アセンブリ218)によって実行されるものとして前に説明された分析ステップのいずれも、付加的および/または代替的にコントローラ220の1つ以上のプロセッサ222によって実行できるということに本明細書では留意されたい。別の実施形態では、システム200は、ユーザインターフェース(図示せず)を含み得る。別の実施形態では、ユーザインターフェースは表示装置を含み得る。
システム200の諸要素が説明の目的のためだけに提示されており、もっと少ない要素/追加の要素が本開示の趣旨または範囲から逸脱することなくシステム200に存在し得るということに本明細書では留意されたい。これに関して、システム200は、1つ以上の鏡、1つ以上のレンズ、1つ以上の偏光子、1つ以上のコリメータ、1つ以上の波長板、1つ以上のセンサ、1つ以上の追加の検出器、1つ以上のカメラ、1つ以上の開口などをこれらだけには限らないが含む、追加の光学要素を含み得るということに留意されたい。
1つの実施形態では、スキャトロメトリシステム200の照射源202は、試料210上のターゲット構造体の単一のセルを一度に照射するように構成することができる。これに関して、隣り合うセル間の信号汚染を防止するために、照射201を単一のセルに制限するように視野絞り(図示せず)を照射経路(たとえば、照射アーム203)に配置することができる。さらに、計測信号として用いられる回折次数と他の回折次数との間の重なりを防止するために、照射開口絞り(図示せず)を使用して照射201を十分に小さい開口数(NA)に制限することができる。付加的および/または代替的実施形態では、システム200の照射源202が、試料210上のターゲット構造体の複数のセルを一度に照射するように構成されることがある。
別の実施形態では、照射源202は、直線偏光されている照射201でターゲット構造体の複数のセルを照射するように構成することができる。1つの実施形態では、照射アーム203は、照射201が試料210表面に実質的に垂直に入射するように、照射201を照射源202から試料210へ導くように構成される。これに関して、ゼロ次回折放射は波長の関数として測定される。垂直入射では、試料210の層に配置された格子構造体に対する複数の偏光角度について複数の反射スペクトルが得られるということに本明細書では留意されたい。1つの実施形態では、試料210から散乱された照射を収集する収集アーム205の要素(たとえば、対物レンズ208、ビームスプリッタ206など)は、収集アーム205だけが計測照射を分光計214まで伝達するように構成される。
別の実施形態では、散乱された照射は、分光計214によってイメージングモードまたは非イメージングモードで分析される。典型的なデータ収集には、横電気(TE)スペクトルと横磁気(TM)スペクトルの両方が含まれる。これに関して、偏光を使用すると、TEスペクトルとTMスペクトルの間の振幅差および位相差の両方を測定できるので、感度の向上が可能になることに留意されたい。
図3および図4は、本開示の1つ以上の実施形態による、モデルベースのオーバレイ計測(mOVL)のアルゴリズム300、400を示す。アルゴリズム300、400は、システム100およびスキャトロメトリシステム200と組み合わせて使用できるということに本明細書では留意されたい。しかし、アルゴリズム300、400は、付加的または代替的なシステムレベル実施形態でアルゴリズム300、400のステップの全部または一部を実施できるという点で、システム100およびスキャトロメトリシステム200に限定されないことをさらに理解されたい。
1つの実施形態では、アルゴリズム300、400の役割は、測定信号(たとえば、検出器アセンブリ218上の収集された照射に応じて測定された信号)からオーバレイの値を抽出することである。そのため、アルゴリズム300、400の本質は信号の特性に依存し、それゆえに、使用される特定の技術(たとえば、ハードウェア、ソフトウェア)に依存することに留意されたい。
スキャトロメトリシステム(たとえば、スキャトロメトリシステム200)では、ターゲットから反射されて適切に定義された特定の回折次数になる放射の強度は、連続パラメータの関数として測定される。分光スキャトロメトリでは、この連続パラメータは反射放射の波長であり、角度分解スキャトロメトリでは、連続パラメータは、光がターゲットから回折される極角およびアジマス角である。空間分解能が不足していることにより、スキャトロメトリツールは、ターゲットの様々なセルを順次に測定することがある。これに関して、各セルの信号は、セルの上に小スポットを集束し、イメージ平面の分光計(たとえば、分光スキャトロメトリ)を用いて、または瞳平面のCCDもしくは他のイメージセンサ(たとえば、角度分解スキャトロメトリ)を用いて強度を読み取ることによって測定することができる。したがって、スキャトロメトリ信号は、ターゲットのセルごとに別々に測定された関連の連続パラメータの関数として強度を表す。
オーバレイ計測ターゲットは、試料210の1つ以上の層に配置されたプロキシターゲット構造体である。オーバレイ計測ターゲットにより、装置/システムがターゲットの少なくとも2つの露出部間のオーバレイ誤差を決定できるようになる。原理的に、オーバレイ計測ターゲットのオーバレイ誤差の決定には、複数の露出部からの情報が含まれ得る。オーバレイ計測ターゲットを並列構造体または格子積み重ね構造体として分類すると都合がよい。どちらの場合も、計測ターゲットは複数のセルを備える。並列ターゲットの場合には、個々のセルは通常、単一の露出部からの情報を含み、格子積み重ねターゲットの場合には、2つの露出部からの情報が単一のセルにおいて重なる。原則として、並列ターゲット設計はイメージセンサと適合性があり、格子積み重ね設計はスキャトロメトリセンサと適合性があるが、例外があり得る。
スキャトロメトリ測定は通常、最大オーバレイ感度を確保するためにピッチの約25〜35%になるように設計されているシフトと共に特別に設計されたターゲットに対し実施される。垂直入射偏光反射率計の場合には、TEスペクトルは一般に、TMスペクトルよりもオーバレイに対する感度が高い。したがって、感度に影響を及ぼさずに測定時間を低減させるために、TEスペクトルだけが通常は分析される。
オーバレイターゲット間のオーバレイは通常、差分信号手法によって信号(たとえば、検出器アセンブリ218上の収集された放射に応答して生成された信号)から抽出されるということに本明細書では留意されたい。さらに、アルゴリズムおよびターゲット設計は、ゼロ次または1次スキャトロメトリが使用されるかどうかに大きく依存して異なることにも留意されたい。ゼロ次スキャトロメトリでは、各セルが単一のゼロ次信号を連続パラメータの関数として与え、1次スキャトロメトリ(たとえば、+1次および−1次)では、2つの信号が連続パラメータの関数としてセルごとに測定される。これに関して、1次スキャトロメトリに必要とされるセルは少なく、そのため場合によりターゲットが小さくなる。しかし、1次スキャトロメトリが場合によって高度の瞳均一性を必要とし得るので、1次スキャトロメトリはコストがかかりすぎることがあり、したがって、瞳非均一性の影響を低減する校正方法の質の向上が必要になる。残留瞳非均一性の影響が存続する非理想的な場合では、(1次スキャトロメトリに有利な)ターゲットサイズと(ゼロ次スキャトロメトリに有利な)性能との間にトレードオフがある。
mOVLは、オーバレイ不正確問題を解決できることを本明細書では理解されたい。たとえば、mOVLは、付加的なターゲットを追加する必要性をなくしながら正弦オーバレイモデルの誤差を取り除くことができる。この新規の方法は、プロセスばらつきおよびターゲット非対称が存在することにもかかわらず正確なオーバレイ測定を達成することができる。加えて、mOVLは、オーバレイ情報以外にリソグラフィ/プロセス情報をもたらすこともできる。たとえば、試料210を覆うレジストプロファイルの変化量をmOVLを使用して特定することができる。さらに、mOVLは、ターゲットモデル設定を簡単にすることができる。mOVLは、名目CD値を正確に推定し、感度を最適化し、パラメータ相関性を低減することができる。
2つのmOVLアルゴリズム実施形態が本明細書で説明される。すなわち、2つのmOVLアルゴリズム実施形態には、リアルタイム回帰およびライブラリ技術が含まれる。次に、図3を特に参照する。
図3は、本開示の1つ以上の実施形態による、スキャトロメトリシステムに使用されるモデルベースのオーバレイ計測(mOVL)のための、リアルタイム回帰ベースのアルゴリズム300を示す処理流れ図を示す。図3に示されるように、アルゴリズム300は、最小二乗法問題を次式の通りに解く。
Figure 2021521443
ここで、pは、オーバレイ(OVL)、臨界寸法(CD)、高さ(HT)、格子の側壁角(SWA)、膜の厚さ(TH)などの特定されるべきパラメータから成り、λ、j=1,2,...,nλは、離散波長サンプル、
Figure 2021521443
は、λにおける測定信号であり、S(p,λ)は、電磁界(EM)ソルバ(たとえば、厳密結合波解析(RCWA))によって計算されたλにおけるpのもとでのターゲットからの信号であり、pはpの測定値である。
ステップ302で信号
Figure 2021521443
が測定される。信号Sおよび
Figure 2021521443
は、ゼロ次反射率として、またはシステムの感度およびノイズレベルを考慮して事前設定オフセットが異なる2つのターゲットからの反射率間の差として、選択できるということに本明細書では留意されたい。
ステップ304で、測定信号
Figure 2021521443
が式1に入力される。本明細書で前に示したように、ステップ304の式1は、pが最少化されるようなpの値を見つけるために最小二乗法を解くことを含む。ステップ304で、pの値が最少化されなければ、アルゴリズム300はステップ306へ進む。
ステップ306で、pが修正される。次に、アルゴリズム300はステップ308へ進む。
ステップ308で、S(p)が計算される。本明細書で前に示したように、S(p)は高効率EMソルバを用いて計算することができる。これに関して、アルゴリズム300は、最小二乗法問題を解き、調査の対象のターゲットからの光応答が高効率EMソルバからリアルタイム(またはほぼリアルタイム)で算出される。別の実施形態では、この最小二乗法問題を解くために、レーベンベルク・マルクワルト法などの非線形プログラミングアルゴリズムが使用されることがある。
ステップ304に戻り、pの値が最少化されているならば、アルゴリズム300はステップ310へ進み、pが出力される。
図3に示されたリアルタイム回帰方式は、その方法を設定するのにほとんど時間を必要としないので、研究および開発段階では好まれることがあることに本明細書では留意されたい。一方、調査の対象のターゲットが複雑であるときは、EMソルバは光応答を計算するのにより長い時間を必要とすることがあり、図4に示されるようなライブラリ技術手法がより適切であり得る。
図4は、本開示の1つ以上の実施形態による、スキャトロメトリシステムに使用されるモデルベースのオーバレイ計測(mOVL)のための、ライブラリ技術ベースのアルゴリズム400の概念図を示す。
ライブラリ技術は一般に、ライブラリ生成、ライブラリ訓練、および測定を包含する。例として、mOVL用のライブラリ技術ベースのアルゴリズムは、オーバレイモデルを設定すること、角度分解信号またはスペクトル信号を計算すること、信号およびオーバレイライブラリを生成すること、ならびにライブラリを測定信号に適用してオーバレイを決定することを含み得る。1つの実施形態では、アルゴリズム400は、ライブラリ生成410、ライブラリ訓練420、および測定430をこれらだけには限らないが含む、ライブラリ技術技法を利用する。
1つの実施形態では、ライブラリ生成410は、対象のパラメータ411のサンプルを生成すること、および合成信号413を生成するために電磁(EM)ソルバ412を使用することを含む。
対象のパラメータ(たとえば、p)の測定には通常、いくつかのアルゴリズムを要する。スキャトロメトリベースの計測では本質的に逆散乱問題を解くので、ニューラルネットワークまたは非線形回帰などのシステム特定アルゴリズムが、対象のパラメータを散乱信号から抽出するために必要になる。加えて、構造体の散乱特性を理論的に予測するには、電磁(EM)ソルバが、入射ビーム(たとえば、照射201)と試料210との光学的相互作用をモデル化するために必要になる。EMソルバの例には、厳密結合波解析(RCWA)、有限要素法(FEM)、モーメント法(MoM)、表面積分法、体積積分法、有限差分時間領域(FDTD)などが、これらだけには限らないが含まれ得る。本明細書で前に示したように、本開示では、モデルベースのオーバレイ計測(mOVL)をアルゴリズムアーキテクチャとして使用する。mOVLはアイデアを光学臨界寸法(OCD)計測から借りており、臨界寸法(CD)が広帯域スペクトルまたは角度散乱情報から正確に推定される。
1つの実施形態では、図4に示されるように、対象のパラメータ(たとえば、p)411のサンプルが生成される。対象のパラメータ411の組み合わせごとに、これらのパラメータを持つターゲット(たとえば、サンプル210上のターゲット)から反射された信号が、EMソルバ412を使用して全波長について計算され合成信号413が生成される。EMソルバ412は、厳密結合波解析(RCWA)をこれだけには限らないが含み得る。1つの実施形態では、このプロセスは、S(λ,p)=f(λ,p)として記述することができ、ここで、f(λ,p)は、スキャトロメトリの物理学と、計測システム(たとえば、システム200)の光学部品を通過する散乱光の伝播とによって支配される。
別の実施形態では、アルゴリズム400はライブラリ訓練420を含む。ライブラリ訓練420では、ニューラルネットワークなどの機械学習モデル422が使用されて、(411からの)パラメータ423を(413からの)合成信号421と関連付ける。このプロセスはp=f−1○Sとして記述することができ、ここで、f−1は、S(λ,p)を使用する機械学習回帰モデルを、全波長およびパラメータの組み合わせに対して入力と呼び、pを、パラメータのすべての組み合わせに対して出力と呼ぶことによって得られる。これらの逆問題の不良条件の性質を考慮すると、訓練モデルの一般化を確実なものにするには適切な正則化技法が導入される必要があり得るということに本明細書では留意されたい。
別の実施形態では、アルゴリズム400は測定430を含む。1つの実施形態では、測定信号431は、計測システム(たとえば、システム200)から最初に得られる。これに関して、測定信号431はシステムノイズを含み得ることに留意されたい。別の実施形態では、測定信号431は次に、機械学習モデル432(たとえば、機械学習モデル422から得られた機械学習モデル432)に供給される。別の実施形態では、機械学習モデル432の出力は、オーバレイ結果433(たとえば、測定出力p)を含む。このプロセスは
Figure 2021521443
として記述することができ、ここで
Figure 2021521443
は、全波長の信号から成るベクトルである。
行列Sを圧縮するために主成分分析などの次元縮小技法を使用できるということに本明細書では留意されたい。これに関して、機械学習モデルの特徴の数、したがってパラメータの数を大幅に低減できることに留意されたい。さらに、主成分分析はまた、モデルの正則化をある程度まで導入することもできる。スキャトロメトリにおいて信号行列Sの次元を低減する際に主成分分析を使用することのより詳細な説明は、2013年5月2日に発行されたパンデフ(Pandev)の米国特許出願公開第2013/0110477号に見ることができる。この特許出願は、その全体が参照により本明細書に組み込まれる。
本明細書に記載のシステム、方法、およびアルゴリズムの様々な実施形態は説明のためだけのものであり、記載された特定の実施形態に本開示の範囲を限定するものではないことにさらに留意されたい。したがって、本明細書に記載されたオーバレイ計測システム、方法、およびアルゴリズムは、図示され記述された特定の実施形態に限定されるべきものではなく、本明細書に記載の原理および新規の特徴と整合する最も広い範囲が与えられるべきものである。
たとえば、試料の第1の層に形成された第1の構造体および第2の層に形成された第2の構造体(第1と第2の構造体の間には所定のオフセットがある)をそれぞれが有する複数の周期的なターゲットに対し、以下の計器の任意の組み合わせが光信号を測定するために使用されてよい。すなわち、イメージング反射率計、イメージング分光反射率計、偏光分光イメージング反射率計、走査反射率計システム、並列データ取得が可能な2つ以上の反射率計を備えたシステム、並列データ取得が可能な2つ以上の分光反射率計を備えたシステム、並列データ取得が可能な2つ以上の偏光分光反射率計を備えたシステム、ステージ装置を動かさずに、またはいかなる光学要素もしくは反射率計ステージも動かさずにシリアルデータ取得が可能な2つ以上の偏光分光反射率計を備えたシステム、イメージング分光計、波長フィルタを備えたイメージングシステム、ロングパス波長フィルタを備えたイメージングシステム、ショートパス波長フィルタを備えたイメージングシステム、波長フィルタがないイメージングシステム、干渉型イメージングシステム、イメージング楕円偏光計、イメージング分光楕円偏光計、走査楕円偏光計システム、並列データ取得が可能な2つ以上の楕円偏光計を備えたシステム、ウェハステージを動かさずに、またはいかなる光学要素もしくは楕円偏光計ステージも動かさずにシリアルデータ取得が可能な2つ以上の楕円偏光計を備えたシステム、マイケルソン干渉計、マッハ・ツェンダー干渉計、サニャック干渉計、走査入射角システム、および走査アジマス角システムである。
図5は、本開示の1つ以上の実施形態による、高品質顕微鏡対物レンズ508および鏡筒レンズ516を含むオーバレイ計測システム500の簡略図を示す。1つの実施形態では、計測システム500は、鏡筒レンズ516、顕微鏡対物レンズ508、および検出器アセンブリ518を含む。別の実施形態では、システム500は、試料510を測定または検査するように構成される。図1および図2のシステム100、200に関連する説明は、本明細書で特にことわらない限り、図5の計測システム500に当てはまるとみなされ得ることに本明細書では留意されたい。
1つの実施形態では、計測システム500は、顕微鏡対物レンズ508および鏡筒レンズ516を含めて、エピ照射顕微鏡に似ている。試料510の表面のオーバレイターゲットが、対物レンズ508によって検出器アセンブリ518に結像される。検出器アセンブリ518は、CCD、イメージセンサ、および分光計をこれらだけには限らないが含む、当技術分野で知られている任意の検出器アセンブリを含み得る。1つの実施形態では、計測システム500の光学要素は、色収差および非対称収差を最小限にして正確なオーバレイ測定を可能にするように設計される。
1つの実施形態では、照射が対物レンズ508を経由して導かれ、試料510の表面に集束される。別の実施形態では、対物レンズ508は、高開口数(NA)対物レンズである(すなわち、約0.9以上のNAを有する)。これに関して、対物レンズ508から試料510上へ放射する照射の光線は、比較的大きい円錐角を満たす。0.9NAは、試料510に打ち当たる光線のアークサイン(0.90)≒64°の最大円錐半角と等価である。したがって、高NA対物レンズは比較的大きい入射瞳を有する。低NA対物レンズと比較して高い空間解像度像に加えて、高NA対物レンズ508を使用することのさらなる利点は、測定される構造体に応じて、入射瞳の異なる部分を照射のために使用できることである。これに関して、入射瞳(たとえば、照射瞳)が比較的大きいことは、瞳のサブ領域を選択的に照射することを可能にし、このことが、対物レンズ508の総合開口数(NA)によって得られる実現可能な照射角のサブセットにつながる。
システム500がオーバレイ計測に使用されているとき、対物レンズ508は試料510においてテレセントリックとすることができ、横方向色収差が非常に小さくなり得る(たとえば、測定されている構造体に対応する視野全体にわたって約20nm未満の、好ましくは約10nm未満の横方向色収差)。1つの実施形態では、対物レンズ508のテレセントリック性は5mrad(ミリラジアン)未満とすることができる。例として、対物レンズ508のテレセントリック性は、意図された動作の波長範囲全体(一般に少なくとも400nm〜800nmに及ぶ波長範囲)にわたって約2mrad以下とすることができる。オーバレイ計測では、測定は通常、横寸法が約5μmから約40μmまでの間の構造体について行われる。これに関して、収差仕様は対物レンズ508の視野の中心部が最も重要であり、横寸法が約10μmから約50μmまでの間にある。対物レンズ508の視野のこの中心部は、本明細書では「重要視野」と呼ばれる。「重要視野」の外側にある、対物レンズ508の外側の領域はあまり重要ではなく、これらの外側領域ではもっと大きい収差が許容され得ることが理解されよう。対物レンズ508のより詳細な説明は、チャン(Chuang)らの米国特許第7,245,438号および第7,474,461号に見出すことができる。これらの特許は、その全体が参照により本明細書に組み込まれる。
別の実施形態では、試料510によって反射、回折、または散乱された光が対物レンズ508によって収集され、この対物レンズは、収集された照射を鏡筒レンズ516までビームスプリッタ502および504を経由して導くように構成される。これに関して、2つのビームスプリッタ502および504は、対物レンズ508と鏡筒レンズ516の間の光路に設置される。1つの実施形態では、第1のビームスプリッタ(たとえば、ビームスプリッタ502または504)は、照射源(図示せず)からの照射を対物レンズ508までエピ照射のために導くように構成することができる。別の実施形態では、第2のビームスプリッタ(たとえば、ビームスプリッタ502または504)は、リニク干渉計の参照アームを形成するように、または別法として、対物レンズ508によって収集された照射の一部分を自動焦点センサまで導くように構成することができる。ビームスプリッタ502および504についてのより完全な説明は、図1およびその関連記述を参照すれば見出すことができる。図5に示された要素、ならびにその付随する記述は、説明的および例示的なものにすぎないことに留意されたい。これに関して、光学要素の代替的な構成が、本開示の趣旨および範囲から逸脱することなく実施され得る。たとえば、一代替実施形態では、単一のビームスプリッタが、ビームスプリッタ502および504の機能を実行するように構成され得る。
1つの実施形態では、試料510から反射、回折、または散乱された照射が、ビームスプリッタ502および504を経由して鏡筒レンズ516まで導かれる。1つの実施形態では、鏡筒レンズ516は、試料510の表面の像を検出器アセンブリ518上に生成するように構成される。別の実施形態では、鏡筒レンズ516は、試料510の表面の像を検出器アセンブリ518上に、および対物レンズ508の瞳絞りの像を位置501に同時に生成するように構成される。鏡筒レンズ516はさらに、発生する横方向色収差を対物レンズ508のものと比較して最小にするように構成することができる(すなわち、鏡筒レンズ516の横方向色収差は、対物レンズ508の重要視野については2〜3nm以下になる)。1つの実施形態では、鏡筒レンズ516は、試料510表面の像の横方向色収差、および瞳絞りの像501の横方向色収差が小さくなるように構成される(すなわち、試料510表面の像の横方向色収差は、対物レンズ508の重要視野については物体平面において2〜3nm以下であり、瞳絞りの像の横方向色収差は、瞳絞りの501における像の直径の2〜3%未満(約1%以下など)である)。別の実施形態では、鏡筒レンズ516は、検出器アセンブリ518上の像と位置501における瞳絞りの像との両方に対して、発生する軸方向色収差が最小(すなわち、約200μm未満または約100μm未満)になるように構成される。この実施形態では、鏡筒レンズ516が引き起こす対物レンズ508の軸方向色収差の変化は最大限でも小さく、このことは、対物レンズ508の軸方向色収差が小さい場合に有利である。
別の実施形態では、対物レンズ508は、位置501における瞳絞りの像と検出器アセンブリ518上の像との両方に対して横方向色収差が小さくなるように、また検出器アセンブリ518上の像の軸方向色収差が小さくなるように、しかし位置501における瞳の像の軸方向色収差は200μmより大きくなるように、場合により2〜3mmほどに大きくなるように(鏡筒レンズ516によって補償されなければ)、構成される。この実施形態では、鏡筒レンズ516は、位置501における瞳絞りの像と検出器アセンブリ518上の像との両方に対して横方向色収差が小さくなるように、また検出器アセンブリ518上の像の軸方向色収差が小さくなるように、しかし対物レンズ508の、瞳絞りの像の軸方向色収差を実質的に相殺する瞳の像の軸方向色収差を有するように、構成される(すなわち、対物レンズ508と鏡筒レンズ516の組み合わせによる、位置501における瞳絞りの像の、結果として生じる軸方向色収差は約200μm未満、または約100μmになる)。この実施形態の利点は、対物レンズ508が、検出器アセンブリ518上の像の横方向および軸方向色収差と、対物レンズ508の瞳絞りの位置501における像の横方向色収差とを、対物レンズ508の瞳絞りの位置501における像の軸方向色収差もまた同時に最小にしようとするための追加の制約なしに、同時に最小にするように構成できることである。位置501における瞳絞りの像は一般に直径が約10mmであるので、瞳絞りの像の横方向色収差は、この位置に配置できる開口の製造許容値と比較して小さくなければならない(すなわち、直径10mmの像では100μm未満、約50μm未満、または約20μm未満)。有利なことに、この構成により、計測システム500の色収差のレベルが全体的に低くなり得る。
上述の実施形態は両方とも、広帯域の軸方向色補正を、低レベルの横方向色収差を同時に達成しながら可能にできるということに本明細書では留意されたい。加えて、対物レンズ508および鏡筒レンズ516を備える計測システム500は、像色収差と瞳色収差の両方について補正される。対物レンズ508の瞳絞りの像の位置501は、対物レンズ508および鏡筒レンズ516が本明細書に記載のように構成された場合、異なる周波数に対して移動しないことにもさらに留意されたい。従来の鏡筒レンズは、軸方向色収差を補正できるが、鏡筒レンズ516の横方向色収差が対物レンズ508よりも悪いことがある。さらに、従来の鏡筒レンズは、検出器アセンブリ518上の像の横方向および軸方向収差を補正できるが、位置501における瞳絞りの像の収差は補正できない(または収差を導入することがある)。比較して、本開示のシステム500は、対物レンズ508の横方向色収差がまさに2〜3nm以下にまで低減されることを可能にし得る。その理由は、像と瞳のどちらかまたは両方の200〜300μmの軸方向色収差が、これらの軸方向色収差を鏡筒レンズ516が横方向色収差を劣化させずに補正できることにより、許容され得るからである。
1つの実施形態では、ビームスプリッタまたはフリップインミラー(図示せず)が、対物レンズ508の瞳絞り(図示せず)の像が第2の検出器(図示せず)まで導かれるようにするために、鏡筒レンズ516と検出器アセンブリ518の間に配置される。別の実施形態では、システム500は、1つ以上のプロセッサ(図示せず)を備えたコントローラ(図示せず)を含む。この実施形態では、1つ以上のプロセッサ(図示せず)は、鏡筒レンズ516と検出器アセンブリ518の間の照射路へのレンズ(図示せず)の挿入を制御することができ、このレンズは、対物レンズ508の瞳絞りの像を検出器アセンブリ518上に形成するように構成される。
図5を引き続き参照すると、図5は、検出器アセンブリ518上の、試料510表面の像の小さい横方向色収差および軸方向色収差と、位置501における、対物レンズ508の瞳絞りの像の小さい横方向色収差および軸方向色収差とを同時に実現するように構成された計測システム500を示す。この実施形態では、鏡筒レンズ516は、ダブレット524、レンズ526、528および530、ならびにトリプレット532を含む複数のレンズ要素を含む。1つの実施形態では、ダブレット524、レンズ526、およびレンズ528は、試料510の表面の中間像を位置503に生成するように構成される。別の実施形態では、レンズ530およびトリプレット532は、試料510の表面の像を検出器アセンブリ518上に最小の収差で生成するように構成される(すなわち、レンズ530およびトリプレット532は、位置503の中間像のいかなる収差も実質的に相殺するように構成される)。トリプレット532は、鏡筒レンズ516の構成に余分の自由度を与えるために小さいエアギャップを含み得ることに留意されたい。
従来の鏡筒レンズは、試料510の表面の中間像を位置503に生成するように構成されたダブレットまたトリプレット(ダブレット524など)と、位置503の中間像の像を検出器アセンブリ518に生成するように構成された別のダブレットまたはトリプレット(トリプレット532など)とで構成されることがある。しかし、従来の鏡筒レンズは、試料510表面と瞳絞りの両方の像の小さい横方向色収差および軸方向色収差を同時に実現するように設定されるべき十分な自由度を有していない。さらに、従来の鏡筒レンズはまた、瞳絞りの像を所望の位置501に配置するように設定されるべき十分な自由度を有していないこともある。これに関して、検出器アセンブリ518の像の位置の移動を最小限にしながら瞳絞りの像の位置を変えるには、視野レンズを中間像の位置(たとえば、位置503)に配置すればよいことがよく知られている。しかし、視野レンズには、その面に不完全さがもしあれば、検出器アセンブリ518上の像の品質を低下させるように視野レンズの面が検出器アセンブリ518に実質的に焦点が合うことになるという、よく知られている不利点がある。
1つの実施形態では、鏡筒レンズ516はレンズ528および530を含み、これらのレンズは、他のレンズ要素と組み合わせて位置501の瞳の像および検出器アセンブリ518上の像の小さい横方向色収差および軸方向色収差を確保しながら、位置501において対物レンズ508の瞳絞りの像の位置を制御するように構成される。1つの実施形態では、図5に示されるように、レンズ530は、周辺光線と主光線がレンズ530およびトリプレット532の実質的に両側を確実に通過するように負レンズとして構成される。これに関して、図示のように、主光線はトリプレット532およびレンズ530の下側を通過するのに対し、周辺光線はトリプレット532およびレンズ530の上側を通過する。比較して、主光線と周辺光線の両方がダブレット524およびレンズ526の同じ側を通過する(すなわち、図示のように下側)。
1つの実施形態では、光線束520は、対物レンズ508の視野の中心から出る光線を描写する。光線束520の光線は、検出器アセンブリ518上の像の中心に集束することができる。別の実施形態では、光線束520は周辺光線を含み、この光線は、対物レンズ508の瞳絞りにちょうど触れる視野の中心からの光線である。
別の実施形態では、光線束522は、対物レンズ508の重要視野の1つの縁部から出る光線を示す。光線束522の光線は、検出器アセンブリ518上の像の中心から離れている点に集束することができる。1つの実施形態では、光線束522は主光線を含み、これは、対物レンズ508の重要視野の縁部からの、対物レンズ508の瞳の中心を通過する光線である(すなわち、主光線は図示の束522の中心の光線である)。軸方向色収差への光学面の寄与は、その面を通過するときの周辺光線の高さの二乗に比例するのに対し、その面の横方向色収差への寄与は、その面を通過するときの周辺光線と主光線の高さの積に比例するということに本明細書では留意されたい。これに関して、鏡筒レンズ516は、鏡筒レンズ516内の位置503における中間像のどちらかの側のレンズ要素上の周辺光線と主光線の高さの積の反対符号により、最小の横方向色収差および軸方向色収差を有するように構成できることにさらに留意されたい。
図5を引き続き参照すると、別の実施形態では、鏡筒レンズ516はさらに、周辺光線がダブレット524およびレンズ526の光学軸(たとえば、中心)の近くを進むのに対し、主光線は光学軸からかなり遠く離れて進むように構成することができる。この実施形態では、鏡筒レンズ516は、位置501の瞳の像および検出器アセンブリ518上の像の両方の小さい横方向色収差と、検出器アセンブリ518上の像の小さい軸方向色収差とを維持しながら、瞳の像のかなり大きい軸方向色収差(たとえば、約100μmより大きい)を生成するように構成することができる。この実施形態では、鏡筒レンズ516は、その瞳軸方向色収差が対物レンズ508の瞳軸方向色収差を実質的に相殺する(たとえば、約200μm未満に、または約100μm未満に低減する)ように構成される。瞳軸方向色収差のこの低減は、周辺光線と主光線の役割が試料510の表面の像と瞳の像の間で入れ替わるので、実現可能である。言い換えると、試料510の表面の像の周辺光線は、瞳の像の主光線であり、逆も同様である。これに関して、鏡筒レンズ516は、像の主光線(すなわち、瞳の像の周辺光線)が、光軸からかなりずれているダブレット524およびレンズ526の面を進む構成であるので、適切な量の瞳軸方向色収差が、他の収差を小さく保ちながら(対物レンズ508の対応する収差を実質的に相殺するように)生成され得る。
別の実施形態では、システム500は、収集された照射の一部分を阻止するように構成された、位置503の視野絞りを含む。この視野絞りは、図1および図2に示されたコントローラの1つ以上のプロセッサによって挿入、除去、または調整をすることができる。これに関して、位置503の視野絞りは、計測システムの収集アーム(たとえば、収集アーム105、205)に使用される。スキャトロメトリオーバレイシステムでは、視野絞りサイズは、信号汚染と瞳イメージング解像度の間でトレードオフをするために、試料510の表面のターゲットの所与のサイズに対して最適化することができる。
1つの実施形態では、照射瞳の開口は、対物レンズ508の開口数の角度の1つのサブセットを照射するように構成することができ、位置501の収集瞳の別の開口は、対物レンズの開口数の角度の実質的に重なり合わないサブセットから照射を収集するように構成することができる。これに関して、回折または散乱された照射は、鏡面反射を実質的に遮りながら収集することができ、それによって暗視野像が生成される。たとえば、実質的に遮ることは、試料510の表面からの鏡面反射照射パワーの少なくとも90%を遮ることを伴い得る。
図1を参照して上で説明したように、検査および/または計測システム100の1つの実施形態では、照射路は、鏡筒レンズ516と同様の鏡筒レンズを用いて構成することができる。1つの実施形態では、照射路の鏡筒レンズは、位置501および/または503と共役の位置の開口と共に構成することができ、この開口はさらに、コントローラ120の1つ以上のプロセッサ122によって制御することができる。コントローラ120の1つ以上のプロセッサ122は、対象のフィーチャに対する検査または計測システム100の感度を高めるように照射路および収集路の開口を制御することが、膜層の厚さのばらつきなどの対象ではない試料110の他のフィーチャの感度は下げながらできる。
図6は、本開示の1つ以上の実施形態による、複数の測定サブシステムを含む計測システム600の簡略図を示す。システム600は、本明細書に開示のシステム、アルゴリズム、または実施形態の1つ以上を実施するように構成できることが考えられる。
1つの実施形態では、計測システム600は、ビームプロファイル楕円偏光計(BPE)610、ビームプロファイル反射率計(BPR)612、広帯域反射分光計(BRS)614、遠紫外線反射分光計(DUV)616、広帯域分光楕円偏光計(BSE)618、および参照楕円偏光計602を含む、光学測定デバイスを含む。別の実施形態では、システム600は、レーザ620、レーザ690、および照射源102を含む。別の実施形態では、レーザ620はプローブビーム624を生成することができ、照射源102はプローブビーム626を生成することができる。プローブビーム626は、レンズ628によって平行にすること、および鏡629によってプローブビーム624と同じ経路に沿って導くことができる。1つの実施形態では、レーザ620は、可視波長の、または670nmに近い波長などの近IR波長の直線偏光3mWビームを発する固体レーザダイオードを含む。別の実施形態では、照射源102は、約200nm〜800nmまたはそれより広いスペクトルにわたる多色ビームを生成する広帯域レーザポンププラズマ灯を含む。別の実施形態では、プローブビーム624、626は鏡630で反射され、鏡642を通過して試料604に至る。
1つの実施形態では、プローブビーム624、626は、1つ以上の対物レンズによって試料604の表面に集束される。たとえば、1つ以上の対物レンズは、レンズ632および/またはレンズ633を含み得る。別の実施形態では、2つのレンズ(たとえば、レンズ632、633)がタレット(図示せず)に取り付けられ、また別法として、プローブビーム624、626の経路の中へ移動することができる。1つの実施形態では、レンズ632は、試料604の表面に対し大きい入射広がり角を作り出すための高開口数(0.90NAのオーダ)を有する顕微鏡対物レンズである。別の実施形態では、レンズ632は、直径が約1マイクロメートルのスポットサイズを作り出すように構成される。別の実施形態では、レンズ633は、低開口数(0.1〜0.4NAのオーダ)を有する反射レンズであり、遠紫外線光を約10〜15マイクロメートルのスポットサイズに集束することができる。
ビームプロファイル楕円偏光法(BPE)については、1993年1月19日発行の米国特許第5,181,080号で論じられており、同特許はその全体が参照により本明細書に組み込まれる。1つの実施形態では、BPE 610は4分の1波長板634、偏光子636、レンズ638、および四分円センサ640を含む。動作の際、直線偏光プローブビーム624は、レンズ632によって試料604上に集束される。試料604の表面から反射された光は、レンズ632を通過して上へ進み、鏡642、630および644を通過し、鏡646によってBPE 610の中へ導かれる。反射プローブビーム中の光線の位置は、試料604の表面に対する特定の入射角に対応する。4分の1波長板634は、ビームの偏光状態のうちの1つの位相を90度だけ遅らせる。直線偏光子636により、ビームの2つの偏光状態が互いに干渉する。最大信号では、偏光子636の軸が、4分の1波長板634の速軸および遅軸に対して45度の角度に向けられなければならない。
1つの実施形態では、BPE 610のセンサ640は、径方向に配置された4つの四分円を備える4セルセンサであり、この四分円はそれぞれ、プローブビーム624の4分の1を切り取り、その四分円に打ち当たるプローブビーム624の一部分のパワーに比例する別個の出力信号を生成する。別の実施形態では、各四分円からの出力信号が1つ以上のプロセッサ648へ伝達される。ビームの偏光状態の変化を監視することによって、ΨおよびΔなどの偏光解析情報を米国特許第5,181,080号で論じられているように決定することができる。同特許はその全体が参照により本明細書に組み込まれる。
1つの実施形態では、BRP 612は、試料604の反射率を測定するために、レンズ650、ビームスプリッタ652、および2つのリニアセンサアレイ654、656を含む。動作の際、直線偏光プローブビーム624がレンズ632によって試料604上に集束されるので、プローブビーム624中の様々な光線が、ある範囲の入射角で試料604の表面に打ち当たる。試料604の表面から反射された光は、レンズ632を通過して上へ進み、鏡642、630を通過し、鏡644によってBRP 612の中へ導かれる。ビームプロファイル反射測定法(BPR)については、1991年3月12日に発行された米国特許第4,999,014号でさらに詳細に論じられており、同特許はその全体が参照により本明細書に組み込まれる。
1つの実施形態では、反射プローブビーム624中の光線の位置は、試料604の表面に対する特定の入射角に対応する。別の実施形態では、BPR 612のレンズ650がビームを二次元に空間放散する。別の実施形態では、ビームスプリッタ652がビームのs成分とp成分を分離し、センサアレイ654、656が、s偏光とp偏光についての情報を分離するために互いに直交して配向される。高い入射角度に対応する光線は、センサアレイ654、656の両端部近くに落ちる。センサアレイ654、656の各要素からの出力は、異なる入射角度に対応する。センサアレイ654、656は、反射されたプローブビーム624全体にわたって強度を、試料604の表面に対する入射角の関数として測定する。1つの実施形態では、センサアレイ654、656は、1つ以上のラインセンサを含み得る。
別の実施形態では、1つ以上のプロセッサ648は、センサ654、656の出力を受け取り、角度依存強度測定値に基づいて試料604の薄膜層608の厚さおよび屈折率を導出する。別の実施形態では、1つ以上のプロセッサ648は、様々なタイプのモデリングアルゴリズムを利用することによって薄膜層608の厚さおよび屈折率を導出する。たとえば、1つ以上のプロセッサ648は、最小二乗フィッティングルーチンなどの繰り返し処理を用いる、最適化ルーチンを利用することができる。このタイプの最適化ルーチンの1つの例が、ファントン(Fanton)らの「Multiparameter Measurements of Thin Films Using Beam−Profile Reflectivity」、Journal of Applied Physics、Vol.、73、No.11、7035頁、1993年に記載されている。別の例が、レング(Leng)らの「Simultaneous Measurement of Six Layers in a Silicon on Insulator Film Stack Using Spectrophotometry and Beam Profile Reflectometry」、Journal of Applied Physics、Vol.81、No.8、3570頁、1997年に発表されている。これら両方の出版物は、その全体が参照することにより本明細書に組み込まれる。
1つの実施形態では、広帯域反射分光計(BRS)614が、複数の波長の光を用いて試料604を同時に探査する。別の実施形態では、BRS 614は広帯域分光計658を含む。広帯域分光計658は、当技術分野で知られている任意のタイプの広帯域分光計を含み得る。別の実施形態では、広帯域分光計658は、レンズ660、開口662、分散要素664、センサアレイ666を含む。動作中、照射源102からのプローブビーム626は、レンズ632によって試料604上に集束される。試料604の表面から反射された光は、レンズ632を通過して上へ進み、鏡684を通過して広帯域分光計658まで鏡642によって導かれる。レンズ660は、プローブビームを集束して開口662に通し、この開口は、分析するために試料604の表面に視野のスポットを画定する。
1つの実施形態では、分散要素664が、センサアレイ666に含まれる個々のセンサ要素に向けてビームを波長に応じて角度分散させる。センサアレイ666の別々のセンサ要素は、プローブビームに含まれる別々の波長の光強度を好ましくは同時に測定することができる。別の実施形態では、センサアレイ666はラインセンサを含む。分散要素664は、回折格子、プリズム、ホログラフィック板などを、これらだけには限らないが含み得るということに本明細書では留意されたい。1つの実施形態では、分散要素664は、光を波長に応じて1つの方向に分散させ、また試料604の表面に対する入射角に応じて直角の方向に分散させ、それにより、波長と入射角の両方に応じた同時測定が可能になる。この実施形態では、センサアレイ666は、2つまたは3つのスペクトルを同時に収集するように構成されたラインセンサを含むことができ、各スペクトルは、異なる範囲の入射角に対応する。別の実施形態では、1つ以上のプロセッサ648は、センサアレイ666によって測定された強度情報を処理する。
1つの実施形態では、システム600は、遠紫外線反射分光計(DUV)616を含む。1つの実施形態では、DUV 616は、複数の波長の紫外線光を用いて試料604を同時に探査する。DUV 616は、BRS 614と同じ広帯域分光計658を使用してプローブビーム626を分析することができ、ここでDUV 616は、集束レンズ632の代わりに反射レンズ633を使用することに留意されたい。これに関して、DUV 616を動作させるために、レンズ632、633を含むタレット(図示せず)を回転させることができ、それにより、反射レンズ633はプローブビーム626とアライメントされる。反射レンズ633は、固体対物レンズがUV光を試料604上に十分に集束できないために必要になり得るということに本明細書では留意されたい。
広帯域分光楕円偏光法(BSE)については、1999年3月2日に発行されたアスプネス(Aspnes)らの米国特許第5,877,859号で論じられており、同特許はその全体が参照により本明細書に組み込まれる。1つの実施形態では、BSE 618は、偏光子670、集束鏡672、コリメート鏡674、回転補償器676、および分析器680を含む。動作の際、鏡682は、プローブビーム626の少なくとも一部を偏光子670に向け、この偏光子は、知られているプローブビーム626の偏光状態を作り出す。別の実施形態では、偏光子670は、直線偏光状態を作り出す。別の実施形態では、鏡672が、プローブビーム626を試料604の表面上に、ある斜角で、理想的には試料604の表面の垂線に対して70度のオーダで集束する。
よく知られている偏光解析原理、ならびに試料604の膜608および基板606の組成および厚さに基づいて、反射ビームは一般に、試料604と相互作用した後に、混合直線・円偏光状態を有する。別の実施形態では、反射ビームは、このビームを回転補償器676へ向ける鏡674によって平行にされる。補償器676は、一対の互いに直交する偏光ビーム成分間に相対位相遅れδ(位相遅延)を導入することができる。別の実施形態では、補償器676は、ビームの伝播方向と実質的に平行な軸のまわりに角速度ωで、好ましくはモータ678によって回転される。別の実施形態では、分析器680が、そこに入射する偏光状態を混合する。1つの実施形態では、分析器680は直線偏光子である。分析器680から送出される光を測定することにより反射プローブビームの偏光状態を決定できるということに本明細書では留意されたい。別の実施形態では、鏡684がビームを分光計658に向け、この分光計は、補償器/分析器の組み合わせを通過する反射プローブビーム656中の光の異なる波長の各強度をセンサ666で同時に測定する。本明細書で前に示したように、センサ666はラインセンサを含み得る。別の実施形態では、1つ以上のプロセッサ648は、センサ666の出力を受け取ると、偏光解析値ΨおよびΔなどの試料特性を解明するために、米国特許第5,877,859号で論じられているように、センサ666によって測定された強度情報を波長の関数として、また補償器676の、その回転軸まわりのアジマス(回転)角の関数として処理する。
別の実施形態では、検出器686は鏡646の上方に配置され、アライメントおよび焦点合わせを目的として、試料604から反射されたビームを視認するために使用することができる。
1つの実施形態では、BPE 610、BPR 612、BRS 614、DUV 616、およびBSE 618を校正するために、計測システム600は、参照試料604と一緒に使用できる波長安定校正参照楕円偏光計602を含む。別の実施形態では、楕円偏光計602は、光源690、偏光子692、レンズ694、696、回転補償器698、分析器605、および検出器605を含む。
1つの実施形態では、光源690は、既知の安定した波長および安定した強度を有する準単色プローブビーム697を生成する。既知の一定または測定された値であるビーム607の波長は、1つ以上のプロセッサ648に供給され、それにより楕円偏光計602は、システム600の光学測定デバイスを正確に校正することができる。
1つの実施形態では、ビーム607は偏光子692と相互作用して、知られている偏光状態を作り出す。別の実施形態では、偏光子692は石英ローションプリズムから作られた直線偏光子であるが、一般に偏光は、必ずしも直線でなくても完全でなくてもよい。偏光子692はまた、方解石から作製されてもよいということに本明細書では留意されたい。1つの実施形態では、偏光子692のアジマス角は、偏光子692から出ていく直線偏光ビームと関連付けられた電気ベクトル平面が入射平面に対して既知の角度になるように向けられ、この入射平面は、ビーム607の伝播方向によって画定され、試料604表面に対して直角である。感度は、PとSの偏光成分の反射強度がほぼ均衡しているときに最適化されるということに本明細書では留意されたい。これに関して、アジマス角は30度のオーダになるように選択することができる。偏光子692は、光源690が所望の既知の偏光状態で光を発する場合には省かれてもよいということに本明細書ではさらに留意されたい。
1つの実施形態では、ビーム607はレンズ694によって、ある斜角で試料604上に集束される。ビーム607は、試料604の特性に対する感度が材料のブルースター角または準ブルースター角の近くで最大化されるので、理想的には試料604の表面の垂線に対して70度のオーダの角度で試料604に入射する。よく知られている偏光解析原理に基づいて、反射ビームは一般に、試料604と相互作用した後に、入ってくるビームの直線偏光状態と比較して、混合した直線偏光と円偏光の状態を有する。
1つの実施形態では、レンズ696は、ビーム607をそれが試料604から反射した後に平行にする。次にビーム607は、回転補償器(リターダ)698を通過し、この回転補償器は、一対の互いに直交する偏光ビーム成分間に相対位相遅れδ(位相遅延)を導入する。位相遅延量は、波長と、補償器698を形成するために使用された材料の散乱特性と、補償器698の厚さとの関数になり得る。1つの実施形態では、補償器698は、ビーム607の伝播方向と実質的に平行な軸のまわりに角速度ωで、好ましくはモータ601によって回転される。補償器698には、従来の波長板補償器が含まれ得るということに本明細書では留意されたい。たとえば補償器698には、結晶石英で作製された従来の波長板補償器が含まれ得る。別の実施形態では、補償器698の厚さおよび材料は、所望のビーム位相遅延が誘導されるように選択される。約90度の位相遅延が好都合であるということに本明細書では留意されたい。
別の実施形態では、補償器698との相互作用に続いて、ビーム607は次に分析器603と相互作用し、この分析器は、それに入射する偏光状態を混合するように機能する。1つの実施形態では、分析器603は別の直線偏光子であり、入射平面に対して45度のアジマス角で配向されている。分析器603は、入ってくる偏光を適切に混合するように機能する、任意の光学デバイスを含み得るということに本明細書では留意されたい。たとえば、分析器603は、石英のローションまたはウォラストンプリズムを含み得る。
補償器698は、試料604と分析器603の間か、試料604と偏光子692の間に設置できるということに本明細書では留意されたい。偏光子670、レンズ694、696、補償器698、および偏光子692はすべてその構造を、光源690によって生成される光の特定の波長に対して最適化でき、この最適化により楕円偏光計602の精度が最大になり得るということにも本明細書でさらに留意されたい。
別の実施形態では、ビーム607は検出器605に入り、検出器は、補償器/分析器の組み合わせを通過するビーム607の強度を測定する。別の実施形態では、1つ以上のプロセッサ648は、検出器605によって測定された強度情報を処理して、分析器603と相互作用した後の光の偏光状態を決定し、よって、試料604の偏光解析パラメータを決定する。1つ以上のプロセッサ648によって実行されるこの情報処理は、補償器698の、その回転軸まわりのアジマス(回転)角の関数としてビーム強度を測定することを含み得る。補償器698の角速度が通常では知られており、また一定であるので、補償器698の回転角の関数としての強度の測定値は実際上、時間の関数としてのビーム607の強度の測定値であるということに本明細書では留意されたい。
計測システム600は、2001年10月2日に発行されたローゼンクウェイグ(Rosencwaig)らの米国特許第6,297,880号にさらに詳細に記載されており、同特許はその全体が参照により本明細書に組み込まれる。2002年8月6日に発行されたオプサル(Opsal)らの、その全体が参照により本明細書に組み込まれる米国特許第6,429,943号は、計測システム600をスキャトロメトリ測定にどのように使用できるかを記載している。1997年3月4日に発行されたピウォンカ−コーレ(Piwonka−Corle)らの、その全体が参照により本明細書に組み込まれる米国特許第5,608,526号は、分光楕円偏光計および分光光度計を組み込む計測システム600の一代替実施形態を記載している。分光楕円偏光計および分光光度計のどちらかまたは両方が、本明細書に記載の試料測定方法に使用されてよいということにも本明細書ではさらに留意されたい。
上述されている本発明の構造体および方法の様々な実施形態は、本発明の原理を単に例示するものであり、本発明の範囲を上述の特定の実施形態に限定するものではない。たとえば、試料の第1の層から形成された第1の構造体および第2の層から形成された第2の構造体(第1と第2の構造体の間には所定のオフセットがある)をそれぞれが有する複数の周期的なターゲットに対し、以下の計器の任意の組み合わせが光信号を測定するために使用されてよい。すなわち、イメージング反射率計、イメージング分光反射率計、偏光分光イメージング反射率計、走査反射率計システム、並列データ取得が可能な2つ以上の反射率計を備えたシステム、並列データ取得が可能な2つ以上の分光反射率計を備えたシステム、並列データ取得が可能な2つ以上の偏光分光反射率計を備えたシステム、ウェハステージを動かさずに、またはいかなる光学要素もしくは反射率計ステージも動かさずにシリアルデータ取得が可能な2つ以上の偏光分光反射率計を備えたシステム、イメージング分光計、波長フィルタを備えたイメージングシステム、ロングパス波長フィルタを備えたイメージングシステム、ショートパス波長フィルタを備えたイメージングシステム、波長フィルタがないイメージングシステム、干渉型イメージングシステム、イメージング楕円偏光計、イメージング分光楕円偏光計、走査楕円偏光計システム、並列データ取得が可能な2つ以上の楕円偏光計を備えたシステム、ウェハステージを動かさずに、またはいかなる光学要素もしくは楕円偏光計ステージも動かさずにシリアルデータ取得が可能な2つ以上の楕円偏光計を備えたシステム、マイケルソン干渉計、およびマッハ・ツェンダー干渉計、サニャック干渉計、走査入射角システム、走査アジマス角システムである。次に、オーバレイ誤差が第1と第2の構造体の間で、周期的なターゲットからの測定光信号を分析することによって決定される。
1つ以上のプロセッサ122、222、648は、当技術分野で知られている任意の1つ以上の処理要素を含み得る。この意味では、1つ以上のプロセッサ122、222、648は、ソフトウェアアルゴリズムおよび/または命令を実行するように構成された任意のマイクロプロセッサ型デバイスを含み得る。1つの実施形態では、1つ以上のプロセッサ122、222、648は、本開示全体を通して説明したシステム100、200、500、600を動作させるように構成されたプログラムを実行するように構成された、デスクトップコンピュータ、メインフレームコンピュータシステム、ワークステーション、画像コンピュータ、パラレルプロセッサ、または他のコンピュータシステム(たとえば、ネットワークコンピュータ)から成り得る。本開示全体を通して説明されたステップは、単一のコンピュータシステムによって、または別法として複数のコンピュータシステムによって実行できることが理解されるべきである。さらに、本開示全体を通して説明したステップは、1つ以上のプロセッサ122、222、648のうちのいずれか1つ以上で実行できることが理解されるべきである。一般に、用語の「プロセッサ」は、メモリ124、224からのプログラム命令を実行する1つ以上の処理要素を有する、任意のデバイスを包含するように広く定義することができる。さらに、システム100、200、500、600の様々なサブシステム(たとえば、検出器アセンブリ118、218、センサ119、219、分光計214、コントローラ120、220)は、本開示全体を通して説明したステップの少なくとも一部分を実行するのに適しているプロセッサまたは論理要素を含み得る。したがって、上の説明は、本開示を限定するものとしてではなく、単なる例示として解釈されるべきである。
メモリ124、224には、結合された1つ以上のプロセッサ122、222、648によって実行可能なプログラム命令を記憶するのに適している、当技術分野で知られている任意の記憶媒体が含まれ得る。たとえば、メモリ124、224には、非一時的メモリ媒体が含まれ得る。たとえば、メモリ124、224には、読み出し専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、磁気または光メモリデバイス(たとえば、ディスク)、磁気テープ、ソリッドステートドライブなどが、これらだけには限らないが含まれ得る。メモリ124、224は、1つ以上のプロセッサ122、224、648を一緒に収容する共通コントローラ内に収容できるということに留意されたい。一代替実施形態では、メモリ124、224は、プロセッサ122、222、648、コントローラ120、220の物理的位置に対して遠隔に設置することができる。別の実施形態では、メモリ124、224は、本開示によって説明した様々なステップを1つ以上のプロセッサ122、222、648に実行させるためのプログラム命令を保持する。
1つの実施形態では、ユーザインターフェース(図示せず)がコントローラ120、220に通信可能に結合される。1つの実施形態では、ユーザインターフェースは、1つ以上のデスクトップ、タブレット、スマートフォン、スマート腕時計などを、これらだけには限らないが含み得る。別の実施形態では、ユーザインターフェースは、システム100、200、500、600のデータをユーザに表示するために使用される表示装置を含む。ユーザインターフェースの表示装置には、当技術分野で知られている任意の表示装置が含まれ得る。たとえば、表示装置には、液晶表示装置(LCD)、有機発光ダイオード(OLED)ベースの表示装置、CRT表示装置が、これらだけには限らないが含まれ得る。当業者には、ユーザインターフェースとの一体化ができる任意の表示装置が、本開示の実施に適していることが理解されるはずである。別の実施形態では、ユーザが、ユーザインターフェースを介してユーザに表示されたデータに応答した選択されたもの、および/または命令を入力することができる。
当業者には、本明細書に記載された構成要素(たとえば、動作)、デバイス、目的、およびこれらに伴う議論が、概念の明確化のために例として使用されていること、ならびに様々な構成修正が考えられることが理解されよう。その結果として、本明細書で用いられているように、論述された特定の例、および付随する議論は、これらのより一般的なクラスを表すものである。一般に、何か特定の例を使用するのは、そのクラスを表すものであり、特定の構成要素(たとえば、動作)、デバイス、および目的が含まれないことが限定と解釈されるべきではない。
当業者には、本明細書に記載のプロセスおよび/またはシステムおよび/または他の技術をもたらすことができる様々な手段(たとえば、ハードウェア、ソフトウェア、および/またはファームウェア)があることと、好ましい手段は、プロセスおよび/またはシステムおよび/または他の技術が配備される状況によって異なることとが理解されよう。たとえば、実施者が、速度および精度が最重要であると判断した場合には、実施者は主にハードウェアおよび/またはファームウェア手段を選ぶことができ、別法として、融通性が最重要である場合には、実施者は主にソフトウェア実施態様を選ぶことができ、さらにまた別法として、実施者はハードウェア、ソフトウェア、および/またはファームウェアのどれかの組み合わせを選ぶこともできる。それゆえに、本明細書に記載のプロセスおよび/またはシステムおよび/または他の技術をもたらすことができる実施可能な手段がいくつかあり、そのどれもが、利用されるべきどの手段も、その手段が配備される状況と、実施者の特定の関心事(たとえば、速度、融通性、予測可能性)とに依存する選択肢であるという点で(状況および関心事のいずれも変化し得る)、本質的に優位ではない。
前の説明は、当業者が特定の適用例およびその要件の文脈で提供された本発明を作製および使用できるようにするために提示されている。本明細書で用いられる、「上部」、「下部」、「上」、「下」、「上方」、「上向き」、「下方」、「下へ」、および「下向き」などの方向の用語は、説明の目的のために相対位置を提示するものであり、絶対的な基準系を指定するものではない。説明された実施形態に対する様々な修正は、当業者には明らかであり、本明細書に定義された一般的な原理は、他の実施形態に適用することができる。したがって、本発明は、図示および説明された特定の実施形態に限定されるものではなく、本明細書に記載された原理および新規な特徴と一致する最も広い範囲が与えられるべきものである。
本明細書での実質的に任意の複数形および/または単数形の用語の使用に関して、当業者には、文脈および/または用途に適切なように、複数形から単数形に、および/または単数形から複数形に変換することが可能である。様々な単数形/複数形の置換は、分かりやすくするために本明細書では明示されていない。
本明細書に記載の方法のすべては、方法実施形態の1つ以上のステップの結果をメモリに記憶することを含み得る。これらの結果は、本明細書に記載の結果のいずれも含むことができ、当技術分野で知られている任意の方法で記憶することができる。メモリには、本明細書に記載の任意のメモリ、または当技術分野で知られている任意の他の適切な記憶媒体が含まれ得る。結果が記憶された後、これらの結果は、メモリ内でアクセスすること、本明細書に記載の方法またはシステムの実施形態のいずれかで使用すること、ユーザに表示するためにフォーマットすること、別のソフトウェアモジュール、方法、またはシステムで使用することなどができる。さらに、結果は「恒久的に」、「半恒久的に」、「一時的に」、またはある期間記憶することができる。たとえば、メモリはランダムアクセスメモリ(RAM)とすることができ、結果は必ずしもメモリ内に無期限に存続しなくてもよい。
上述の方法の実施形態のそれぞれは、本明細書に記載の任意の他の方法の任意の他のステップを含み得ることがさらに考えられる。加えて、上述の方法の実施形態のそれぞれは、本明細書に記載のシステムのいずれによっても実行することができる。
本明細書に記載の主題には場合により、他の構成要素に含まれる、または接続される別の構成要素が図示される。このように描写された構成は例示的なものにすぎないこと、および実際には、同じ機能を実現する多くの他の構成を実施できることを理解されたい。概念的な意味では、同じ機能を実現するための任意の配置の構成要素が、所望の機能を実現するように効果的に「結び付けられる」。それゆえに、特定の機能を実現するために本明細書で組み合わされた任意の2つの構成要素は、構成または中間構成要素にかかわらず、所望の機能が実現するように互いに「結び付けられている」と見ることができる。同様に、そのように結び付けられた任意の2つの構成要素はまた、所望の機能を実現するために互いに「接続され」、または「結合され」ていると見ることもでき、そのように結び付けることができる任意の2つの構成要素はまた、所望の機能を実現するために互いに「結合可能」であると見ることもできる。具体的な結合可能の例としては、物理的に結合可能な、および/もしくは物理的に相互作用する構成要素、ならびに/または無線で対話可能な、および/もしくは無線で相互作用する構成要素、ならびに/または論理的に相互作用する、および/もしくは論理的に対話可能な構成要素が、これらだけには限らないが挙げられる。
さらに、本発明は添付の特許請求の範囲によって定義されることを理解されたい。当業者には、一般に、本明細書で、特に添付の特許請求の範囲(たとえば、添付の特許請求の範囲の本文)で使用される用語は一般に、「開いている」用語とされるものであることが理解されよう(たとえば、用語の「含んでいる」は、「含んでいるがこれだけに限定されない」と解釈されるべきであり、用語の「有する」は、「少なくとも有する」と解釈されるべきであり、用語の「含む」は、「含むがこれだけに限定されない」と解釈されるべきである、など)。当業者には、特定の数の導入された請求項記載物が意図されている場合には、このような意図が請求項に明示され、このような記載がなければ、このような意図は存在しないことがさらに理解されよう。たとえば、理解の助けとして、以下の添付の特許請求の範囲は、請求項記載物を導入するための「少なくとも1つの」および「1つ以上の」という導入句の使用を含み得る。しかし、このような句の使用は、同じ請求項が「1つ以上の」または「少なくとも1つ」という導入句、および「1つの(a)」または「1つの(an)」などの不定冠詞を含む場合でも、不定冠詞である「1つの」または「1つの」により請求項記載物を導入することが、このような導入された請求項記載物を含むどれか特定の請求項を、このような記載物1つだけ含む発明に限定していることを暗示していると解釈されるべきではなく(たとえば、「1つの」および/または「1つの」は通常、「少なくとも1つの」または「1つ以上の」を意味すると解釈されるべきである)、同じことは、請求項記載物を導入するために使用される定冠詞の用法についても当てはまる。加えて、特定の数の導入された請求項記載物が明示されている場合でも、当業者には、このような記載が通常は、記載された数を少なくとも意味すると解釈されるべきであることが理解されよう(たとえば、他の修飾詞がない「2つの記載物」という無修飾の記載は通常、少なくとも2つの記載物または2つ以上の記載物を意味する)。さらに、「A、B、およびCなどのうちの少なくとも1つ」に似ている表記法が使用される例では、一般に、このような構造は、当業者がこの表記法を理解する意味のものである(たとえば、「A、B、およびCのうちの少なくとも1つを有するシステム」は、Aのみ、Bのみ、Cのみ、AとB一緒に、AとC一緒に、BとC一緒に、および/またはAとBとC一緒に、などを有するシステムをこれらだけには限らないが含む)。「A、B、またはCなどのうちの少なくとも1つ」に似た表記法が使用される例では、一般に、このような構造は、当業者がこの表記法を理解する意味のものである(たとえば、「A、B、またはCのうちの少なくとも1つを有するシステム」は、Aのみ、Bのみ、Cのみ、AとB一緒に、AとC一緒に、BとC一緒に、および/またはAとBとC一緒に、などを有するシステムを、これらだけには限らないが含む)。当業者には、2つ以上の代替用語を提示する実質的にあらゆる離接的な単語および/または句が、明細書、特許請求の範囲、または図面においてであろうとなかろうと、その用語のうちの1つ、その用語のどちらか、または両方の用語を含む可能性を意図していると理解されるべきことがさらに理解されよう。たとえば、「AまたはB」という句は、「A」もしくは「B」、または「AおよびB」という可能性を含むと理解されたい。
本開示、およびその付随する利点の多くは、以上の説明によって理解されるものと考えられ、様々な変更が、開示された主題から逸脱することなく、またはその重要な利点を犠牲にすることなく、構成要素の形状、構成および配置に加えられてよいことは明らかであろう。説明された形状は例示的なものにすぎず、以下の特許請求の範囲がこのような変更を包含し含むものである。さらに、本発明は添付の特許請求の範囲によって定義されることを理解されたい。

Claims (32)

  1. 試料のオーバレイ誤差を測定するための計測システムであって、
    広帯域照射を発するように構成された広帯域照射源と、
    前記試料の上に配置されたターゲットまで前記広帯域照射を導くように構成された1つ以上の光学要素であって、前記1つ以上の光学要素が、前記ターゲットからの照射を収集して分光計まで導くように構成され、前記分光計が、前記試料から収集された複数の波長の照射をセンサの複数の要素に向けて分散させて複数の信号を生成するように構成されている、光学要素と、
    前記複数の信号と複数の計算された信号とを比較することによって、前記ターゲットの第1の構造体と第2の構造体の間のオーバレイ誤差を計算するように構成されたコントローラとを備えることを特徴とする計測システム。
  2. 請求項1に記載のシステムであって、前記コントローラがさらに、二乗差
    Figure 2021521443
    を最小にするためにターゲットのモデルのパラメータpを調整するように構成され、ここで、
    Figure 2021521443
    は、複数の波長λ、j=1,2,…,nλの複数の信号であり、S(p,λ)は、パラメータpでの複数の計算された信号であることを特徴とするシステム。
  3. 請求項2に記載のシステムであって、前記コントローラがさらに、レーベンベルク・マルクワルトアルゴリズムを使用して前記二乗差を最小にするように構成されることを特徴とするシステム。
  4. 請求項1に記載のシステムであって、前記コントローラがさらに、パラメータpの組み合わせと前記複数の波長λ、j=1,2,…,nλの複数の計算された信号S(p,λ)とを関連付ける機械学習モデルを使用して、前記複数の信号と前記複数の計算された信号とを比較することによってオーバレイ誤差を計算するように構成されることを特徴とするシステム。
  5. 請求項4に記載のシステムであって、前記コントローラがさらに、主成分分析を用いてS(p,λ)を圧縮するように構成されることを特徴とするシステム。
  6. 請求項4に記載のシステムであって、前記コントローラがさらに、厳密結合波解析(RCWA)を用いてS(p,λ)を計算するように構成されることを特徴とするシステム。
  7. オーバレイ誤差を決定する方法であって、
    第1の層に第1の構造体および第2の層に第2の構造体をそれぞれが有する複数の周期的なターゲットのある試料を提供するステップであって、前記第1と第2の構造体の間に少なくとも1つの所定のオフセットがあるステップと、
    照射を前記試料に向けて集束するステップと、
    前記試料から照射を受けるステップと、
    前記受けた照射を分光計まで導くステップであって、前記分光計が前記受けた照射を、センサに向けられる複数の波長に分散させ、前記センサは、前記受けた照射の前記複数の波長に対応する複数の信号を生成する複数のセンサ要素を備える、ステップと、
    前記複数の信号と複数の計算された信号とを比較することによって前記第1の構造体と前記第2の構造体の間のオーバレイ誤差を計算するステップとを含むことを特徴とする方法。
  8. 請求項7に記載の方法であって、前記第1と第2の構造体の間に少なくとも2つの所定のオフセットがあることを特徴とする方法。
  9. 請求項7に記載の方法であって、前記複数の信号と複数の計算された信号とを比較することによってオーバレイ誤差を計算するステップが、二乗差
    Figure 2021521443
    を最小にするようにターゲットのモデルのパラメータpを調整するステップを含み、ここで、
    Figure 2021521443
    は、複数の波長λ、j=1,2,…,nλの複数の信号であり、S(p,λ)は、パラメータpでの複数の計算された信号であることを特徴とする方法。
  10. 請求項8に記載の方法であって、前記複数の信号と複数の計算された信号とを比較することによってオーバレイ誤差を計算するステップが、二乗差
    Figure 2021521443
    を最小にするようにターゲットのモデルのパラメータpを調整するステップを含み、ここで、
    Figure 2021521443
    は、複数の波長λ、j=1,2,…,nλの複数の信号であり、S(p,λ)は、パラメータpでの複数の計算された信号であることを特徴とする方法。
  11. 請求項9に記載の方法であって、二乗差を最小にするようにターゲットのモデルのパラメータpを調整するステップでレーベンベルク・マルクワルトアルゴリズムを使用することを特徴とする方法。
  12. 請求項7に記載の方法であって、前記複数の信号と複数の計算された信号とを比較することによってオーバレイ誤差を計算するステップが、パラメータpの組み合わせと前記複数の波長λ、j=1,2,…,nλの前記複数の計算された信号S(p,λ)とを関連付ける機械学習モデルを使用することを含むことを特徴とする方法。
  13. 請求項8に記載の方法であって、前記複数の信号と複数の計算された信号とを比較することによってオーバレイ誤差を計算するステップで、パラメータpの組み合わせと前記複数の波長λ、j=1,2,…,nλの前記複数の計算された信号S(p,λ)とを関連付ける機械学習モデルを使用して前記複数の信号と複数の計算された信号とを比較することによってオーバレイ誤差を計算することを特徴とする方法。
  14. 請求項12に記載の方法であって、主成分分析を用いてS(p,λ)を圧縮するステップをさらに含むことを特徴とする方法。
  15. 請求項12に記載の方法であって、厳密結合波解析(RCWA)を用いてS(p,λ)を計算するステップをさらに含むことを特徴とする方法。
  16. 試料のオーバレイ誤差を測定するための計測システムであって、
    広帯域照射源と、
    照射を前記試料の上に集束し、前記試料からの照射を収集するように構成された対物レンズであって、前記対物レンズが0.9以上の開口数を有し、前記対物レンズが400nm未満から800nmより長い波長範囲にわたって機能するように構成される、対物レンズと、
    前記広帯域照射源からの照射を前記対物レンズまで導くように構成された1つ以上の照射光学要素であって、前記1つ以上の照射光学要素が、広帯域照射の主光線と広帯域照射の周辺光線とが第1の鏡筒レンズ内で交差しないように第1の鏡筒レンズ内に第1の中間像を形成するために構成された1つ以上の光学要素を含む前記第1の鏡筒レンズを備える、照射光学要素と、
    前記試料からの照射を検出器アセンブリまで導くように構成された1つ以上の収集光学要素であって、前記1つ以上の収集光学要素が、広帯域照射の前記主光線と広帯域照射の前記周辺光線とが第2の鏡筒レンズ内で交差しないように前記第1の鏡筒レンズ内に第2の中間像を形成するために構成された1つ以上の光学要素を含む第2の鏡筒レンズを備える、収集光学要素とを備えることを特徴とする計測システム。
  17. 請求項16に記載の計測システムであって、前記第1の鏡筒レンズまたは前記第2の鏡筒レンズの少なくとも一方が、前記鏡筒レンズ内に形成される直接像の後ろに配置された、鏡筒レンズに含まれる負レンズをさらに備えることを特徴とする計測システム。
  18. 請求項16に記載の計測システムであって、前記対物レンズが瞳を含み、前記第1の鏡筒レンズまたは前記第2の鏡筒レンズの少なくとも一方が、前記瞳の像および前記試料の像の少なくとも一方の広帯域の軸方向色収差を実質的に補正するように構成されることを特徴とする計測システム。
  19. 請求項16に記載の計測システムであって、前記第1の鏡筒レンズおよび前記第2の鏡筒レンズの少なくとも一方が、前記対物レンズの横方向色収差を実質的に維持しながら、前記瞳の像および前記試料の像の少なくとも一方の広帯域の軸方向色収差を実質的に補正するようにさらに構成されることを特徴とする計測システム。
  20. 請求項17に記載の計測システムであって、
    前記対物レンズの前記瞳の第1の部分を照射するように構成された照射瞳開口と、
    前記対物レンズの前記瞳の第2の部分からの光を収集するように構成された収集瞳開口とをさらに備え、前記瞳の前記第1と第2の部分が実質的に重なり合っておらず、それにより、前記試料からの鏡面反射のパワーの少なくとも90%が遮られることを特徴とする計測システム。
  21. 請求項18に記載の計測システムであって、
    前記対物レンズの前記瞳の第1の部分を照射するように構成された照射瞳開口と、
    前記対物レンズの前記瞳の第2の部分からの光を収集するように構成された収集瞳開口とをさらに備え、前記瞳の前記第1と第2の部分が実質的に重なり合っておらず、それにより、前記試料からの鏡面反射のパワーの少なくとも90%が遮られることを特徴とする計測システム。
  22. 請求項19に記載の計測システムであって、
    前記対物レンズの前記瞳の第1の部分を照射するように構成された照射瞳開口と、
    前記対物レンズの前記瞳の第2の部分からの光を収集するように構成された収集瞳開口とをさらに備え、前記瞳の前記第1と第2の部分が実質的に重なり合っておらず、それにより、前記試料からの鏡面反射のパワーの少なくとも90%が遮られることを特徴とする計測システム。
  23. 請求項17に記載の計測システムであって、前記検出器アセンブリがイメージセンサおよび分光計のうちの一方を備えることを特徴とする計測システム。
  24. 請求項18に記載の計測システムであって、前記検出器アセンブリがイメージセンサおよび分光計のうちの一方を備えることを特徴とする計測システム。
  25. 請求項19に記載の計測システムであって、前記検出器アセンブリがイメージセンサおよび分光計のうちの一方を備えることを特徴とする計測システム。
  26. 請求項20に記載の計測システムであって、前記検出器アセンブリがイメージセンサおよび分光計のうちの一方を備えることを特徴とする計測システム。
  27. 請求項20に記載の計測システムであって、前記検出器アセンブリが、前記試料から収集された複数の波長の照射をセンサの複数の要素に向けて分散させて、異なる波長にそれぞれの信号が対応する複数の信号を生成するように構成された分光計を含み、
    前記計測システムがさらに、前記分光計に相互動作可能に接続された、かつ前記複数の信号と複数の計算された信号とを比較することによってターゲットの第1の構造体と第2の構造体の間のオーバレイ誤差を計算するように構成された、コントローラを備えることを特徴とする計測システム。
  28. 請求項27に記載の計測システムであって、前記コントローラがさらに、二乗差
    Figure 2021521443
    を最小にするためにターゲットのモデルのパラメータpを調整するように構成され、ここで、
    Figure 2021521443
    は、複数の波長λ、j=1,2,…,nλの複数の信号であり、S(p,λ)は、パラメータpでの複数の計算された信号であることを特徴とする計測システム。
  29. 請求項28に記載の計測システムであって、前記コントローラがさらに、レーベンベルク・マルクワルトアルゴリズムを使用して前記二乗差を最小にするように構成されることを特徴とする計測システム。
  30. 請求項29に記載の計測システムであって、前記コントローラがさらに、パラメータpの組み合わせと前記複数の波長λ、j=1,2,…,nλの前記複数の計算された信号S(p,λ)とを関連付ける機械学習モデルを使用して前記複数の信号と複数の計算された信号とを比較することによってオーバレイ誤差を計算するように構成されることを特徴とする計測システム。
  31. 請求項30に記載の計測システムであって、前記コントローラがさらに、主成分分析を用いてS(p,λ)を圧縮するように構成されることを特徴とする計測システム。
  32. 請求項30に記載の計測システムであって、前記コントローラがさらに、厳密結合波解析(RCWA)を用いてS(p,λ)を計算するように構成されることを特徴とする計測システム。
JP2020555891A 2018-03-13 2018-05-17 オーバレイ計測システムおよび方法 Active JP7004842B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021177368A JP7282853B2 (ja) 2018-03-13 2021-10-29 オーバレイ計測システムおよび方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862642501P 2018-03-13 2018-03-13
US15/952,081 US11067389B2 (en) 2018-03-13 2018-04-12 Overlay metrology system and method
US15/952,081 2018-04-12
PCT/US2018/033061 WO2019199340A1 (en) 2018-03-13 2018-05-17 An overlay metrology system and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021177368A Division JP7282853B2 (ja) 2018-03-13 2021-10-29 オーバレイ計測システムおよび方法

Publications (2)

Publication Number Publication Date
JP2021521443A true JP2021521443A (ja) 2021-08-26
JP7004842B2 JP7004842B2 (ja) 2022-01-21

Family

ID=67905319

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020555891A Active JP7004842B2 (ja) 2018-03-13 2018-05-17 オーバレイ計測システムおよび方法
JP2021177368A Active JP7282853B2 (ja) 2018-03-13 2021-10-29 オーバレイ計測システムおよび方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021177368A Active JP7282853B2 (ja) 2018-03-13 2021-10-29 オーバレイ計測システムおよび方法

Country Status (8)

Country Link
US (1) US11067389B2 (ja)
EP (1) EP3762960A4 (ja)
JP (2) JP7004842B2 (ja)
KR (1) KR102353261B1 (ja)
CN (1) CN112041974B (ja)
IL (1) IL277821B2 (ja)
TW (1) TWI765043B (ja)
WO (1) WO2019199340A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US11162897B2 (en) 2019-05-15 2021-11-02 Onto Innovation Inc. Optical metrology device using numerical aperture reduction
KR20210032663A (ko) * 2019-09-17 2021-03-25 삼성전자주식회사 웨이퍼 검사 장치
US11994808B2 (en) * 2019-09-27 2024-05-28 Asml Holding N.V. Lithographic apparatus, metrology systems, phased array illumination sources and methods thereof
CN111061828B (zh) * 2019-11-29 2023-08-29 华中师范大学 一种数字图书馆知识检索方法及装置
US20230017097A1 (en) * 2020-01-07 2023-01-19 Nova Ltd Detecting outliers and anomalies for ocd metrology machine learning
US11309202B2 (en) * 2020-01-30 2022-04-19 Kla Corporation Overlay metrology on bonded wafers
KR20220164003A (ko) * 2020-04-05 2022-12-12 케이엘에이 코포레이션 웨이퍼 틸트가 오정합 측정에 끼친 영향을 보정하기 시스템 및 방법
US11300524B1 (en) * 2021-01-06 2022-04-12 Kla Corporation Pupil-plane beam scanning for metrology
US20220291143A1 (en) * 2021-03-11 2022-09-15 Kla Corporation Optical metrology utilizing short-wave infrared wavelengths
US11967535B2 (en) 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets
CN114295558B (zh) * 2021-12-31 2023-08-22 四川启睿克科技有限公司 便携式光谱仪
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006226994A (ja) * 2004-12-30 2006-08-31 Accent Optical Technologies Inc 特性シグナチャのマッチングによる光波散乱計測方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
JP2842362B2 (ja) * 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5999310A (en) 1996-07-22 1999-12-07 Shafer; David Ross Ultra-broadband UV microscope imaging system with wide range zoom capability
US5877859A (en) 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US6278519B1 (en) 1998-01-29 2001-08-21 Therma-Wave, Inc. Apparatus for analyzing multi-layer thin film stacks on semiconductors
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US7957066B2 (en) 2003-02-21 2011-06-07 Kla-Tencor Corporation Split field inspection system using small catadioptric objectives
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
AU2003300005A1 (en) * 2003-12-19 2005-08-03 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7245438B2 (en) 2005-05-23 2007-07-17 Kla-Tencor Technologies Corporation Broad band objective having improved lateral color performance
US7345825B2 (en) 2005-06-30 2008-03-18 Kla-Tencor Technologies Corporation Beam delivery system for laser dark-field illumination in a catadioptric optical system
US7684039B2 (en) * 2005-11-18 2010-03-23 Kla-Tencor Technologies Corporation Overlay metrology using the near infra-red spectral range
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
CN101523294B (zh) * 2006-08-14 2012-08-08 卡尔蔡司Smt有限责任公司 具有光瞳镜的反射折射投影物镜、投影曝光设备和方法
US7525649B1 (en) 2007-10-19 2009-04-28 Kla-Tencor Technologies Corporation Surface inspection system using laser line illumination with two dimensional imaging
US7449265B1 (en) * 2007-11-20 2008-11-11 Tokyo Electron Limited Scatterometry target for determining CD and overlay
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
US20110035235A1 (en) 2009-08-05 2011-02-10 Hale Charles R System and Method for Processing Radiological Information Utilizing Radiological Domain Ontology
US8441639B2 (en) 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
WO2011091305A2 (en) 2010-01-22 2011-07-28 The Board Of Trustees Of The Leland Stanford Junior University Inhibition of axl signaling in anti-metastatic therapy
US20120089365A1 (en) * 2010-10-08 2012-04-12 Zygo Corporation Data interpolation methods for metrology of surfaces, films and underresolved structures
US20120224176A1 (en) 2011-03-03 2012-09-06 Nanometrics Incorporated Parallel Acquisition Of Spectra For Diffraction Based Overlay
US9279774B2 (en) 2011-07-12 2016-03-08 Kla-Tencor Corp. Wafer inspection
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US9645287B2 (en) 2012-12-17 2017-05-09 Kla-Tencor Corporation Flexible optical aperture mechanisms
US9512985B2 (en) * 2013-02-22 2016-12-06 Kla-Tencor Corporation Systems for providing illumination in optical metrology
US9726617B2 (en) 2013-06-04 2017-08-08 Kla-Tencor Corporation Apparatus and methods for finding a best aperture and mode to enhance defect detection
US9255887B2 (en) 2013-06-19 2016-02-09 Kla-Tencor Corporation 2D programmable aperture mechanism
US9723703B2 (en) 2014-04-01 2017-08-01 Kla-Tencor Corporation System and method for transverse pumping of laser-sustained plasma
CN106462076B (zh) 2014-06-02 2018-06-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
US9709510B2 (en) 2014-06-26 2017-07-18 Kla-Tencor Corp. Determining a configuration for an optical element positioned in a collection aperture during wafer inspection
US9726615B2 (en) * 2014-07-22 2017-08-08 Kla-Tencor Corporation System and method for simultaneous dark field and phase contrast inspection
WO2016045945A1 (en) * 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method
US9891177B2 (en) 2014-10-03 2018-02-13 Kla-Tencor Corporation TDI sensor in a darkfield system
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
US9927369B2 (en) * 2015-06-03 2018-03-27 Materion Corporation Automated defect detection and mapping for optical filters
US9865447B2 (en) 2016-03-28 2018-01-09 Kla-Tencor Corporation High brightness laser-sustained plasma broadband source
WO2017186483A1 (en) * 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and apparatus for determining the property of a structure, device manufacturing method
WO2018202388A1 (en) * 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006226994A (ja) * 2004-12-30 2006-08-31 Accent Optical Technologies Inc 特性シグナチャのマッチングによる光波散乱計測方法

Also Published As

Publication number Publication date
EP3762960A4 (en) 2021-12-08
WO2019199340A1 (en) 2019-10-17
US20190285407A1 (en) 2019-09-19
US11067389B2 (en) 2021-07-20
TW202004934A (zh) 2020-01-16
IL277821A (en) 2020-11-30
TWI765043B (zh) 2022-05-21
KR20200131908A (ko) 2020-11-24
CN112041974B (zh) 2022-08-05
CN112041974A (zh) 2020-12-04
JP2022009729A (ja) 2022-01-14
JP7004842B2 (ja) 2022-01-21
EP3762960A1 (en) 2021-01-13
JP7282853B2 (ja) 2023-05-29
KR102353261B1 (ko) 2022-01-18
IL277821B1 (en) 2023-03-01
IL277821B2 (en) 2023-07-01

Similar Documents

Publication Publication Date Title
JP7004842B2 (ja) オーバレイ計測システムおよび方法
US9594310B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR100930941B1 (ko) 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀및 디바이스 제조 방법
US10274370B2 (en) Inspection apparatus and method
US8792096B2 (en) Inspection apparatus for lithography
US20130162996A1 (en) Inspection Method and Apparatus, and Lithographic Apparatus
JP2015509591A (ja) 磁場向上要素を備えたターゲットを使用した光計測
JP2020500289A (ja) 高アスペクト比構造測定のための赤外分光反射計
US20080018897A1 (en) Methods and apparatuses for assessing overlay error on workpieces
US10809193B2 (en) Inspection apparatus having non-linear optics
US11309202B2 (en) Overlay metrology on bonded wafers

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210512

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210512

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20210512

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211029

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211221

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220104

R150 Certificate of patent or registration of utility model

Ref document number: 7004842

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150