CN112041974B - 叠加计量系统及方法 - Google Patents

叠加计量系统及方法 Download PDF

Info

Publication number
CN112041974B
CN112041974B CN201880092279.7A CN201880092279A CN112041974B CN 112041974 B CN112041974 B CN 112041974B CN 201880092279 A CN201880092279 A CN 201880092279A CN 112041974 B CN112041974 B CN 112041974B
Authority
CN
China
Prior art keywords
illumination
sample
pupil
signals
lens
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880092279.7A
Other languages
English (en)
Other versions
CN112041974A (zh
Inventor
勇-霍·亚历克斯·庄
刘学峰
江佩琳
J·费尔登
银英·肖李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN112041974A publication Critical patent/CN112041974A/zh
Application granted granted Critical
Publication of CN112041974B publication Critical patent/CN112041974B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Radar Systems Or Details Thereof (AREA)

Abstract

本发明揭示一种用于测量样本的叠加误差的系统。所述系统可包含经配置以发射宽带照明的宽带照明源。所述系统还可包含经配置以将所述宽带照明引导到经安置于所述样本上的目标的一或多个光学元件,其中所述一或多个光学元件经配置以从所述目标收集照明且将其引导到光谱仪,其中所述光谱仪经配置以将从所述样本收集的所述照明的多个波长分散到传感器的多个元件以产生多个信号。所述系统还可包含控制器,所述控制器经配置以通过比较所述多个信号与多个经计算信号来计算所述目标的第一结构与第二结构之间的叠加误差。

Description

叠加计量系统及方法
相关申请案的交叉参考
本申请案根据35U.S.C.§119(e)规定主张名叫荣浩·亚历克斯·庄(Yung-HoAlex Chuang)、银英小李(Yinying Xiao-Li)、约翰·菲尔登(John Fielden)、刘雪峰(Xuefeng Liu)及蒋培林(Peilin Jiang)的发明者在2018年3月13日申请的标题为“叠加计量系统及方法(OVERLAY METROLOGY SYSTEM AND METHOD)”的第62/642,501号美国临时申请案的权益,所述案的全部内容以引用的方式并入本文中。
技术领域
本申请案大体上涉及叠加计量,且特定来说,涉及在适用于叠加计量系统中的半导体晶片堆叠的单个或多个层中形成的结构之间的叠加的确定。
背景技术
集成电路(IC)工业需要具有越来越高敏感度的检验工具来检测不断变小的缺陷及粒子,且需要高精度计量工具来精确测量半导体晶片上的小特征的尺寸。半导体工业目前正在制造具有约20nm及更小的特征尺寸的半导体装置。几年内,所述工业将制造具有约5nm的特征尺寸的装置。大小仅为几纳米的粒子及缺陷会降低晶片良率,同时数十纳米或更小的特征尺寸及对准的改变可导致晶体管或存储器装置的电性能的显著改变或故障。
在半导体计量的领域中,计量工具可包括:照明系统,其照明目标;集光系统,其捕获由照明系统与目标、装置或特征的互动(或无互动)提供的相关信息;及处理系统,其使用一或多个算法分析收集的信息。在半导体制造过程中的各个点处对晶片执行计量过程以测量晶片的各种特性,例如晶片上的图案化结构的宽度、形成于晶片上的薄膜的厚度及晶片的一个层上的图案化结构相对于晶片的另一层上的图案化结构的叠加。这些测量用于促进半导体裸片制造中的过程控制及/或良率效率。目前使用光谱散射测量或角度解析散射测量执行光学临界尺寸(CD)计量。使用光谱椭圆测量执行薄膜计量。使用成像方法或基于散射测量的方法(光谱及角度解析两者)执行光学叠加计量。由KLA-Tencor公司(例如,Archer500LCM)、ASML Holding N.V.(例如,YieldStar S-250D)及其它公司制造用于半导体及相关工业的叠加计量工具。
在各种制造及生产环境中,需要控制样本的各种层之间或此类样本的特定层内的对准。例如,在半导体制造工业中,可通过在衬底上制造一系列层而生产电子装置,一些或所有所述层包含各种结构。此类结构在特定层内及相对于其它层中的结构的相对位置对已完成电子装置的性能是相关的或甚至很关键。结构在此样本内的此相对位置称为叠加。
晶片上的连续图案化层之间的叠加误差的测量是在集成电路及装置的制造过程中所使用的最关键过程控制技术中的一者。叠加精确性大体上关于第一图案化层相对于安置于其上方或下方的第二图案化层的精确对准程度的确定及第一图案相对于安置于相同层上的第二图案的精确对准程度的确定。
叠加误差应在半导体装置的图案中的最小尺寸的约20%内以根据国际半导体技术蓝图(ITRS)适当地工作。叠加数据中所需的总测量不确定性(TMU)比过程容限小得多。若干原因促成TMU。在精度及工具引起的移位(TIS)方面评估测量一致性,其是在晶片旋转180°且在相同叠加计量工具中重新测量时所导致的叠加测量差异。促成TMU的其它原因还可包含经测量数据与对图案化工具模型的最佳拟合之间的变化、叠加计量工具之间的测量的差异及来自相同相邻目标的结果的差异。
叠加计量误差范围通常被分成两个广泛类别:随机误差及系统误差。随机误差由统计不确定性导致,其中三个主要来源是归因于光子及电子波动的噪声、归因于机械振动的测量位置重复性及工艺噪声。工艺噪声指代过程变化的影响,例如薄膜堆叠性质、边缘粗糙度及粒度,其随分划板及晶片上的位点变化。可争论地,对于任何个别计量事件,此是系统误差来源。然而,在叠加计量的情况中,此类变化影响测量焦点位置及与工具瑕疵的互动以促成TIS及工具匹配误差。
系统误差的许多可能来源可归因于特定架构元件。关于照明系统,单元之间的照明非均匀性及信号污染是可能原因。关于集光子系统,必须考虑光学柱的色像差及非对称像差。此外,在检测平面中,单元之间的信号污染及来自图像传感器本身的固定噪声可促成误差。最后,某些算法系列还可将系统偏差引入到误差范围。算法误差归因于有限单元数目而是固有的。目标/算法组合的良好设计可最小化此误差来源,但其无法被减小到零。相对于随机误差,关于系统误差的重要考虑是在一些情况中,其可被补偿(如果简单度量是可存取的),如同TIS的情况。因此,在建立误差范围及设计计量系统时,区分可补偿误差来源与不可补偿误差来源是重要的。
过程节点减少的进展伴随着在更严格程度上控制过程叠加预算范围的需求增大。在将多图案化技术引入半导体工业中的情况下,光刻堆叠中所涉及的层数已显著增大。由于针对高容量IC制造验证多个节距分裂技术,所以期望使用更多光刻层及复杂堆叠。因此,一次对准许多层的能力已在近年变得越来越具挑战性。对于半导体制造,图案对准通常需要亚纳米不确定性。叠加过程容限比临界特征尺寸收缩的速率快得多地减小。在过去,对准树经设置,使得每一层对准到一个层且最多针对两个层测量,例如Contact对Poly及Isolation。如今,甚至在20nm节点下,存在临界层(例如Isolation、Poly、Contact及Metal1)的双重及三重图案化。此迫使复杂得多的对准树及叠加测量。层有时对准到先前层的平均层、对准到成不同定向的不同层,且基于若干测量进行安置。此越来越大的挑战显著增大叠加测量的数目,增大目标面积且需要使来自不同层的许多测量一致。叠加计量工具需要在每一光刻步骤处测量更多层上的不断增大数目个叠加目标,同时维持合理所有权成本。在测量时间及计量所利用的硅面积量两者方面考虑每测量成本。
已开发及采用用于测量叠加的各种技术及过程且取得不同程度的成功(例如参见N.P.史密斯(N.P.Smith)、L.A.宾斯(L.A.Binns)、A.普拉姆贝克(A.Plambeck)及K.海德里希(K.Heidrich)的“交叉路处的叠加计量(Overlay metrology at the crossroads)”,Proc.Of SPIE,第6922卷,2008年3月,第692203页)。最近,各种努力已集中在利用辐射散射测量作为叠加计量的基础(例如参见M.阿德尔(M.Adel)、D.坎德尔(D.Kandel)、V.莱文斯基(V.Levinski)、J.塞利格森(J.Seligson)及A.库尼亚夫斯基(A.Kuniavsky)的第7,242,477号美国专利,“叠加计量中的衍射阶控制-路线图选项的检视(Diffraction order controlin overlay metrology–a review of the roadmap options)”,Proc.Of SPIE,第6922卷,2008年3月,第692202页)。基于衍射的分析技术(例如散射测量)尤其非常适合于微电子计量应用,这是因为其相对于临界尺寸-扫描电子显微镜(CD-SEM)是非破坏性、足够精确、可重复、快速、简单且廉价的。
用以从散射测量确定叠加的某些现存方法集中于基于模型形状轮廓、叠加、薄膜堆叠及材料光学性质(例如,n及k色散曲线)或通过比较经测量信号与来自校准晶片的参考信号而比较经测量光谱与经计算理论光谱。
现存方法具有若干相关联缺点。例如,轮廓、叠加及薄膜建模中必须包含相对大量参数来精确确定叠加。例如,在针对上层轮廓及下层轮廓使用简单梯形模型的一些方法中,必须包含的图案参数的最小数目是七个(包含叠加)。如果模型中包含薄膜厚度变化,那么参数的数目相应地增大。较大数目个参数需要增加处理资源,可能引入对应误差且可能延迟结果,借此可能减少处理量且增加无效性及成本。例如,经测量光谱与经计算参考光谱的比较花费更长时间且具有更多参数,无论使用基于库的方法或使用回归方法。
用以基于散射测量确定叠加的某些现存方法的另一缺点是需要薄膜堆叠、薄膜材料及图案元素轮廓的详细知识来确定与经测量光谱比较的精确理论光谱。
用以基于散射测量确定叠加的某些现存方法的又另一缺点是需要散射测量光学系统的精确知识来确定与经测量光谱比较的精确理论光谱。
大部分现存散射计经设计以在单波长下测量周期性光栅上的多个入射角(即,角度解析散射测量)。然而,如果半导体计量工具可测量CMOS制造中使用的所有或大部分不同材料及结构,那么所述半导体计量工具是最有用的。不同材料及结构具有彼此差异很大的反射率。
因此,鉴于用以基于散射测量确定叠加的现存方法的缺陷,需要克服上文识别的一些或所有限制的用于基于散射测量确定叠加的改进系统及方法。
发明内容
根据本发明的一或多个实施例,揭示一种用于测量样本的叠加误差的计量系统。在一个实施例中,所述计量系统包含发射宽带照明的宽带照明源。在另一实施例中,所述计量系统包含光学元件,所述光学元件经配置以引导所述宽带照明朝向所述样本上的目标且收集从所述目标反射或衍射的照明且将其引导到光谱仪。在另一实施例中,所述光谱仪经配置以将从所述样本收集的所述照明的多个波长分散到传感器的多个元件以产生多个信号。在另一实施例中,所述计量系统包含控制器,所述控制器经配置以通过比较所述多个信号与多个经计算信号而计算所述目标的第一结构与第二结构之间的叠加误差。
根据本发明的一或多个实施例,揭示一种用于确定样本中的叠加误差的方法。在一个实施例中,所述方法包含提供具有各自具有第一层中的第一结构及第二层中的第二结构的多个周期性目标的样本,其中所述第一结构与所述第二结构之间存在至少一个预界定偏移。在另一实施例中,所述方法包含将辐射引导及聚集到所述样本上。在另一实施例中,所述方法包含从所述样本接收辐射。在另一实施例中,所述方法包含将所述所接收辐射引导到光谱仪,其中所述光谱仪将所述所接收辐射分散成多个波长,所述多个波长经引导到包括多个传感器元件的传感器,所述多个传感器元件产生对应于所述所接收辐射的所述多个波长的多个信号。在另一实施例中,所述方法包含通过比较所述多个信号与多个经计算信号而计算所述第一结构与所述第二结构之间的叠加误差。
根据本发明的一或多个实施例,揭示一种用于测量样本的叠加误差的计量系统。在一个实施例中,所述计量系统包含宽带照明源及物镜,所述物镜经配置以将照明聚焦于所述样本上且收集从所述样本反射、衍射或散射的照明。在另一实施例中,所述方法包含一或多个照明光学元件,所述一或多个照明光学元件经配置以将来自所述宽带照明源的照明引导到所述物镜。在另一实施例中,所述方法包含一或多个集光光学元件,所述一或多个集光光学元件经配置以将所述反射、衍射或散射照明引导到检测器组合件。在另一实施例中,所述物镜具有约0.9或更高的数值孔径,且所述物镜经配置以在从至少约400nm到长于约800nm的波长范围内操作。在另一实施例中,所述一或多个照明光学元件包括包含一或多个光学元件的第一镜筒透镜,所述一或多个光学元件经配置以在所述第一镜筒透镜内形成第一中间图像,使得所述宽带照明的主光线及所述宽带照明的边缘光线不在所述第一镜筒透镜内相交。在另一实施例中,所述一或多个集光光学元件包括包含一或多个光学元件的第二镜筒透镜,所述一或多个光学元件经配置以在所述第一镜筒透镜内形成第二中间图像,使得所述宽带照明的所述主光线及所述宽带照明的所述边缘光线不在所述第二镜筒透镜内相交。
应理解,以上概述及下列详细描述两者都仅为示范性及说明性的且未必限制本发明。并入本说明书中且构成本说明书的一部分的附图说明本发明的实施例且与概述一起用于说明本发明的原理。
附图说明
所属领域的技术人员可通过参考附图来更好理解本发明的若干优点,其中:
图1说明根据本发明的一或多个实施例的检验或计量系统的框图。
图2说明根据本发明的一或多个实施例的基于光谱反射计的散射测量系统的框图。
图3说明描绘根据本发明的一或多个实施例的用于散射测量系统中的基于模型的叠加计量的基于实时回归的算法的流程图。
图4说明描绘根据本发明的一或多个实施例的用于散射测量系统中的基于模型的叠加计量的基于库技术的算法的概念图。
图5说明根据本发明的一或多个实施例的包含高质量显微镜物镜及镜筒透镜的叠加计量系统的简化示意图。
图6说明根据本发明的一或多个实施例的包含多个测量子系统的计量系统的简化示意图。
具体实施方式
现在将详细参考附图中说明的所揭示标的物。
尽管已说明本发明的特定实施例,但应明白,所属领域的技术人员可在不脱离本发明的范围及精神的情况下对本发明做出各种修改及实施例。因此,本发明的范围应仅受限于随附权利要求书。
大体上参考图1到6,根据本发明的一或多个实施例描述用于改进叠加计量的系统及方法。
本发明的一或多个实施例涉及一种叠加计量系统,其经配置用于通过使用与基于模型的叠加计量(mOVL)算法架构结合的基于光谱反射计的硬件架构而基于散射测量精确确定半导体堆叠的层之间的叠加。
如果半导体计量工具可测量互补金属氧化物半导体(CMOS)制造中使用的所有或大部分不同材料及结构,那么所述半导体计量工具是最有用的。应注意,CMOS制造中使用的不同材料及结构展现彼此差异极大的反射率。就此而言,为了提供增大灵活性,半导体计量工具可使用光照明及光收集的多个波长(例如,宽带辐射/照明)及/或多个角度。出于本发明的目的,术语“光”、“辐射”及“照明”可互换使用,除非本文中另有提及。
光谱反射测量利用光的多个波长或颜色以获得数据以分析例如薄膜厚度及叠加的参数。反射测量是反射光的测量。对于叠加计量,通常使用可见区中的波长光谱。使用计算机及算法分析从衬底及薄膜表面反射的光。分析在不破坏或接触薄膜的情况下产生所关注参数。光谱散射计量经设计以使用多个波长按固定入射角(例如,按法线入射)测量衍射响应。此机制利用薄膜计量设备(例如光谱椭偏仪及光谱反射计系统)以从周期性结构精确提取形貌轮廓信息。
本发明的额外实施例涉及一种基于光谱反射测量的散射测量系统,其每次可照明目标结构的多个单元中的一者。小视场光阑可将照明限于单个单元,从而防止相邻单元之间的信号污染。孔径光阑可将照明限于足够小数值孔径(NA)以防止用作计量信号的衍射阶与其它衍射阶之间的重叠。此外,将信号从晶片(例如,样本)传输到光谱仪的光学元件经设计,使得仅具有选定衍射阶(例如,计量信号)的照明可传送到光谱仪。光谱仪可接着在成像模式或非成像模式中分析所接收信号。
本文中应注意,所关注参数的测量通常涉及数个算法。由于基于散射测量的计量涉及解决自然中的逆散射问题,所以需要系统识别算法(例如神经网络或非线性回归)以从散射信号提取所关注参数。另外,为了在理论上预测结构的散射性质,需要电磁(EM)解算器以建模入射光束与样本的光学互动。可使用的可能算法包含(但不限于)严格耦合波分析(RCWA)、有限元素法(FEM)、矩量法(MoM)、表面积分法、体积积分法、时域有限差分法(FDTD)及类似方法。在一个实施例中,本发明的方面利用基于模型的叠加计量(mOVL)作为算法架构。本文中应注意,mOVL借鉴光学临界尺寸(OCD)计量的构想,其中从宽带光谱或角散射信息精确推导临界尺寸(CD)。
本文中应进一步注意,CD的散射测量及轮廓测量的实施方案是基于建模信号形成过程的能力。信号含有足够信息,使得可通过找到在建模特征与实验特征之间最紧密拟合的参数而进行测量。相同方法可应用到叠加测量,从而减少所需的测量单元数目且提供叠加及轮廓数据两者。
应注意,mOVL可解决叠加不精确问题。例如,mOVL可移除正弦叠加模型的误差且无需添加额外目标。应进一步注意,mOVL可实现精确叠加测量而无关于过程变化及目标非对称性。另外,mOVL可提供除叠加信息以外的光刻/过程信息。例如,可识别晶片上方的抗蚀剂轮廓的变化。此外,mOVL可简化目标模型设置。例如,其可精确估计标称CD值,优化敏感度且减小参数相关性。
本发明的额外实施例涉及一种叠加计量系统,其包括:宽带照明源,其经配置以发射宽带照明(例如包括在约400nm到800nm或更宽的波长范围内的多个波长的光);高(>0.9)数值孔径(NA)物镜,其经配置以将光聚集到样本上且收集从样本反射或衍射的照明;照明光学器件,其经配置以将来自宽带照明源的照明递送到物镜;及集光光学器件,其经配置以将来自物镜的所收集照明引导到检测器。照明光学器件可包含经配置以产生第一可外部接取光瞳的第一镜筒透镜,其中第一镜筒透镜在第一镜筒透镜内形成第一中间图像,使得宽带照明的主光线及宽带照明的边缘光线不在第一镜筒透镜内相交。集光光学器件可包含经配置以产生第二可外部接取光瞳的第二镜筒透镜,其中第二镜筒透镜在第二镜筒透镜内形成第二中间图像,使得宽带光的主光线及宽带光的边缘光线不在第二镜筒透镜内相交。任一个或两个镜筒透镜可经进一步配置以校正物镜的轴向色彩变化,如果其未经校正,那么将导致所述第一中继光瞳及所述第二中继光瞳的轴向位置随着波长变化。在一个实施例中,将可调整或可切换孔径放置于两个中继光瞳的位置处以使叠加计量能够使用结构化照明执行及/或在暗场模式中执行。检测器可包含光谱仪或相机。本文中应注意,术语“主光线”及“边缘光线”通常用于光学器件中且被所属领域的技术人员很好地理解。
图1说明根据本发明的一或多个实施例的检验或计量系统100的框图。在一个实施例中,系统100包含照明源102、照明臂103、集光臂105及检测器组合件118。在一个实施例中,照明臂103可包含一或多个照明光学元件,其经配置以将来自照明源102的照明101引导到样本110。类似地,在另一实施例中,集光臂105可包含一或多个集光光学元件,其经配置以将从样本110的表面反射、衍射、散射或类似物的照明引导到检测器组合件118的一或多个传感器119。在坎德尔(Kandel)等人的标题为“计量系统及方法(METROLOGY SYSTEMS ANDMETHODS)”的第9,080,971号美国专利及庄(Chuang)等人的标题为“具有经改进横向色彩性能的宽带物镜(BROAD BAND OBJECTIVE HAVING IMPROVED LATERAL COLOR PERFORMANCE)”的第7,474,461号美国专利中提供计量系统的论述,所述两个案的全部内容以引用的方式并入本文中。
在一个实施例中,系统100经配置以检验或测量样本110。样本110可包含所属领域中已知的任何样本,包含(但不限于)晶片、半导体晶片、分划板、光掩膜及类似物。在另一实施例中,样本110经安置于载物台组合件112上以促进样本110的移动。载物台组合件112可包含所属领域中已知的任何载物台组合件,包含(但不限于)X-Y载物台或R-θ载物台。在一个实施例中,载物台组合件112能够在检验期间调整样本110的高度以维持样本110上的聚焦。在额外及/或替代实施例中,照明臂103的一或多个光学元件可经调整以维持样本110上的聚焦。
系统100的照明源102可包含所属领域中已知的任何照明源。例如,照明源102可包含(但不限于)一或多个激光器及/或宽带光源。通过另一实例,照明源102可包含连续源,例如弧光灯、激光器泵激等离子体光源或连续波(CW)激光器。通过又另一实例,照明源102可为脉冲源,例如锁模激光器、Q切换激光器或由锁模或Q切换激光器泵激的等离子体光源。在柯克(Kirk)等人的标题为“用于针对对样品执行的过程提供样品的照明的方法及系统(Methods and systems for providing illumination of a specimen for a processperformed on the specimen)”的美国专利7,705,331、波斯乐(Bezel)等人的标题为“用于横向泵激激光器维持等离子体的系统及方法(System and method for transversepumping of laser-sustained plasma)”的美国专利9,723,703及庄(Chuang)等人的标题为“高亮度激光器维持等离子体宽带源(High brightness laser-sustained plasmabroadband source)”的美国专利9,865,447中描述可包含于照明源102中的适合光源。这些专利的全部内容以引用的方式并入本文中。
在另一实施例中,照明源102可经配置以发射所属领域中已知的任何类型的照明101,包含(但不限于)近IR(NIR)、可见、近UV、深UV(DUV)及/或真空UV(VUV)辐射。
照明源102可经配置成所属领域中已知的任何定向,以便从一或多个照明路径(包含一或多个入射角及/或一或多个方位角)照明样本110。例如,照明源102可经配置成暗场定向、明场定向及类似物。另外,照明源102可经配置以使用具有一或多个波长及/或偏光状态的照明101照明样本110。此外,系统100可另外包含对照明源102的快速反馈以用于校正及/或稳定照明源102的一或多个特性,包含(但不限于)功率、亮度、光谱及类似物。应注意,从照明源102发射的照明101可由所属领域中已知的任何构件递送,包含(但不限于)自由空间传播、光纤、光导及类似物。在一个实施例中,系统100的照明源102经配置以照明样本110上的线。
在另一实施例中,系统100包含经配置以将来自照明源102的照明101引导到样本110的照明臂103。照明臂103可包含所属领域中已知的任何数目及类型的照明光学元件。例如,照明臂103可包含准直光学器件104、照明光瞳孔径114、照明镜筒透镜116、光束分离器106、136及物镜108。在一个实施例中,照明镜筒透镜116经配置以将照明光瞳孔径114成像到物镜108内的光瞳光阑(即,照明镜筒透镜116经配置使得照明光瞳孔径114及光瞳光阑彼此共轭)。照明光瞳孔径114可例如通过将不同孔径切换到照明光瞳孔径114的位置中或通过调整照明光瞳孔径114的开口的直径或形状而进行配置。就此而言,可取决于所执行的测量或检验通过不同角度范围照明样本110。
在另一实施例中,系统100包含集光臂105,其经配置以将从样本110反射、衍射或散射的照明引导到检测器组合件118的一或多个传感器119。集光臂105可在一或多个暗场及/或亮场集光通道中将反射及/或散射照明引导及/或聚集到检测器组合件118的一或多个传感器119。就此而言,系统100可包含一或多个集光路径,其收集由样本110在不同方向上反射、衍射或散射的照明及/或对不同波长及/或不同偏光状态敏感。
在一个实施例中,集光臂105的一或多个集光光学元件包含物镜108、集光镜筒透镜128及集光光瞳孔径130。集光镜筒透镜128可经配置以将物镜108内的光瞳光阑成像到集光光瞳孔径130(即,集光镜筒透镜128可经配置使得集光光瞳孔径130及物镜108内的光瞳光阑彼此共轭)。集光光瞳孔径130可例如通过将不同孔径切换到集光光瞳孔径130的位置中或通过调整集光光瞳孔径130的开口的直径或形状而进行配置。以此方式,从样本110反射或散射的不同角度范围的照明可经引导到检测器组合件118。
本文中应注意,照明光瞳孔径114及/或集光光瞳孔径130可包括可编程孔径,例如在布伦纳(Brunner)的标题为“2D可编程孔径机构(2D programmable aperturemechanism)”的第9,255,887号美国专利中描述的孔径或在布伦纳(Brunner)的标题为“柔性光学孔径机构(Flexible optical aperture mechanisms)”的第9,645,287号美国专利中描述的孔径。在科尔钦(Kolchin)等人的第9,709,510号美国专利“在晶片检验期间确定定位于集光孔径中的光学元件的配置(Determining a configuration for an opticalelement positioned in a collection aperture during wafer inspection)”及科尔钦(Kolchin)等人的美国专利9,726,617“用于找出最佳孔径及增强缺陷检测的模式的设备及方法(Apparatus and methods for finding a best aperture and mode to enhancedefect detection)”中描述选择用于晶片检验的孔径配置的方法。上文引用的所有专利的全部内容以引用的方式并入本文中。
在另一实施例中,照明镜筒透镜116及集光镜筒透镜128中的一者或两者经进一步配置以基本上抵消物镜108的轴向光瞳色像差。镜筒透镜设计的额外细节在本文中描述,特定来说参考图5及其相关联描述。
在一个实施例中,照明臂103及/或集光臂105包含包括参考物镜132及参考镜134的林尼克干涉计(Linnik interferometer)。参考物镜132可为具有类似于物镜108的设计的物镜,或其可包含更简单设计(例如,其可具有更小视场)以降低成本。本文中应注意,林尼克干涉计可用于通过在检测器组合件118监测由来自样本110的反射与来自参考镜134的反射的干涉所产生的光学信号时调整载物台组合件112相对于物镜108的高度而确保样本110的表面上的所关注层在焦点上。在一个实施例中,可包含快门(未展示)以阻挡参考物镜132及参考镜134的照明路径中的照明以允许在不干涉从参考镜134反射的照明的情况下测量或检验样本110。在替代实施例中,参考物镜132及参考镜134可从系统100省略且自动对焦系统可包含于检验或计量系统100中。
在下列专利中描述检验或计量系统100的各种实施例的额外细节:瓦扎帕拉姆比勒(Vazhaeparambil)等人的标题为“暗场系统中的TDI传感器(TDI Sensor in aDarkfield System)”的美国专利9,891,177;罗曼诺夫斯基(Romanovsky)的标题为“晶片检验(Wafer inspection)”的美国专利9,279,774;阿姆斯特朗(Armstrong)等人的标题为“使用小反射折射物镜的分裂场检验系统(Split field inspection system using smallcatadioptric objectives)”的美国专利7,957,066;庄(Chuang)等人的标题为“反射折射光学系统中的激光器暗场照明的光束递送系统(Beam delivery system for laser dark-field illumination in a catadioptric optical system)”的美国专利7,817,260;沙费尔(Shafer)等人的标题为“具有广泛范围的变焦能力的超宽带UV显微镜成像系统(Ultra-broadband UV microscope imaging system with wide range zoom capability)”的美国专利5,999,310;梁(Leong)等人的标题为“使用具有二维成像的激光器线照明的表面检验系统(Surface inspection system using laser line illumination with twodimensional imaging)”的美国专利7,525,649;坎德尔(Kandel)等人的标题为“计量系统及方法(Metrology systems and methods)”的美国专利9,080,971;庄(Chuang)等人的标题为“具有经改进横向色彩性能的宽带物镜(Broad band objective having improvedlateral color performance)”的美国专利7,474,461;庄(Zhuang)等人的标题为“对光栅异常的敏感性降低的光学计量(Optical metrology with reduced sensitivity tograting anomalies)”的美国专利9,470,639;王(Wang)等人的标题为“动态可调整半导体计量系统(Dynamically Adjustable Semiconductor Metrology System)”的美国专利9,228,943;1997年3月4日颁于皮旺卡-科莱(Piwonka-Corle)等人的标题为“聚焦光束光谱椭圆仪方法及系统(Focused Beam Spectroscopic Ellipsometry Method and System)”的美国专利5,608,526;及2001年10月2日颁于罗森克瓦伊格(Rosencwaig)等人的标题为“用于分析半导体上的多层薄膜堆叠的设备(Apparatus for Analysing Multi-Layer ThinFilm Stacks on Semiconductors)”的美国专利6,297,880。上文引用的所有专利的全部内容以引用的方式并入本文中。
在一个实施例中,集光臂105经配置以将从样本108反射、衍射或散射的照明引导到检测器组合件118的一或多个传感器119。检测器组合件118可包含所属领域中已知的任何检测器组合件。例如,在其中照明源102经配置以照明样本110上的线的实施例中,检测器组合件118可包含线传感器或电子轰击线传感器。检测器组合件118可经配置以响应于样本110上的照明101的(若干)入射光束而检测来自样本110的经测量信号。就此而言,来自检测器组合件118(例如,来自检测器组合件118的一或多个传感器119)的经测量信号可包括多个光谱信号。
在另一实施例中,检测器组合件118经通信地耦合到包含一或多个处理器122及存储器124的控制器120。在另一实施例中,一或多个处理器122可经通信地耦合到存储器124,其中一或多个处理器122经配置以执行存储于存储器124上的程序指令集126。在一个实施例中,一或多个处理器122可经配置以分析检测器组合件118的输出。在一个实施例中,程序指令集126经配置以导致一或多个处理器122分析样本110的一或多个特性。在另一实施例中,程序指令集126经配置以导致一或多个处理器122修改系统100的一或多个特性,以便维持样本110及/或检测器组合件118上的聚焦。例如,一或多个处理器122可经配置以调整物镜108或系统100的一或多个光学元件,以便将来自照明源102的照明101聚集到样本110的表面上。通过另一实例,一或多个处理器122可经配置以调整物镜108及/或一或多个光学元件,以便收集从样本110的表面散射及/或反射的照明且将所收集照明聚焦于检测器组合件118上。
本文中应注意,先前描述为由系统100的子系统中的任一者(例如,检测器组合件118)实行的分析步骤中的任一者可另外及/或替代地由控制器120的一或多个处理器122实行。在另一实施例中,系统100可包含用户接口(未展示)。在另一实施例中,用户接口可包含显示器。
本文中应注意,系统100的元件仅供说明,且在不脱离本发明的精神或范围的情况下,系统100中可存在更少/额外元件。就此而言,应注意,系统100可包含额外光学元件,包含(但不限于)一或多个镜、一或多个透镜、一或多个偏光器、一或多个准直器、一或多个波板、一或多个传感器、一或多个额外检测器、一或多个相机、一或多个孔径及类似物。
图2说明根据本发明的一或多个实施例的基于光谱反射计的散射测量系统200的框图。在一个实施例中,系统200包含照明源202、照明臂203、集光臂205、光谱仪214及检测器组合件218。本文中应注意,与图1的系统100相关联的描述可被视为应用到图2的系统200,除非本文中另有提及。
在一个实施例中,系统200可经配置以确定样本210上的多层结构中的至少两个层之间的叠加误差。在另一实施例中,样本210经安置于载物台组合件212上以促进样本210的移动。样本210可包含所属领域中已知的任何样本,包含(但不限于)晶片、半导体晶片、光掩膜及类似物。本文中应注意,样本210中的至少两个层通常包含堆叠周期性结构(例如,光栅结构)。就此而言,本文中应进一步注意,样本210可包含安置于样本210的层上的多个周期性目标结构(例如,光栅结构),每一周期性目标结构具有第一层中的第一结构及第二层中的第二结构。在另一实施例中,样本210可包含第一结构与第二结构之间的预界定偏移。
光谱反射测量利用光的多个波长或颜色以获得数据以分析例如薄膜厚度及叠加的参数。反射测量是反射光的测量。对于叠加计量,通常使用可见区中的波长光谱,这是因为可见光透射穿过半导体工业中通常使用的许多材料。使用计算机及算法分析从薄膜表面及衬底反射的光。分析在不破坏或接触薄膜的情况下产生所关注参数。光谱散射计量经设计以使用多个波长按固定入射角(例如,按法线入射)测量衍射响应。此机制利用薄膜计量设备(例如光谱椭偏仪及光谱反射计系统)以从周期性结构精确提取形貌轮廓信息。
在一个实施例中,系统200包含:照明源202,其用于产生具有多个波长的光学入射光束(例如,照明201);准直光学器件204,其用以准直照明201;光束分离器106、物镜208,其用以将照明引导到样本210且收集来自样本210的反射及衍射照明;光谱仪214;及检测器检测218,其包含用于响应于入射光束(例如,照明201)而感测来自样本210的经测量信号的一或多个传感器219。
系统200的照明源202可包含所属领域中已知的任何照明源。例如,照明源202可包含用于产生具有多个波长的照明201的宽带照明源。例如,照明源202可包含(但不限于)白色光源、弧光灯、无电极灯、激光器维持等离子体(LSP)源、超连续谱源(例如,宽带激光器源)及类似物。LSP源的实例包含(但不限于)来自Energetiq技术公司(EnergetiqTechnology,Inc)的LSP源。超连续谱源的实例包含(但不限于)来自NKT光子公司(NKTPhotonics Inc)的超连续谱源。照明源202还可经配置以提供具有足够亮度的照明201。例如,照明源202可经配置以发射具有大于约1W/(nm cm2 sr)的亮度的照明201。
应了解,如果半导体计量工具可测量CMOS制造中使用的所有或大部分不同材料及结构,那么所述半导体计量工具是最有用的。不同材料及结构具有彼此差异很大的反射率。为了具有灵活性,半导体计量工具可使用光照明及光收集的多个波长及/或多个角度。就此而言,本文中应注意,照明源202可经配置成所属领域中已知的任何定向,包含(但不限于)暗场定向、亮场定向及类似物。此外,系统200可另外包含对照明源202的快速反馈以用于校正及/或稳定照明源202的一或多个特性,包含(但不限于)功率、亮度、光谱及类似物。应注意,从照明源202发射的照明201可通过所属领域中已知的任何构件递送,包含(但不限于)自由空间传播、光纤、光导及类似物。
在另一实施例中,系统200包含经配置以将照明201引导到样本210的照明臂203。照明臂203可包含所属领域中已知的任何数目及类型的照明光学组件。在一个实施例中,照明臂203包含用以准直照明201的准直光学器件204、光束分离器206及用以将照明201引导到样本210的物镜208。
在另一实施例中,系统200包含经配置以收集从样本210反射、衍射或散射的照明(例如,辐射、光)的集光臂205。在另一实施例中,集光臂205可将反射及/或散射照明引导及/或聚集到光谱仪214。本文中应注意,光谱仪214可包含色散元件216,其经配置以将所收集照明分离成多个波长且将所收集照明引导到检测器218的多个传感器219。就此而言,检测器组合件218可经配置以响应于样本210上的照明201的(若干)入射光束而检测来自样本210的经测量信号。就此而言,来自检测器组合件218(例如,来自检测器组合件218的传感器219)的经测量信号可包括多个光谱信号。
在另一实施例中,检测器组合件218经通信地耦合到包含一或多个处理器222及存储器224的控制器220。在另一实施例中,一或多个处理器222可经通信地耦合到存储器224,其中一或多个处理器222经配置以执行存储于存储器224上的程序指令集226。在一个实施例中,一或多个处理器222可经配置以分析检测器组合件218的输出。在一个实施例中,程序指令集经配置以导致一或多个处理器222分析样本210的一或多个特性。在另一实施例中,程序指令集经配置以导致一或多个处理器222修改系统200的一或多个特性,以便维持样本210、光谱仪214及/或检测器组合件218上的聚焦。例如,一或多个处理器222可经配置以调整物镜208或系统200的一或多个光学元件,以便将来自照明源202的照明201聚集到样本210的表面上。通过另一实例,一或多个处理器222可经配置以调整物镜208及/或一或多个光学元件,以便收集从样本210的表面散射及/或反射的照明且将所收集照明聚焦于光谱仪214及/或检测器组合件218上。
本文中应注意,先前描述为通过系统200的子系统中的任一者(例如,光谱仪214、传感器219、检测器组合件218)实行的分析步骤中的任一者可另外及/或替代地通过控制器220的一或多个处理器222实行。在另一实施例中,系统200可包含用户接口(未展示)。在另一实施例中,用户接口可包含显示器。
本文中应注意,系统200的元件仅供说明,且在不脱离本发明的精神或范围的情况下,系统200中可存在更少/额外元件。就此而言,应注意,系统200可包含额外光学元件,包含(但不限于)一或多个镜、一或多个透镜、一或多个偏光器、一或多个准直器、一或多个波板、一或多个传感器、一或多个额外检测器、一或多个相机、一或多个孔径及类似物。
在一个实施例中,散射测量系统200的照明源202可经配置以每次照明样本210上的目标结构的单个单元。就此而言,视场光阑(未展示)可经放置于照明路径(例如,照明臂203)中以将照明201限于单个单元,以便防止相邻单元之间的信号污染。此外,照明孔径光阑(未展示)可用于将照明201限于足够小数值孔径(NA)以防止用作计量信号的衍射阶与其它衍射阶之间的重叠。在额外及/或替代实施例中,系统200的照明源202可经配置以每次照明样本210上的目标结构的多个单元中的一者。
在另一实施例中,照明源202可经配置以使用线性偏光的照明201照明目标结构的多个单元。在一个实施例中,照明臂203经配置以将来自照明源202的照明201引导到样本210上,使得照明201基本上垂直于样本210表面入射。就此而言,依据波长测量0阶衍射辐射。本文中应注意,在法向入射下,可针对相对于安置于样本210的层上的光栅结构的多个偏光角获得多个反射光谱。在一个实施例中,收集从样本210散射的照明的集光臂205的元件(例如,物镜208、光束分离器206及类似物)经配置,使得集光臂205仅将计量照明传输到光谱仪214。
在另一实施例中,通过光谱仪214在成像模式或非成像模式中分析散射照明。典型资料收集包含横向电场(TE)光谱及横向磁场(TM)光谱两者。就此而言,应注意,使用偏光允许增强敏感度,这是因为可测量TE光谱与TM光谱之间的振幅及相位差两者。
图3及4说明根据本发明的一或多个实施例的基于模型的叠加计量(mOVL)的算法300、400。本文中应注意,算法300、400可与系统100及散射测量系统200结合使用。然而,应进一步认识到,算法300、400不限于系统100及散射测量系统200,额外或替代系统级实施例可实行算法300、400的步骤的全部或部分。
在一个实施例中,算法300、400的规则是从经测量信号(例如,响应于检测器组合件218上的所收集照明而测量的信号)提取叠加值。因而,应注意,算法300、400的性质取决于信号的性质,且因此取决于所使用的特定技术(例如,硬件、软件)。
在散射测量系统(例如,散射测量系统200)中,依据连续参数测量从目标反射到特定良好界定的衍射阶中的辐射的强度。在光谱散射测量中,此连续参数是反射辐射的波长,而在角度解析散射测量中,连续参数是光从目标衍射的偏光角及方位角。归因于空间分辨率的缺乏,散射测量工具可依序测量目标的各个单元。就此而言,可通过将小点聚集到单元上且使用图像平面中的光谱仪(例如,光谱散射测量)或使用光瞳平面中的CCD或其它图像传感器(例如,角度解析散射测量)读取强度而测量每一单元的信号。因此,散射测量信号表示依据针对目标的每一单元单独测量的相关连续参数而变化的强度。
叠加计量目标是安置于样本210的一或多个层上的代理目标结构。叠加计量目标使设备/系统能够确定目标的至少两次曝光之间的叠加误差。原则上,叠加计量目标的叠加误差的确定可包含来自多次曝光的信息。将叠加计量目标分类为并排结构或光栅叠置(grating-over-grating)结构是方便的。在两种情况中,计量目标包括多个单元。在并排目标的情况中,个别单元通常含有来自单次曝光的信息,而在光栅叠置目标的情况中,来自两次曝光的信息在单个单元中重叠。作为一般规则,并排目标设计与成像传感器兼容,而光栅叠置设计与散射测量传感器兼容,不过可存在例外。
通常对具有移位(其经设计为节距的约25%到35%以确保最大叠加敏感度)的特别设计目标执行散射测量。在法向入射偏光反射计的情况中,TE光谱通常比TM光谱对叠加更敏感。因此,为了在不影响敏感度的情况下减少测量时间,通常仅分析TE光谱。
本文中应注意,通常通过差分信号方法从信号(例如,响应于检测器组合件218上的所收集辐射而产生的信号)提取叠加目标之间的叠加。应进一步注意,算法及目标设计基本上取决于采用0阶或1阶散射测量而不同。对于0阶散射测量,每一单元依据连续参数给出单个0阶信号,而对于1阶散射测量(例如,+1阶及-1阶),依据每一单元的连续参数测量两个信号。就此而言,1阶散射测量需要更少单元,从而导致可能更小目标。然而,1阶散射测量在一些例子中可为成本过高的,这是因为1阶散射测量需要高程度的光瞳均匀性,从而需要减小光瞳非均匀性的效应的校准方法的提高质量。在其中剩余光瞳非均匀性影响生存的非理想情况中,存在目标大小(有利于1阶散射测量)与性能(有利于0阶散射测量)之间的折衷。
本文中应认识到,mOVL可解决叠加不精确问题。例如,mOVL可移除正弦叠加模型的误差,同时无需添加额外目标。尽管存在过程变化及目标非对称性,此新颖方法可实现精确叠加测量。另外,mOVL可提供除叠加信息以外的光刻/过程信息。例如,可使用mOVL识别样本210上方的抗蚀剂轮廓的变化。此外,mOVL可简化目标模型设置。其可精确估计标称CD值,优化敏感度且减小参数相关性。
本文中描述两个mOVL算法实施例。即,两个mOVL算法实施例包含实时回归及库技术。现在将特定来说参考图3。
图3说明描绘根据本发明的一或多个实施例的用于散射测量系统中的基于模型的叠加计量(mOVL)的基于实时回归的算法300的流程图。如图3中说明,算法300如下解算最小平方问题:
Figure GDA0002719313080000161
其中p由待识别的参数构成,例如叠加(OVL)、临界尺寸(CD)、高度(HT)、光栅的侧壁角度(SWA)、薄膜的厚度(TH)及类似物,λj,j=1,2,…,nλ是离散波长样本,
Figure GDA0002719313080000171
是处于λj的经测量信号,S(p,λj)是经由电磁场(EM)解算器(例如,严格耦合波分析(RCWA))计算的来自在p下处于λj的目标的信号,且p*是p的经测量值。
在步骤302中,测量信号
Figure GDA0002719313080000172
本文中应注意,信号S及
Figure GDA0002719313080000173
可经选择为0阶反射或来自两个目标的反射之间的差异,其中不同默认偏移考虑系统的敏感度及噪声级。
在步骤304中,将经测量信号
Figure GDA0002719313080000174
输入到方程式1中。如先前在本文中提及,步骤304的方程式1包含解算最小平方问题,以便找到p*的值使得p*最小化。在步骤304中,如果p*的值未最小化,那么算法300进行到步骤306。
在步骤306中,修改p。算法300接着进行到步骤308。
在步骤308中,计算S(p)。如先前在本文中提及,可使用高效EM解算器计算S(p)。就此而言,算法300解算最小平方问题,其中从高效EM解算器实时(或近实时)计算来自待调查目标的光学响应。在另一实施例中,非线性编程设计算法(例如雷文博格-马括特方法(Levenberg-Marquardt method))可用于解算此最小平方问题。
返回到步骤304,如果p*的值经最小化,那么算法300进行到步骤310,其中输出p*。
本文中应注意,在研究及开发阶段可青睐图3中描绘的实时回归方案,这是因为其需要较少时间来设置配方。另一方面,当待调查目标复杂时,EM解算器需要更长时间量来计算光学响应,且库技术方法(例如图4中描绘的方法)可更适当。
图4说明描绘根据本发明的一或多个实施例的用于散射测量系统中的基于模型的叠加计量(mOVL)的基于库技术的算法400的概念图。
库技术通常涵盖库产生、库训练及测量。通过实例,用于mOVL的基于库技术的算法可包含:设置叠加模型;计算角度解析或光谱信号;产生信号及叠加库;及将库应用到测量信号以确定叠加。在一个实施例中,算法400利用库技术,包含(但不限于)库产生410、库训练420及测量430。
在一个实施例中,库产生410包含产生所关注参数411的样本且使用电磁(EM)解算器412以产生合成信号413。
所关注参数(例如,pi)的测量通常涉及数个算法。由于基于散射测量的计量涉及解决自然中的逆散射问题,所以需要系统识别算法(例如神经网络或非线性回归)以从散射信号提取所关注参数。另外,为了在理论上预测结构的散射性质,需要电磁(EM)解算器以建模入射光束(例如,照明201)与样本210的光学互动。EM解算器的实例可包含(但不限于)严格耦合波分析(RCWA)、有限元素法(FEM)、矩量法(MoM)、表面积分法、体积积分法、时域有限差分法(FDTD)及类似方法。如先前在本文中提及,本发明使用基于模型的叠加计量(mOVL)作为算法架构。mOVL借鉴光学临界尺寸(OCD)计量的构想,其中从宽带光谱或角散射信息精确推导临界尺寸(CD)。
在一个实施例中,如图4中描绘,产生所关注参数(例如,pi)411的样本。对于所关注参数411的每一组合,使用EM解算器412针对所有波长计算从具有这些参数的目标(例如,样本210上的目标)反射的信号以产生合成信号413。EM解算器412可包含(但不限于)严格耦合波分析(RCWA)。在一个实施例中,此过程可描述为S(λ,pi)=f(λ,pi),其中通过散射测量的物理学及散射光通过计量系统(例如,系统200)的光学器件的传播而控管f(λ,pi)。
在另一实施例中,算法400包含库训练420。在库训练420中,机器学习模型422(例如神经网络)用于使(来自411的)参数423与(来自413的)合成信号421相关。此过程可描述为
Figure GDA0002719313080000183
其中通过针对所有波长及参数组合使用S(λ,pi)作为输入且针对所有参数组合使用pi作为输出而调用机器学习回归模型以获得f-1。本文中应注意,在考虑这些逆问题的病态性质的情况下,可需引入适当正则化技术,以便确保受训练模型的一般化能力。
在另一实施例中,算法400包含测量430。在一个实施例中,首先从计量系统(例如,系统200)获得经测量信号431。就此而言,应注意,经测量信号431可包含系统噪声。在另一实施例中,接着将经测量信号431馈送到机器学习模型432(例如,从机器学习模型422获得的机器学习模型432)中。在另一实施例中,机器学习模型432的输出包含叠加结果433(例如,经测量输出p*)。此过程可描述为
Figure GDA0002719313080000181
其中
Figure GDA0002719313080000182
是由针对所有波长的信号构成的向量。
本文中应注意,降维技术(例如主成分分析)可用于压缩矩阵S。就此而言,应注意,机器学习模型中的特征的数目及因此参数的数目可大幅减少。此外,主成分分析还可在某种程度上将正则化引入模型中。可在2013年5月2日发布的潘德夫(Pandev)等人的第2013/0110477号美国公开专利申请案中找到将主成分分析用于减少散射测量中的信号矩阵S的维度的更详细描述。此专利申请案的全部内容以引用的方式并入本文中。
应进一步注意,本文中描述的系统、方法及算法的各种实施例仅供说明且不希望将本发明的范围限于所描述的特定实施例。因此,本文中描述的叠加计量系统、方法及算法并不希望限于所展示及描述的特定实施例,而应符合与本文中揭示的原理及新颖特征一致的最广范围。
例如,下列仪器的任何组合可用于测量各自具有形成于样本的第一层上的第一结构及形成于第二层上的第二结构的多个周期性目标的光学信号,其中第一结构与第二结构之间存在预界定偏移:成像反射计、成像光谱反射计、偏光光谱成像反射计、扫描反射计系统、具有实现并行数据采集的两个或两个以上反射计的系统、具有实现并行数据采集的两个或两个以上光谱反射计的系统、具有实现并行数据采集的两个或两个以上偏光光谱反射计的系统、具有实现依序数据采集而不移动载物台设备或移动任何光学元件或反射计载物台的两个或两个以上偏光光谱反射计的系统、成像光谱仪、具有波长滤波器的成像系统、具有长通波长滤波器的成像系统、具有短通波长滤波器的成像系统、不具有波长滤波器的成像系统、干涉成像系统、成像椭偏仪、成像光谱椭偏仪、扫描椭偏仪系统、具有实现并行数据采集的两个或两个以上椭偏仪的系统、具有实现依序数据采集而不移动晶片载物台或移动任何光学元件或椭偏仪载物台的两个或两个以上椭偏仪的系统、迈克生干涉计(Michelsoninterferometer)、马赫-陈德尔干涉计(Mach-Zehnder interferometer)、萨格纳克干涉计(Sagnac interferometer)、扫描入射角系统及扫描方位角系统。
图5说明根据本发明的一或多个实施例的包含高质量显微镜物镜508及镜筒透镜516的叠加计量系统500的简化示意图。在一个实施例中,计量系统500包含镜筒透镜516、显微镜物镜508及检测器组合件518。在另一实施例中,系统500经配置以测量或检验样本510。在另一实施例中,样本510经安置于载物台组合件512上以促进样本510的移动。本文中应注意,与图1及2的系统100、200相关联的描述可被视为应用到图5的计量系统500,除非本文中另有提及。
在一个实施例中,计量系统500类似于外延照明显微镜,包含显微镜物镜508及镜筒透镜516。通过物镜508将样本510的表面上的叠加目标成像到检测器组合件518上。检测器组合件518可包含所属领域中已知的任何检测器组合件,包含(但不限于)CCD、图像传感器及光谱仪。在一个实施例中,计量系统500的光学元件经设计以最小化色像差及非对称像差以实现精确叠加测量。
在一个实施例中,将照明引导穿过物镜508且聚集到样本510的表面上。在另一实施例中,物镜508是高数值孔径(NA)物镜(即,具有约0.9或更大的NA)。就此而言,从物镜508发散到样本510上的照明光线填充相对大圆锥角。0.9NA等效于撞击样本510的光线的最大圆锥半角arcsin(0.90)≈64°。因此,高NA物镜具有相对大入射光瞳。除相较于低NA物镜的更高空间分辨率图像以外,使用高NA物镜508的进一步优点是入射光瞳的不同部分可取决于所测量的结构而用于照明。就此而言,入射光瞳(例如,照明光瞳)是相对大的事实实现光瞳的子区域的选择性照明,所述子区域接着转化成由物镜508的总数值孔径(NA)提供的可能照明角的子集。
当系统500用于叠加计量时,物镜508在样本510处可为远心的且可具有非常低横向色像差(例如,跨对应于所测量结构的视场小于约20nm的横向色像差,优选地小于约10nm)。在一个实施例中,物镜508的远心度可小于5mrad(毫弧度)。通过实例,物镜508的远心度可为约2mrad或小于整个预期操作波长范围(通常跨越至少400nm到800nm的波长范围)。对于叠加计量,通常对具有介于约5μm到约40μm之间的横向尺寸的结构进行测量。就此而言,像差规格对于具有介于10μm到50μm之间的横向尺寸的物镜508的视场的中央部分最临界。物镜508的视场的此中央部分在本文中称为“临界视场”。应了解,物镜508的外区(其在“临界视场”外部)较不临界,且可承受这些外区中的较大像差。在庄(Chuang)等人的美国专利7,245,438及7,474,461中可找到物镜508的更详细描述。这些专利的全部内容以引用的方式并入本文中。
在另一实施例中,通过物镜508收集由样本510反射、衍射或散射的光,物镜508经配置以将所收集照明引导穿过光束分离器502及504到镜筒透镜516。就此而言,两个光束分离器502及504经定位于物镜508与镜筒透镜516之间的光学路径中。在一个实施例中,第一光束分离器(例如,光束分离器502或504)可经配置以将来自照明源(未展示)的照明引导到物镜508以用于外延照明。在另一实施例中,第二光束分离器(例如,光束分离器502或504)可经配置以形成林尼克干涉计的参考臂或替代地将通过物镜508收集的照明的一部分引导到自动对焦传感器。参考图1及其相关联描述可找到光束分离器502、504的更深入说明。应注意,图5中描绘的元件以及其随附描述仅为说明性及示范性的。就此而言,可在不脱离本发明的精神及范围的情况下实施光学元件的替代布置。例如,在替代实施例中,单个光束分离器可经配置以执行光束分离器502及504的功能。
在一个实施例中,将从样本510反射、衍射或散射的照明引导穿过光束分离器502、504到镜筒透镜516。在一个实施例中,镜筒透镜516经配置以在检测器组合件518上产生样本510的表面的图像。在另一实施例中,镜筒透镜516经配置以同时在检测器组合件518上产生样本510的表面的图像且在位置501处产生物镜508的光瞳光阑的图像。镜筒透镜516可经进一步配置以产生相较于物镜508的横向色像差的最小横向色像差(即,镜筒透镜516的横向色像差对于物镜508的临界视场是几nm或更小)。在一个实施例中,镜筒透镜516经配置,使得样本510表面的图像的横向色像差及光瞳光阑的图像501的横向色像差是小的(即,样本510表面的图像的横向色像差在物镜508的临界视场的对象平面中是几nm或更小,且光瞳光阑的图像的横向色像差小于光瞳光阑的501处的图像的直径的几个百分比,例如约1%或更小)。在另一实施例中,镜筒透镜516经配置以产生检测器组合件518上的图像及位置501处的光瞳光阑的图像两者的最小(即,小于约200μm或小于约100μm)轴向色像差。在此实施例中,镜筒透镜630最多导致物镜508的轴向色像差的微小改变,此在物镜508具有低轴向色像差时是有利的。
在另一实施例中,物镜508经配置以具有位置501处的光瞳光阑的图像及检测器组合件518上的图像两者的低横向色像差,且具有检测器组合件518上的图像的低轴向色像差但使位置501处的光瞳的图像的轴向色像差大于200μm(可能几mm大(如果未被镜筒透镜516补偿))。在此实施例中,镜筒透镜516经配置以具有位置501处的光瞳光阑的图像及检测器组合件518上的图像两者的低横向色像差,且具有检测器组合件518上的图像的低轴向色像差但使光瞳的图像的轴向色像差抵消光瞳光阑的图像的物镜508的轴向色像差(即,归因于物镜508及镜筒透镜516的组合的位置501处的光瞳光阑的图像的所得轴向色像差小于约200μm或小于约100μm)。此实施例的优点是物镜508可经配置以同时最小化检测器组合件518上的图像的横向及轴向色像差及物镜508的光瞳光阑的位置501处的图像的横向色像差而不具有亦尝试同时最小化物镜508的光瞳光阑的位置501处的图像的轴向色像差的额外约束。由于位置501处的光瞳光阑的图像通常将具有约10mm的直径,所以相较于可放置于此位置处的孔径的制造容限,光瞳光阑的图像的横向色像差应为小的(即,对于10mm直径图像小于100μm、小于约50μm或小于约20μm)。有利地,此配置可导致计量系统500的色像差的整体较低水平。
本文中应注意,上文描述的两个实施例可允许宽带轴向色像差,同时实现低级的横向色像差。另外,包括物镜508及镜筒透镜516的计量系统500针对图像及光瞳色像差两者得到校正。应进一步注意,当物镜508及镜筒透镜516如本文中描述那样经配置时,物镜508的光瞳光阑的图像的位置501并不因不同波长而移位。虽然常规镜筒透镜可校正轴向色像差,但镜筒透镜516的横向色像差可比物镜508的横向色像差更糟。此外,常规镜筒透镜可校正检测器组合件518上的图像的横向及轴向像差,但无法校正(或可引入)光瞳光阑的位置501处的图像的像差。相较之下,本发明的系统500可允许将物镜508的横向色像差减少到仅几nm或更小,这是因为图像及光瞳的任一者或两者的几百μm的轴向色像差是可允许的,而且归因于镜筒透镜516可校正所述轴向色像差而不使横向色像差降级。
在一个实施例中,光束分离器或倒装镜(未展示)被放置于镜筒透镜516与检测器组合件518之间,以允许将物镜508的光瞳光阑(未展示)的图像引导到第二检测器(未展示)。在另一实施例中,系统500包含具有一或多个处理器(未展示)的控制器(未展示)。在此实施例中,所述一或多个处理器(未展示)可控制透镜(未展示)插入到镜筒透镜516与检测器组合件518之间的照明路径中,所述透镜经配置以将物镜508的光瞳光阑的图像形成到检测器组合件518上。
继续参考图5,图5说明计量系统500,其经配置以同时实现检测器组合件518上的样本510的表面的图像及物镜508的光瞳光阑的位置501处的图像的低横向及轴向色像差。在此实施例中,镜筒透镜516包含含有双合透镜524、透镜526、528及530,以及三合透镜532的多个透镜元件。在一个实施例中,双合透镜524、透镜526及透镜528经配置以在位置503处产生样本510的表面的中间图像。在另一实施例中,透镜530及三合透镜532经配置以凭借最小像差,在检测器组合件518上产生样本510的表面的图像(即,透镜530及三合透镜532经配置以基本上抵消位置503处的中间图像的任何像差)。应注意,三合透镜532可含有小气隙,以在镜筒透镜516的配置中给出额外自由度。
常规镜筒透镜可由经配置以在位置503处产生样本510的表面的中间图像的双合透镜或三合透镜(例如双合透镜524)及经配置以在检测器组合件518处产生位置503处的中间图像的图像的另一双合透镜或三合透镜(例如三合透镜532)构成。然而,常规镜筒透镜不具有足够自由度而无法经配置以同时实现样本510表面及光瞳光阑两者的图像的低横向及轴向色像差。此外,常规镜筒透镜还可能不具有足够自由度而无法经配置以将光瞳光阑的图像放置于所要位置501处。就此而言,众所周知场透镜可放置于中间图像的位置(例如,位置503)处以改变光瞳光阑的图像的位置同时导致检测器组合件518处的图像的位置的最小移位。然而,场透镜具有众所周知缺点:其表面基本上将在检测器组合件518上的焦点上,使得其表面上的任何瑕疵使检测器组合件518上的图像的质量降级。
在一个实施例中,镜筒透镜516包含透镜528及530,其经配置以控制物镜508的光瞳光阑的图像在位置501处的位置,同时与其它透镜元件组合以确保位置501处的光瞳图像及检测器组合件518上的图像的低横向及轴向色像差。在一个实施例中,如图5中说明,透镜530经配置为负透镜以确保边缘光线及主光线穿过透镜530及三合透镜532的基本上相对侧。就此而言,如说明,主光线穿过三合透镜532及透镜530的下侧,而边缘光线穿过三合透镜532及透镜530的上侧。比较地,主光线及边缘光线两者穿过双合透镜524及透镜526的相同侧(即,如说明的下侧)。
在一个实施例中,光线束520描绘源自物镜508的视场的中心处的光线。光线束520中的光线可聚集到检测器518上的图像的中心。在另一实施例中,光线束520包含边缘光线,其是来自仅接触物镜508的光瞳光阑的视场的中心的光线。
在另一实施例中,光线束522说明源自物镜508的临界视场的一个边缘处的光线。光线束522中的光线可聚集到远离于检测器518上的图像的中心的点。在一个实施例中,光线束522包含主光线,其是来自物镜508的临界视场的边缘且穿过物镜508的光瞳的中心的光线(即,主光线是如说明的光线束522的中心的光线)。本文中应注意,光学表面对轴向色像差的贡献与边缘光线穿过所述表面时的高度的平方成比例,而所述表面对横向色像差的贡献与边缘光线及主光线穿过所述表面时的高度的乘积成比例。就此而言,应进一步注意,镜筒透镜516可经配置以归因于镜筒透镜516内的位置503处的中间图像的任一侧上的透镜元件上的边缘光线及主光线的高度的乘积的相反符号而具有最小横向及轴向色像差。
继续参考图5,在另一实施例中,镜筒透镜516可经进一步配置,使得边缘光线靠近双合透镜524及透镜526的光学轴(例如,中心)穿过,而主光线显著远离于光学轴穿过。在此实施例中,镜筒透镜516可经配置以产生光瞳图像的显著轴向色像差(例如,大于约100μm),同时维持位置501处的光瞳图像及检测器组合件518上的图像两者的低横向色像差及检测器组合件518上的图像的低轴向色像差。在此实施例中,镜筒透镜516经配置,使得其光瞳轴向色像差基本上抵消(例如,减小到小于约200μm或小于约100μm)物镜508的轴向光瞳色像差。光瞳轴向色像差的此减小是可能的,因为边缘光线及主光线的作用在样本510的表面的图像与光瞳的图像之间互换。换句话说,样本510的表面的图像的边缘光线是光瞳的图像的主光线,且反之亦然。就此而言,由于镜筒透镜516经配置以使图像主光线(即,光瞳图像的边缘光线)穿过从光学轴显著移位的双合透镜524及透镜526的表面,所以可产生适当量的光瞳轴向色像差(以基本上抵消物镜508的对应像差),同时将其它像差保持为小的。
在另一实施例中,系统500包含位置503处的场光阑,其经配置以拒绝所收集照明的一部分。可经由控制器的一或多个处理器插入、移除或调整此场光阑,如图1及2中展示。就此而言,在计量系统的集光臂(例如,集光臂105、205)中使用位置503处的场光阑。对于散射测量叠加系统,可针对样本510的表面的目标的给定大小优化场光阑大小以在信号污染与光瞳成像分辨率之间折衷。
在一个实施例中,照明光瞳中的孔径可经配置以照明物镜508的数值孔径的角度的一个子集,而位置501处的集光光瞳中的另一孔径可经配置以从数值孔径的角度的基本上非重叠子集收集照明。就此而言,可收集衍射或散射照明,同时基本上阻挡镜面反射,借此产生暗场图像。例如,实质阻挡可涉及阻挡来自样本510的表面的镜面反射照明功率的至少90%。
如上文参考图1说明,在检验及/或计量系统100的一个实施例中,照明路径可经配置有类似于镜筒透镜516的镜筒透镜。在一个实施例中,照明路径中的镜筒透镜可经配置以在与位置501及/或503共轭的位置处具有孔径,此可通过控制器120的一或多个处理器122加以控制。控制器120的一或多个处理器122可控制照明路径及集光路径中的孔径以增大检验或计量系统100对所关注特征的敏感度,同时减小样本110的其它未受关注特征的敏感度(例如薄膜层的厚度的变化)。
图6说明根据本发明的一或多个实施例的包含多个测量子系统的计量系统600的简化示意图。本文中可预期,系统600可经配置以实施本文中揭示的系统、算法或实施例中的一或多者。
在一个实施例中,计量系统600包含光学测量装置,包含光束轮廓椭偏仪(BPE)610、光束轮廓反射计(BPR)612、宽带反射光谱仪(BRS)614、深紫外线反射光谱仪(DUV)616、宽带光谱椭偏仪(BSE)618及参考椭偏仪602。在另一实施例中,系统600包含激光器620、激光器690及照明源102。在另一实施例中,激光器620可产生探测光束624,且照明源102可产生探测光束626。探测光束626可通过透镜628准直且通过镜629沿着相同于探测光束624的路径引导。在一个实施例中,激光器620包含固态激光器二极管,其发射处于可见或近IR波长(例如近670nm的波长)的线性偏光3mW光束。在另一实施例中,照明源102包含产生覆盖约200nm到800nm或更宽的光谱的多色光束的宽带激光器泵激等离子体灯。在另一实施例中,探测光束624、626由镜630反射,且穿过镜642到样本604。
在一个实施例中,探测光束624、626通过一或多个物镜聚集到样本604的表面上。例如,一或多个物镜可包含透镜632及/或透镜633。在另一实施例中,两个透镜(例如,透镜632、633)经安装于转座(未展示)中且可替代地移动到探测光束624、626的路径中。在一个实施例中,透镜632是具有高数值孔径(约0.90NA)的显微镜物镜以产生相对于样本604表面的入射角的大展开度。在另一实施例中,透镜632经配置以产生约1微米直径的光点大小。在另一实施例中,透镜633是具有较低数值孔径(约0.1NA到0.4NA)且能够将深UV光聚集到约10微米到15微米的光点大小的反射透镜。
在1993年1月19日发布的美国专利5,181,080中论述光束轮廓椭偏仪(BPE),所述案的全部内容以引用的方式并入本文中。在一个实施例中,BPE 610包含四分之一波板634、偏光器636、透镜638及四象限传感器640。在操作中,通过透镜632将线性偏光探测光束624聚集到样本604上。从样本604表面反射的光向上穿过透镜632、穿过镜642、630及644且通过镜646引导到BPE 610中。反射探测光束内的光线的位置对应于相对于样本604表面的特定入射角。四分之一波板634使光束的偏光状态中的一者的相位推迟90度。线性偏光器636导致光束的两个偏光状态彼此干扰。对于最大信号,偏光器636的轴应定向成相对于四分之一波板634的快轴及慢轴的45度角。
在一个实施例中,BPE 610的传感器640是具有四个径向安置的象限的四元传感器,四个径向安置的象限可各自拦截探测光束624的四分之一且产生与照射所述象限的探测光束624的部分的功率成比例的单独输出信号。在另一实施例中,来自每一象限的输出信号经传输到一或多个处理器648。如在美国专利5,181,080(所述案的全部内容以引用的方式并入本文中)中论述,通过监测光束的偏光状态的改变,可确定椭偏信息(例如Ψ及Δ)。
在一个实施例中,BPR 612包含透镜650、光束分离器652及两个线性传感器阵列654、656以测量样本604的反射比。在操作中,在通过透镜632将线性偏光探测光束624聚集到样本604上时,探测光束624内的各种光线依一入射角范围照射样本604表面。从样本604表面反射的光向上穿过透镜632、穿过镜642、630且通过镜644引导到BPR 612中。在1991年3月12日发布的美国专利第4,999,014号中论述光束轮廓反射计(BPR),所述案的全部内容以引用的方式并入本文中。
在一个实施例中,反射探测光束624内的光线的位置对应于相对于样本604表面的特定入射角。在另一实施例中,BPR 612的透镜650使光束在空间上二维地展开。在另一实施例中,光束分离器652分离光束的s分量及p分量,且传感器阵列654、656可定向成彼此正交以隔离关于s及p偏光的信息。对应于较高入射角的光线将更接近传感器阵列654、656的相对端。来自传感器阵列654、656的每一元件的输出对应于不同入射角。传感器阵列654、656依据相对于样本604表面的入射角测量跨反射探测光束624的强度。在一个实施例中,传感器阵列654、656可包括一或多个线传感器。
在另一实施例中,一或多个处理器648接收传感器阵列654、656的输出且基于角相依强度测量导出样本604的薄膜层608的厚度及折射率。在另一实施例中,一或多个处理器648通过利用各种类型的建模算法而导出薄膜层608的厚度及折射率。例如,一或多个处理器648可利用使用迭代过程的优化例程,例如最小平方拟合例程。在1993年应用物理杂志第73卷第11期第7035页的范顿(Fanton)等人的“使用光束轮廓反射率的薄膜的多参数测量(Multiparameter Measurements of Thin Films Using Beam-Profile Reflectivity)”中描述此类型的优化例程的一个实例。另一实例出现在1997年应用物理杂志第81卷第8期第3570页的冷(Leng)等人的“使用分光光度法及光束轮廓反射法同时测量绝缘体上硅薄膜堆叠中的六个层(Simultaneous Measurement of Six Layers in a Silicon onInsulator Film Stack Using Spectrophotometry and Beam Profile Reflectometry)”中。这两个公开案的全部内容以引用的方式并入本文中。
在一个实施例中,宽带反射光谱仪(BRS)614同时使用多个光波长探测样本604。在另一实施例中,BRS 614包含宽带光谱仪658。宽带光谱仪658可包含所属领域中已知的任何类型的宽带光谱仪。在另一实施例中,宽带光谱仪658包含透镜660、孔径662、色散元件664及传感器阵列666。在操作期间,通过透镜632将来自照明源102的探测光束626聚集到样本604上。从样本604的表面反射的光向上穿过透镜632且通过镜642引导穿过镜684到宽带光谱仪658。透镜660聚焦探测光束穿过孔径662(其界定样本604表面上的视场中的点以进行分析)。
在一个实施例中,色散元件664依据波长将光束成角度地分散到传感器阵列666中含有的个别传感器元件。传感器阵列666的不同传感器元件可优选地同时测量探测光束中含有的不同波长的光学强度。在另一实施例中,传感器阵列666包括线传感器。本文中应注意,色散元件664可包含(但不限于)衍射光栅、棱镜、全像板及类似物。在一个实施例中,色散元件664经配置以在一个方向上依据波长且在正交方向上依据相对于样本604表面的入射角而分散光,使得依据波长及入射角两者的同时测量是可行的。在此实施例中,传感器阵列666可包括线传感器,其经配置以同时收集2个或3个光谱,每一光谱对应于不同入射角范围。在另一实施例中,一或多个处理器648处理通过传感器阵列666测量的强度信息。
在一个实施例中,系统600包含深紫外线反射光谱仪(DUV)616。在一个实施例中,DUV 616同时使用多个波长的紫外线光探测样本604。应注意,DUV 616可使用相同于BRS614的宽带光谱仪658以分析探测光束626,其中DUV 616使用反射透镜633而非聚焦透镜632。就此而言,为了操作DUV 616,含有透镜632、633的转座(未展示)可经旋转,使得反射透镜633在探测光束626中对准。本文中应注意,反射透镜633可为必要的,因为固态物镜无法将UV光充分聚集到样本604上。
在阿斯普内斯(Aspnes)等人于1999年3月2日发布的美国专利5,877,859中论述宽带光谱椭偏仪(BSE),所述案的全部内容以引用的方式并入本文中。在一个实施例中,BSE618包含偏光器670、聚焦镜672、准直镜674、旋转补偿器676及检偏镜680。在操作中,镜682将探测光束626的至少部分引导到偏光器670,此产生探测光束626的已知偏光状态。在另一实施例中,偏光器670产生线性偏光状态。在另一实施例中,镜672将探测光束626依一倾斜角聚集到样本604表面上,理想地与样本604表面的法线成约70度。
基于众所周知的椭偏原理以及样本604的薄膜608及衬底606的组合物及厚度,反射光束在与样本604相互作用之后将大体上具有混合线性及圆形偏光状态。在另一实施例中,通过镜674准直反射光束,镜674将光束引导到旋转补偿器676。补偿器676在一对相互正交偏光光束分量之间引入相对相位延迟δ(相位推迟)。在另一实施例中,优选地通过电发动机678使补偿器676依一角速度ω围绕基本上平行于光束的传播方向的轴旋转。在另一实施例中,检偏镜680混合入射于其上的偏光状态。在优选实施例中,检偏镜680是另一线性偏光器。本文中应注意,测量通过检偏镜680透射的光允许确定反射探测光束的偏光状态。在另一实施例中,镜684将光束引导到光谱仪658,光谱仪658同时在传感器666上测量穿过补偿器/检偏镜组合的反射探测光束656中的不同光波长的强度。如先前在本文中提及,传感器666可包括线传感器。在另一实施例中,为解算样本特性(例如椭偏值Ψ及Δ(如在美国专利5,877,859中描述)),一或多个处理器648接收传感器666的输出且依据波长及补偿器676围绕其旋转轴的方位(旋转)角处理通过传感器666测量的强度信息。
在另一实施例中,检测器686经定位于镜646上方且可用于观察从样本604反射的光束以用于对准及聚焦目的。
在一个实施例中,为校准BPE 610、BPR 612、BRS 614、DUV 616及BSE 618,计量系统600包含可与参考样本604结合使用的波长稳定校准参考椭偏仪602。在另一实施例中,椭偏仪602包含光源690、偏光器692、透镜694、696、旋转补偿器698、检偏镜603及检测器605。
在一个实施例中,光源690产生具有已知稳定波长及稳定强度的准单色探测光束607。光束607的波长(其是已知常数或测量值)经提供到一或多个处理器648,使得椭偏仪602可精确校准系统600中的光学测量装置。
在一个实施例中,光束607与偏光器692相互作用以产生已知偏光状态。在另一实施例中,偏光器692是由石英罗歇棱镜(Rochon prism)制成的线性偏光器,但一般来说偏光不一定为线性或甚至不是完整的。本文中应注意,偏光器692还可由方解石制成。在一个实施例中,偏光器692的方位角经定向,使得与从偏光器692离开的线性偏光光束相关联的电向量的平面相对于入射平面成已知角度,其中通过光束607的传播方向及样本604的表面的法线界定入射平面。本文中应注意,当P及S偏光分量的反射强度近似平衡时,敏感度是最佳的。就此而言,方位角可经选择为约30度。本文中应进一步注意,如果光源690发射具有所要已知偏光状态的光,那么可省略偏光器692。
在一个实施例中,通过透镜694将光束607依一倾斜角聚集到样本604上。归因于在材料的布鲁斯特或伪布鲁斯特角附近最大化对样本604性质的敏感度的事实,光束607依与样本604表面的法线成约70度的角度入射于样本604上。基于众所周知的椭偏原理,相较于传入光束的线性偏光状态,反射光束在与样本604相互作用之后通常将具有混合线性及圆形偏光状态。
在一个实施例中,透镜696在光束607从样本604反射之后准直光束607。光束607接着穿过旋转补偿器(推迟器)698,补偿器698在一对相互正交偏光光束分量之间引入相对相位延迟δr(相位推迟)。相位推迟量是依据波长、用于形成补偿器698的材料的色散特性及补偿器698的厚度而变化。在一个实施例中,优选地通过电发动机601使补偿器698依一角速度ωr围绕基本上平行于光束607的传播方向的轴旋转。本文中应注意,补偿器698可包含常规波板补偿器。例如,补偿器698可包含由晶体石英制成的常规波板补偿器。在另一实施例中,补偿器698的厚度及材料经选择,使得引发光束的所要相位推迟。本文中应注意,约90°的相位推迟是方便的。
在另一实施例中,在与补偿器698相互作用之后,光束607接着与检偏镜603相互作用,检偏镜603用于混合入射于其上的偏光状态。在一个实施例中,检偏镜603是定向成相对于入射平面的45度方位角的另一线性偏光器。本文中应注意,检偏镜603可包含用于适当混合传入偏光的任何光学装置。例如,检偏镜603可包含石英罗歇或渥拉斯顿棱镜(Wollastonprism)。
本文中应注意,补偿器698可经定位于样本604与检偏镜603之间或样本604与偏光器692之间。本文中应进一步注意,可针对由光源690产生的特定光波长优化所有偏光器670、透镜694、696、补偿器698及偏光器692的构造,此可最大化椭偏仪602的精确性。
在另一实施例中,光束607进入检测器605,检测器605测量穿过补偿器/检偏镜组合的光束607的强度。在另一实施例中,一或多个处理器648处理通过检测器605测量的强度信息以确定光在与检偏镜603相互作用之后的偏光状态及因此样本604的椭偏参数。通过一或多个处理器648实行的此信息处理可包含依据补偿器698围绕其旋转轴的方位(旋转)角测量光束强度。本文中应注意,由于补偿器698角速度通常是已知且恒定的,所以依据补偿器698旋转角的此强度测量是有效地依据时间测量光束607的强度。
罗森克瓦伊格(Rosencwaig)等人在2001年10月2日发布且全部内容以引用的方式并入本文中的美国专利6,297,880进一步详细描述计量系统600。奥普萨尔(Opsal)等人在2002年8月6日发布且全部内容以引用的方式并入本文中的美国专利6,429,943描述计量系统600可如何用于散射测量。皮旺卡-科莱(Piwonka-Corle)等人在1997年3月4日发布且全部内容以引用的方式并入本文中的美国专利5,608,526描述并入光谱椭偏仪及光谱仪的计量系统600的替代实施例。本文中应进一步注意,光谱椭偏仪及光谱仪中的任一者或两者可用于本文中描述的测量样本的方法中。
上文描述的本发明的结构及方法的各种实施例仅说明本发明的原理且不希望将本发明的范围限于所描述的特定实施例。例如,下列仪器的任何组合可用于测量各自具有由样本的第一层形成的第一结构及由第二层形成的第二结构的多个周期性目标的光学信号,其中第一结构与第二结构之间存在预界定偏移:成像反射计、成像光谱反射计、偏光光谱成像反射计、扫描反射计系统、具有实现并行数据采集的两个或两个以上反射计的系统、具有实现并行数据采集的两个或两个以上光谱反射计的系统、具有实现并行数据采集的两个或两个以上偏光光谱反射计的系统、具有实现依序数据采集而不移动晶片载物台或移动任何光学元件或反射计载物台的两个或两个以上偏光光谱反射计的系统、成像光谱仪、具有波长滤波器的成像系统、具有长通波长滤波器的成像系统、具有短通波长滤波器的成像系统、不具有波长滤波器的成像系统、干涉成像系统、成像椭偏仪、成像光谱椭偏仪、扫描椭偏仪系统、具有实现并行数据采集的两个或两个以上椭偏仪的系统、具有实现依序数据采集而不移动晶片载物台或移动任何光学元件或椭偏仪载物台的两个或两个以上椭偏仪的系统、迈克生干涉计及马赫-陈德尔干涉计、萨格纳克干涉计、扫描入射角系统、扫描方位角系统。接着通过分析来自周期性目标的光学信号而确定第一结构与第二结构之间的叠加误差。
一或多个处理器122、222、648可包含所属领域中已知的任何一或多个处理元件。在此意义上,一或多个处理器122、222、648可包含经配置以执行软件算法及/或指令的任何微处理器型装置。在一个实施例中,一或多个处理器122、222、648可由经配置以执行经配置以操作系统100、200、500、600的程序的桌面计算机、主计算机系统、工作站、图像计算机、平行处理器或其它计算机系统(例如,网络计算机)构成,如贯穿本发明描述。应认识到,可通过单个计算机系统或(替代地)多个计算机系统实行贯穿本发明描述的步骤。此外,应认识到,可通过一或多个处理器122、222、648的任何一或多者实行贯穿本发明描述的步骤。一般来说,术语“处理器”可经广泛界定以涵盖具有执行来自存储器124、224的程序指令的一或多个处理元件的任何装置。此外,系统100、200、500、600的不同子系统(例如,检测器组合件118、218、传感器119、219、光谱仪214、控制器120、220)可包含适于实行贯穿本发明描述的步骤的至少一部分的处理器或逻辑元件。因此,上文描述不应被解释为对本发明的限制而仅为说明。
存储器124、224可包含所属领域中已知的适于存储可通过相关联一或多个处理器122、222、648执行的程序指令的任何存储媒体。例如,存储器124、224可包含非暂时性存储器媒体。例如,存储器124、224可包含(但不限于)只读存储器(ROM)、随机存取存储器(RAM)、磁性或光学存储器装置(例如,光盘)、磁带、固态硬盘及类似物。应进一步注意,存储器124、224可与一或多个处理器122、222、648容置于共同控制器外壳中。在替代实施例中,存储器124、224可相对于处理器122、222、648及控制器120、220的物理位置而远程地定位。在另一实施例中,存储器124、224维持用于导致一或多个处理器122、222、648实行透过本发明描述的各种步骤的程序指令。
在一个实施例中,用户接口(未展示)经通信地耦合到控制器120、220。在一个实施例中,用户接口可包含(但不限于)一或多个桌面计算机、平板计算机、智能手机、智能型手表或类似物。在另一实施例中,用户接口包含用于将系统100、200、500、600的数据显示给用户的显示器。用户接口的显示器可包含所属领域中已知的任何显示器。例如,显示器可包含(但不限于)液晶显示器(LCD)、基于有机发光二极管(OLED)的显示器或CRT显示器。所属领域的技术人员应认识到,能够与用户接口集成的任何显示器设备适于在本发明中实施。在另一实施例中,用户可响应于经由用户接口显示给用户的数据而输入选择及/或指令。
所属领域的技术人员将认识到,本文中描述的组件(例如,操作)、装置、对象及随附其的论述为概念清晰起见而被用作实例,且预期各种配置修改。因此,如本文中使用,所陈述的特定范例及随附论述希望表示其的更普通类别。一般来说,任何特定范例的使用希望表示其类别,且特定组件(例如,操作)、装置及对象的非包含性不应被视为限制性。
所属领域的技术人员将了解,存在可通过其而实现本文中所述的过程及/或系统及/或其它技术的各种工具(例如,硬件、软件及/或固件),且优选工具将随着其中部署所述过程及/或系统及/或其它技术的上下文而变化。例如,如果实施者确定速度及精确性是非常重要的,那么所述实施者可选择主要硬件及/或固件工具;替代地,如果灵活性为非常重要,那么所述实施者可选择主要软件实施方案;或再次替代地,所述实施者可选择硬件、软件及/或固件的某一组合。因此,存在可通过其而实现本文中所述的过程及/或装置及/或其它技术的若干可行工具,所述工具的任一者并非固有地优于其它工具,因为待利用的任意工具是取决于将部署所述工具的上下文及所述实施者的特定关注(例如,速度、灵活性或可预测性)的选择,所述上下文及所述关注的任意者可能变化。
呈现先前描述以使所属领域的一般技术人员能够制作及使用如在特定应用及其要求的上下文中提供的本发明。如本文中使用,例如“顶部”、“底部”、“上方”、“下方”、“上”、“朝上”、“下”、“向下”及“朝下”的方向型术语希望出于描述的目的而提供相对位置,且不希望指定绝对参考架构。所属领域的技术人员将了解对所描述的实施例的各种修改,且本文中界定的一般原理可应用到其它实施例。因此,本发明并不希望限于所展示及描述的特定实施例,而应符合与本文中揭示的原理及新颖特征一致的最广范围。
关于本文中的基本上任何复数及/或单数术语,所属领域的技术人员可适合于上下文及/或申请案而从复数转变为单数及/或从单数转变为复数。为清晰起见未在本文中明确陈述各种单数/复数排列。
本文中描述的所有方法可包含将方法实施例的一或多个步骤的结果存储于存储器中。结果可包含本文中描述的结果中的任一者且可以所属领域中已知的任何方式存储。存储器可包含本文中描述的任何存储器或所属领域中已知的任何其它适合存储媒体。在已存储结果之后,结果可在存储器中存取且通过本文中描述的方法或系统实施例的任一者使用,经格式化以显示给用户,由另一软件模块、方法或系统使用等等。此外,结果可“永久”、“半永久”、临时存储或存储达一段时间。例如,存储器可为随机存取存储器(RAM),且结果可不必无限期地永留于存储器中。
可进一步预期,上文描述的方法的实施例中中的每一者可包含本文中描述的(若干)任何其它方法的(若干)任何其它步骤。另外,上文描述的方法的实施例中中的每一者可由本文中描述的系统中的任一者执行。
本文中描述的标的物有时说明包含于其它组件内或与其它组件连接的不同组件。应理解,此类所描绘的架构仅为示范性的,且事实上可实施实现相同功能性的许多其它架构。在概念意义上,实现相同功能性的组件的任何布置有效地“相关联”,使得实现所要功能性。因此,在不考虑架构或中间组件的情况下,经组合以实现特定功能性的本文中的任何两个组件可被视为彼此“相关联”,使得实现所要功能性。同样地,如此相关联的任何两个组件还可被视为彼此“连接”或“耦合”以实现所要功能性,且能够如此相关联的任何两个组件还可被视为“可耦合”到彼此以实现所要功能性。可耦合的特定实例包含(但不限于)可物理配接及/或物理相互作用的组件及/或可无线相互作用及/或无线相互作用的组件及/或逻辑相互作用及/或可逻辑相互作用的组件。
此外,应理解,通过随附权利要求书界定本发明。所属领域的技术人员将理解,一般来说,在本文中使用且尤其在随附权利要求书(例如随附权利要求书的主体)中使用的术语一般希望为“开放性”术语(例如术语“包含”应被解释为“包含(但不限于)”,术语“具有”应被解释为“至少具有”,术语“包含”应被解释为“包含(但不限于)”及类似物)。所属领域的技术人员将进一步理解,如果预期特定数目个引入权利要求叙述,那么此意图明确叙述于权利要求中,且在不存在此叙述的情况下,不存在此意图。例如,为帮助理解,下列随附权利要求书可包含介绍性词组“至少一个”及“一或多个”的使用以引入权利要求叙述。然而,这些词组的使用不应视为暗示由不定冠词“一”或“一个”引入权利要求叙述将包含此引入权利要求叙述的任意特定权利要求限于仅包含此叙述的发明,即使相同权利要求包含介绍性词组“一或多个”或“至少一个”及不定冠词(例如“一”或“一个”)(例如,“一”及/或“一个”通常应解释成意味着“至少一个”或“一或多个”);对于使用用于引入权利要求叙述的定冠词也如此。另外,即使明确叙述引入的权利要求叙述的特定数目,所属领域的技术人员还将认识到,此叙述应通常解释为意味着至少经叙述的数目(例如,不具有其它修饰语的“两个叙述”的裸叙述通常意味着至少两个叙述或两个或两个以上叙述)。此外,在其中使用类似于“A、B及C及类似物中的至少一者”的惯例的所述例子中,一般来说在所属领域的技术人员将理解所述惯例(例如,“具有A、B及C中的至少一者的系统”将包含(但不限于)仅具有A、仅具有B、仅具有C、具有A及B、具有A及C、具有B及C及/或具有A、B及C及类似物的系统)的意义上期望此构造。在其中使用类似于“A、B或C及类似物中的至少一者”的惯例的所述例子中,一般来说在所属领域的技术人员将理解所述惯例(例如,“具有A、B或C中的至少一者的系统”将包含(但不限于)仅具有A、仅具有B、仅具有C、具有A及B、具有A及C、具有B及C及/或具有A、B及C及类似物的系统)的意义上期望此构造。所属领域的技术人员将进一步理解,事实上呈现两个或两个以上替代术语的转折性字词及/或词组(不管在描述、权利要求书或图式中)应理解为预期包含术语的一者、术语的任一者或两个术语的可能性。例如,词组“A或B”通常将理解为包含“A”或“B”或“A及B”的可能性。
据信,将通过前述描述理解本发明及其许多伴随优势,且将明白,在不脱离所揭示的标的物或不牺牲所有其材料优势的情况下可对组件的形式、构造及布置做出各种改变。所描述形式仅为说明性的,且下列权利要求书的意图是涵盖及包含此类改变。此外,应理解,通过随附权利要求书界定本发明。

Claims (29)

1.一种用于测量样本的叠加误差的计量系统,其包括:
宽带照明源,其经配置以发射宽带照明;
一或多个光学元件,其经配置以将所述宽带照明引导到经安置于所述样本上的目标,其中所述一或多个光学元件经配置以从所述目标收集照明且将其引导到光谱仪,其中所述光谱仪经配置以将从所述样本收集的所述照明的多个波长分散到传感器的多个元件以产生多个信号;及
控制器,其经配置以通过比较所述多个信号与多个经计算信号来计算所述目标的第一结构与第二结构之间的叠加误差,其中所述控制器经进一步配置以调整所述目标的模型的参数以最小化平方差
Figure FDA0003686437590000011
其中
Figure FDA0003686437590000012
表示处于所述多个波长λj,j=1,2,...,nλ的所述多个信号,且S(p,λj)表示参数p的所述多个经计算信号。
2.根据权利要求1所述的系统,其中所述控制器经进一步配置以使用雷文博格-马括特算法(Levenberg-Marquardt algorithm)来最小化所述平方差。
3.一种用于确定叠加误差的方法,其包括:
提供具有各自具有第一层中的第一结构及第二层中的第二结构的多个周期性目标的样本,其中所述第一结构与所述第二结构之间存在至少一个预界定偏移;
将照明引导及聚集到所述样本上;
接收来自所述样本的照明;
将所接收照明引导到光谱仪,其中所述光谱仪将所述所接收照明分散成多个波长,所述多个波长经引导到包括多个传感器元件的传感器,所述多个传感器元件产生对应于所述所接收照明的所述多个波长的多个信号;及
通过比较所述多个信号与多个经计算信号来计算所述第一结构与所述第二结构之间的叠加误差,其中通过比较所述多个信号与所述多个经计算信号来计算叠加误差包括调整所述目标的模型的参数以最小化平方差
Figure FDA0003686437590000013
其中
Figure FDA0003686437590000014
表示处于所述多个波长λj,j=1,2,...,nλ的所述多个信号,且S(p,λj)表示参数p的所述多个经计算信号。
4.根据权利要求3所述的方法,其中所述第一结构与所述第二结构之间存在至少两个预界定偏移。
5.根据权利要求4所述的方法,其中通过比较所述多个信号与多个经计算信号来计算叠加误差包括调整所述目标的模型的所述参数以最小化平方差
Figure FDA0003686437590000021
其中
Figure FDA0003686437590000022
表示处于所述多个波长λj,j=1,2,...,nλ的所述多个信号,且S(p,λj)表示所述参数p的所述多个经计算信号。
6.根据权利要求3所述的方法,其中调整所述目标的模型的参数p以最小化所述平方差使用雷文博格-马括特算法。
7.一种用于测量样本的叠加误差的计量系统,其包括:
宽带照明源;
物镜,其经配置以将照明聚集到所述样本上且收集来自所述样本的照明,其中所述物镜具有0.9或更高的数值孔径,且所述物镜经配置以在从小于400nm到长于800nm的波长范围内操作;
一或多个照明光学元件,其经配置以将来自所述宽带照明源的所述照明引导到所述物镜,其中所述一或多个照明光学元件包括包含一或多个光学元件的第一镜筒透镜,所述一或多个光学元件经配置以在所述第一镜筒透镜内形成第一中间图像,使得所述宽带照明的主光线及所述宽带照明的边缘光线不在所述第一镜筒透镜内相交;及
一或多个集光光学元件,其经配置以将来自所述样本的照明引导到检测器组合件,其中所述一或多个集光光学元件包括包含一或多个光学元件的第二镜筒透镜,所述一或多个光学元件经配置以在所述第一镜筒透镜内形成第二中间图像,使得所述宽带照明的所述主光线及所述宽带照明的所述边缘光线不在所述第二镜筒透镜内相交。
8.根据权利要求7所述的计量系统,其中所述第一镜筒透镜或所述第二镜筒透镜中的至少一者进一步包括负透镜,所述负透镜放置在经形成于含有所述负透镜的所述镜筒透镜中的所述中间图像之后。
9.根据权利要求8所述的计量系统,其中所述计量系统进一步包括:
照明光瞳孔径,其经配置以照明所述物镜的光瞳的第一部分;及
集光光瞳孔径,其经配置以收集来自所述物镜的光瞳的第二部分的光,其中所述光瞳的所述第一部分及所述第二部分实质上不重叠,使得来自所述样本的镜面反射的功率的至少90%被阻挡。
10.根据权利要求9所述的计量系统,其中所述检测器组合件包括图像传感器及光谱仪中的一者。
11.根据权利要求9所述的计量系统,其中所述检测器组合件包含光谱仪,所述光谱仪经配置以将从所述样本收集的所述照明的多个波长分散到传感器的多个元件以产生多个信号,每一信号对应于不同波长;且
其中所述计量系统进一步包括控制器,所述控制器经可互操作地连接到所述光谱仪,且经配置以通过比较所述多个信号与多个经计算信号来计算在所述样本上的目标的第一结构与第二结构之间的叠加误差。
12.根据权利要求11所述的计量系统,其中所述控制器经进一步配置以调整所述目标的模型的参数以最小化平方差
Figure FDA0003686437590000031
其中
Figure FDA0003686437590000032
表示处于所述多个波长λj,j=1,2,...,nλ的所述多个信号,且S(p,λj)表示参数p的所述多个经计算信号。
13.根据权利要求12所述的计量系统,其中所述控制器经进一步配置以使用雷文博格-马括特算法(Levenberg-Marquardt algorithm)来最小化所述平方差。
14.根据权利要求13所述的计量系统,其中所述控制器经进一步配置以通过使用机器学习模型比较所述多个信号与所述多个经计算信号来计算叠加误差,所述机器学习模型使参数pi的组合与处于所述多个波长λj,j=1,2,...,nλ的所述多个经计算信号S(pi,λj)相关。
15.根据权利要求14所述的计量系统,其中所述控制器经进一步配置以使用主成分分析来压缩S(pi,λj)。
16.根据权利要求14所述的计量系统,其中所述控制器经进一步配置以使用严格耦合波分析RCWA来计算S(pi,λj)。
17.根据权利要求8所述的计量系统,其中所述检测器组合件包括图像传感器及光谱仪中的一者。
18.根据权利要求7所述的计量系统,其中所述物镜包含光瞳,且其中所述第一镜筒透镜或所述第二镜筒透镜中的至少一者经进一步配置以实质上校正所述光瞳的图像及所述样本的图像中的至少一者中的宽带轴向色像差。
19.根据权利要求18所述的计量系统,其中所述计量系统进一步包括:
照明光瞳孔径,其经配置以照明所述物镜的所述光瞳的第一部分;及
集光光瞳孔径,其经配置以收集来自所述物镜的所述光瞳的第二部分的光,其中所述光瞳的所述第一部分及所述第二部分实质上不重叠,使得来自所述样本的镜面反射的功率的至少90%被阻挡。
20.根据权利要求18所述的计量系统,其中所述检测器组合件包括图像传感器及光谱仪中的一者。
21.根据权利要求7所述的计量系统,其中所述第一镜筒透镜及所述第二镜筒透镜中的至少一者经进一步配置以实质上校正所述物镜的光瞳的图像及所述样本的图像中的至少一者中的宽带轴向色像差,同时实质上维持所述物镜的横向色彩性能。
22.根据权利要求21所述的计量系统,其中所述计量系统进一步包括:
照明光瞳孔径,其经配置以照明所述物镜的所述光瞳的第一部分;及
集光光瞳孔径,其经配置以收集来自所述物镜的所述光瞳的第二部分的光,其中所述光瞳的所述第一部分及所述第二部分实质上不重叠,使得来自所述样本的镜面反射的功率的至少90%被阻挡。
23.根据权利要求21所述的计量系统,其中所述检测器组合件包括图像传感器及光谱仪中的一者。
24.一种用于测量样本的叠加误差的计量系统,其包括:
宽带照明源,其经配置以发射宽带照明;
一或多个光学元件,其经配置以将所述宽带照明引导到经安置于所述样本上的目标,其中所述一或多个光学元件经配置以从所述目标收集照明且将其引导到光谱仪,其中所述光谱仪经配置以将从所述样本收集的所述照明的多个波长分散到传感器的多个元件以产生多个信号;及
控制器,其经配置以通过比较所述多个信号与多个经计算信号来计算所述目标的第一结构与第二结构之间的叠加误差,其中所述控制器经进一步配置以通过使用机器学习模型比较所述多个信号与多个经计算信号来计算所述叠加误差,所述机器学习模型使参数pi的组合与处于所述多个波长λj,j=1,2,...,nλ的所述多个经计算信号S(pi,λj)相关。
25.根据权利要求24所述的系统,其中所述控制器经进一步配置以使用主成分分析来压缩S(pi,λj)。
26.根据权利要求24所述的系统,其中所述控制器经进一步配置以使用严格耦合波分析RCWA来计算S(pi,λj)。
27.一种用于确定叠加误差的方法,其包括:
提供具有各自具有第一层中的第一结构及第二层中的第二结构的多个周期性目标的样本,其中所述第一结构与所述第二结构之间存在至少一个预界定偏移;
将照明引导及聚集到所述样本上;
接收来自所述样本的照明;
将所接收照明引导到光谱仪,其中所述光谱仪将所述所接收照明分散成多个波长,所述多个波长经引导到包括多个传感器元件的传感器,所述多个传感器元件产生对应于所述所接收照明的所述多个波长的多个信号;及
通过比较所述多个信号与多个经计算信号来计算所述第一结构与所述第二结构之间的叠加误差,其中通过比较所述多个信号与所述多个经计算信号来计算叠加误差包括使用使参数pi的组合与处于所述多个波长λj,j=1,2,...,nλ的所述多个经计算信号S(pi,λj)相关的机器学习模型。
28.根据权利要求27所述的方法,所述方法进一步包括使用主成分分析来压缩S(pi,λj)。
29.根据权利要求27所述的方法,所述方法进一步包括使用严格耦合波分析来计算S(pi,λj)。
CN201880092279.7A 2018-03-13 2018-05-17 叠加计量系统及方法 Active CN112041974B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862642501P 2018-03-13 2018-03-13
US15/952,081 2018-04-12
US15/952,081 US11067389B2 (en) 2018-03-13 2018-04-12 Overlay metrology system and method
PCT/US2018/033061 WO2019199340A1 (en) 2018-03-13 2018-05-17 An overlay metrology system and method

Publications (2)

Publication Number Publication Date
CN112041974A CN112041974A (zh) 2020-12-04
CN112041974B true CN112041974B (zh) 2022-08-05

Family

ID=67905319

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880092279.7A Active CN112041974B (zh) 2018-03-13 2018-05-17 叠加计量系统及方法

Country Status (8)

Country Link
US (1) US11067389B2 (zh)
EP (1) EP3762960A4 (zh)
JP (2) JP7004842B2 (zh)
KR (1) KR102353261B1 (zh)
CN (1) CN112041974B (zh)
IL (1) IL277821B2 (zh)
TW (1) TWI765043B (zh)
WO (1) WO2019199340A1 (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11422095B2 (en) * 2019-01-18 2022-08-23 Kla Corporation Scatterometry modeling in the presence of undesired diffraction orders
US11162897B2 (en) 2019-05-15 2021-11-02 Onto Innovation Inc. Optical metrology device using numerical aperture reduction
KR20210032663A (ko) * 2019-09-17 2021-03-25 삼성전자주식회사 웨이퍼 검사 장치
US11994808B2 (en) * 2019-09-27 2024-05-28 Asml Holding N.V. Lithographic apparatus, metrology systems, phased array illumination sources and methods thereof
CN111061828B (zh) * 2019-11-29 2023-08-29 华中师范大学 一种数字图书馆知识检索方法及装置
US20230017097A1 (en) * 2020-01-07 2023-01-19 Nova Ltd Detecting outliers and anomalies for ocd metrology machine learning
US11309202B2 (en) * 2020-01-30 2022-04-19 Kla Corporation Overlay metrology on bonded wafers
US20230197483A1 (en) * 2020-04-05 2023-06-22 Kla Corporation Systems and methods for correction of impact of wafer tilt on misregistration measurements
US11300524B1 (en) * 2021-01-06 2022-04-12 Kla Corporation Pupil-plane beam scanning for metrology
US20220291143A1 (en) * 2021-03-11 2022-09-15 Kla Corporation Optical metrology utilizing short-wave infrared wavelengths
US11967535B2 (en) * 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets
CN114295558B (zh) * 2021-12-31 2023-08-22 四川启睿克科技有限公司 便携式光谱仪
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1879063A (zh) * 2003-12-19 2006-12-13 国际商业机器公司 微分临界尺寸和覆盖计量装置以及测量方法
CN101523294A (zh) * 2006-08-14 2009-09-02 卡尔蔡司Smt股份公司 具有光瞳镜的反射折射投影物镜、投影曝光设备和方法
CN105051880A (zh) * 2013-02-22 2015-11-11 科磊股份有限公司 用于在光学计量中提供照明的系统
WO2016045945A1 (en) * 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method
CN106575631A (zh) * 2014-07-22 2017-04-19 科磊股份有限公司 用于同步暗场及相位对比检验的系统及方法

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
JP2842362B2 (ja) * 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5999310A (en) 1996-07-22 1999-12-07 Shafer; David Ross Ultra-broadband UV microscope imaging system with wide range zoom capability
US5877859A (en) 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US6278519B1 (en) 1998-01-29 2001-08-21 Therma-Wave, Inc. Apparatus for analyzing multi-layer thin film stacks on semiconductors
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US7957066B2 (en) 2003-02-21 2011-06-07 Kla-Tencor Corporation Split field inspection system using small catadioptric objectives
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
TWI269870B (en) 2004-12-30 2007-01-01 Ind Tech Res Inst Method for deciding structure parameters of a grating
US7245438B2 (en) 2005-05-23 2007-07-17 Kla-Tencor Technologies Corporation Broad band objective having improved lateral color performance
US7345825B2 (en) 2005-06-30 2008-03-18 Kla-Tencor Technologies Corporation Beam delivery system for laser dark-field illumination in a catadioptric optical system
US7684039B2 (en) * 2005-11-18 2010-03-23 Kla-Tencor Technologies Corporation Overlay metrology using the near infra-red spectral range
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7525649B1 (en) 2007-10-19 2009-04-28 Kla-Tencor Technologies Corporation Surface inspection system using laser line illumination with two dimensional imaging
US7449265B1 (en) * 2007-11-20 2008-11-11 Tokyo Electron Limited Scatterometry target for determining CD and overlay
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
US20110035235A1 (en) 2009-08-05 2011-02-10 Hale Charles R System and Method for Processing Radiological Information Utilizing Radiological Domain Ontology
US8441639B2 (en) 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
AU2011207381B2 (en) 2010-01-22 2016-06-09 The Board Of Trustees Of The Leland Stanford Junior University Inhibition of AXL signaling in anti-metastatic therapy
US20120089365A1 (en) * 2010-10-08 2012-04-12 Zygo Corporation Data interpolation methods for metrology of surfaces, films and underresolved structures
US20120224176A1 (en) 2011-03-03 2012-09-06 Nanometrics Incorporated Parallel Acquisition Of Spectra For Diffraction Based Overlay
US9279774B2 (en) 2011-07-12 2016-03-08 Kla-Tencor Corp. Wafer inspection
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US20130110477A1 (en) 2011-10-31 2013-05-02 Stilian Pandev Process variation-based model optimization for metrology
US9645287B2 (en) 2012-12-17 2017-05-09 Kla-Tencor Corporation Flexible optical aperture mechanisms
US9726617B2 (en) 2013-06-04 2017-08-08 Kla-Tencor Corporation Apparatus and methods for finding a best aperture and mode to enhance defect detection
US9255887B2 (en) 2013-06-19 2016-02-09 Kla-Tencor Corporation 2D programmable aperture mechanism
US9723703B2 (en) 2014-04-01 2017-08-01 Kla-Tencor Corporation System and method for transverse pumping of laser-sustained plasma
NL2013293A (en) 2014-06-02 2016-03-31 Asml Netherlands Bv Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method.
US9709510B2 (en) 2014-06-26 2017-07-18 Kla-Tencor Corp. Determining a configuration for an optical element positioned in a collection aperture during wafer inspection
US9891177B2 (en) 2014-10-03 2018-02-13 Kla-Tencor Corporation TDI sensor in a darkfield system
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US9470639B1 (en) 2015-02-03 2016-10-18 Kla-Tencor Corporation Optical metrology with reduced sensitivity to grating anomalies
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
CN107667287B (zh) * 2015-06-03 2021-05-25 美题隆公司 用于光学滤光片的自动缺陷检测与映射
US9865447B2 (en) 2016-03-28 2018-01-09 Kla-Tencor Corporation High brightness laser-sustained plasma broadband source
CN109073568B (zh) 2016-04-29 2022-01-11 Asml荷兰有限公司 用于确定结构的特性的方法和装置、器件制造方法
WO2018202388A1 (en) * 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1879063A (zh) * 2003-12-19 2006-12-13 国际商业机器公司 微分临界尺寸和覆盖计量装置以及测量方法
CN101523294A (zh) * 2006-08-14 2009-09-02 卡尔蔡司Smt股份公司 具有光瞳镜的反射折射投影物镜、投影曝光设备和方法
CN101523294B (zh) * 2006-08-14 2012-08-08 卡尔蔡司Smt有限责任公司 具有光瞳镜的反射折射投影物镜、投影曝光设备和方法
CN105051880A (zh) * 2013-02-22 2015-11-11 科磊股份有限公司 用于在光学计量中提供照明的系统
CN106575631A (zh) * 2014-07-22 2017-04-19 科磊股份有限公司 用于同步暗场及相位对比检验的系统及方法
WO2016045945A1 (en) * 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method

Also Published As

Publication number Publication date
JP2021521443A (ja) 2021-08-26
JP7004842B2 (ja) 2022-01-21
JP7282853B2 (ja) 2023-05-29
IL277821B1 (en) 2023-03-01
IL277821B2 (en) 2023-07-01
KR102353261B1 (ko) 2022-01-18
EP3762960A1 (en) 2021-01-13
CN112041974A (zh) 2020-12-04
KR20200131908A (ko) 2020-11-24
US11067389B2 (en) 2021-07-20
TWI765043B (zh) 2022-05-21
WO2019199340A1 (en) 2019-10-17
JP2022009729A (ja) 2022-01-14
US20190285407A1 (en) 2019-09-19
TW202004934A (zh) 2020-01-16
EP3762960A4 (en) 2021-12-08
IL277821A (en) 2020-11-30

Similar Documents

Publication Publication Date Title
CN112041974B (zh) 叠加计量系统及方法
US9952140B2 (en) Small spot size spectroscopic ellipsometer
US10274370B2 (en) Inspection apparatus and method
US8792096B2 (en) Inspection apparatus for lithography
KR100930941B1 (ko) 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀및 디바이스 제조 방법
US8879073B2 (en) Optical metrology using targets with field enhancement elements
US7436527B2 (en) Systems and methods for immersion metrology
US20130162996A1 (en) Inspection Method and Apparatus, and Lithographic Apparatus
US20130245985A1 (en) Calibration Of An Optical Metrology System For Critical Dimension Application Matching
JP2020500289A (ja) 高アスペクト比構造測定のための赤外分光反射計
KR102518214B1 (ko) 고종횡비 구조물의 측정을 위한 중적외선 분광법
US10551166B2 (en) Optical measurement of a highly absorbing film layer over highly reflective film stacks
JP2023512258A (ja) 接合されたウェハのオーバレイ計測
CN103185638B (zh) 宽带偏振光谱仪和光学测量系统
Ghim et al. One-shot measurement technique for inspection of multilayer thin-films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant