JP2021500603A - Forming multiple spatial images with a single lithography exposure pass - Google Patents

Forming multiple spatial images with a single lithography exposure pass Download PDF

Info

Publication number
JP2021500603A
JP2021500603A JP2020521348A JP2020521348A JP2021500603A JP 2021500603 A JP2021500603 A JP 2021500603A JP 2020521348 A JP2020521348 A JP 2020521348A JP 2020521348 A JP2020521348 A JP 2020521348A JP 2021500603 A JP2021500603 A JP 2021500603A
Authority
JP
Japan
Prior art keywords
pulses
wafer
spatial image
light
plane
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020521348A
Other languages
Japanese (ja)
Inventor
コンリー,ウィラード,アール
ソーンズ,ジョシュア,ジョン
レヒトシュタイナー,グレゴリー,アレン
Original Assignee
サイマー リミテッド ライアビリティ カンパニー
サイマー リミテッド ライアビリティ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サイマー リミテッド ライアビリティ カンパニー, サイマー リミテッド ライアビリティ カンパニー filed Critical サイマー リミテッド ライアビリティ カンパニー
Publication of JP2021500603A publication Critical patent/JP2021500603A/en
Priority to JP2022110886A priority Critical patent/JP2022136121A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0037Production of three-dimensional images
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70041Production of exposure light, i.e. light sources by pulsed sources, e.g. multiplexing, pulse duration, interval control or intensity control
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/704162.5D lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Lasers (AREA)
  • Liquid Crystal (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

光ビームの光パルスの一セットが単一露光パスの間にマスクを通過してウェーハに向けられ、マスクを通過するパルスセット内の光パルスに基づいたウェーハ上の少なくとも第1の空間像及び第2の空間像が単一露光パスの間に生成され、第1の空間像は、ウェーハ上の第1の平面にあり、第2の空間像は、ウェーハ上の第2の平面にあり、第1の平面及び第2の平面は、互いに空間個別的であり、伝搬方向に沿って分離距離だけ互いに離れており、3次元半導体コンポーネントが形成される。【選択図】図1AA set of optical pulses of the optical beam passes through the mask and is directed at the wafer during a single exposure pass, and at least the first spatial image and a first spatial image on the wafer based on the optical pulses in the pulse set passing through the mask. Two spatial images are generated during a single exposure pass, the first spatial image is in the first plane on the wafer and the second spatial image is in the second plane on the wafer. The plane 1 and the plane 2 are spatially individual to each other and are separated from each other by a separation distance along the propagation direction to form a three-dimensional semiconductor component. [Selection diagram] FIG. 1A

Description

関連出願の相互参照
[0001] この出願は、2017年10月19日に出願された米国仮特許出願第62/574,628号の優先権を主張し、参照によりその全体が本明細書に組み込まれる。
Cross-reference of related applications
[0001] This application claims the priority of US Provisional Patent Application No. 62 / 574,628 filed October 19, 2017, which is incorporated herein by reference in its entirety.

[0002] この開示は、単一リソグラフィ露光パスで複数の空間像を形成することに関する。以下で考察される技術は、例えば3次元半導体コンポーネントを形成するのに用いることができる。 [0002] This disclosure relates to forming multiple spatial images in a single lithographic exposure pass. The techniques discussed below can be used, for example, to form three-dimensional semiconductor components.

[0003] フォトリソグラフィは、半導体回路をシリコンウェーハなどの基板上にパターニングするプロセスである。フォトリソグラフィ光源は、ウェーハ上のフォトレジストを露光するのに用いられる深紫外線(DUV)光を提供する。フォトリソグラフィ用のDUV光はエキシマ光源によって生成される。多くの場合、光源はレーザ源であり、パルス光ビームはパルスレーザビームである。光ビームはビームデリバリユニット、レチクル又はマスクを通過し、それから用意されたシリコンウェーハ上に投射される。このようにしてチップ設計がフォトレジスト上にパターニングされ、その後エッチング及び洗浄され、そしてこのプロセスは繰り返される。 [0003] Photolithography is a process of patterning a semiconductor circuit on a substrate such as a silicon wafer. The photolithography light source provides deep ultraviolet (DUV) light used to expose the photoresist on the wafer. DUV light for photolithography is generated by an excimer light source. In many cases, the light source is a laser source and the pulsed light beam is a pulsed laser beam. The light beam passes through a beam delivery unit, reticle or mask and is then projected onto a prepared silicon wafer. In this way the chip design is patterned on the photoresist, then etched and washed, and the process is repeated.

[0004] 1つの一般的な態様において、フォトリソグラフィシステムを使用して3次元半導体コンポーネントを形成する方法が、複数の光パルスを含むパルス光ビームを伝搬方向に沿ってマスクに向けること、単一露光パスの間に光ビームの光パルスの一セットを、マスクを通過させてウェーハに向けること、単一露光パスの間に、マスクを通過するパルスセット内の光パルスに基づいて、ウェーハ上に少なくとも第1の空間像及び第2の空間像を生成することであって、第1の空間像がウェーハ上の第1の平面にあり、第2の空間像がウェーハ上の第2の平面にあり、第1の平面及び第2の平面が互いに空間個別的であり、伝搬方向に沿って分離距離だけ互いに離れていること、及び第1の空間像の光とウェーハの第1の部分の材料との相互作用及び第2の空間像の光とウェーハの第2の部分の材料との相互作用に基づいて3次元半導体コンポーネントを形成すること、を含む。パルスセット内のパルスの少なくとも1つが第1の一次波長を有し、パルスセット内の他のパルスの少なくとも1つが、第1の一次波長と異なる第2の一次波長を有することにより、分離距離が第1の一次波長と第2の一次波長の差に基づいて単一露光パスの間に形成される。 [0004] In one general embodiment, a method of forming a three-dimensional semiconductor component using a photolithographic system is to direct a pulsed light beam containing multiple light pulses toward a mask along the propagation direction. A set of light pulses of light beam is directed through the mask to the wafer during the exposure pass, on the wafer based on the light pulses in the pulse set passing through the mask during a single exposure pass. To generate at least a first spatial image and a second spatial image, the first spatial image is on the first plane on the wafer and the second spatial image is on the second plane on the wafer. Yes, the first and second planes are spatially separate from each other, separated from each other by a separation distance along the propagation direction, and the light of the first spatial image and the material of the first part of the wafer. Includes forming a three-dimensional semiconductor component based on the interaction with and the interaction of the light of the second spatial image with the material of the second portion of the wafer. The separation distance is increased by having at least one of the pulses in the pulse set having a first primary wavelength and at least one of the other pulses in the pulse set having a second primary wavelength different from the first primary wavelength. It is formed during a single exposure pass based on the difference between the first primary wavelength and the second primary wavelength.

[0005] 実装形態は以下の特徴の1つ以上を備えることができる。単一露光パスの間にマスクを通過するパルスセット内のパルスの少なくとも1つは、2つ以上の光の一次波長を有することができる。 [0005] The implementation can include one or more of the following features. At least one of the pulses in the pulse set passing through the mask during a single exposure pass can have two or more primary wavelengths of light.

[0006] 各一次波長は、最も近い別の一次波長から200フェムトメートル(fm)から500ピコメートル(pm)のスペクトル分離によって分離される可能性がある。 [0006] Each primary wavelength may be separated by a spectral separation of 200 femtometres (fm) to 500 picometers (pm) from the nearest other primary wavelength.

[0007] 第1の空間像と第2の空間像の間の分離距離は、単一露光パスの間に変化することができる。 [0007] The separation distance between the first spatial image and the second spatial image can vary during a single exposure pass.

[0008] 単一露光パスは第1の露光パスであってよく、方法はさらに、第2の露光パスの間、かつ第1の露光パスが完了した後に、光ビームの光パルスの第2のセットをマスクを通過させてウェーハに向けることを含むことができる。第1の空間像と第2の空間像の間の分離距離は、第1の露光パス及び第2の露光パスの間異なる。 [0008] The single exposure pass may be the first exposure pass, the method is further during the second exposure pass and after the first exposure pass is completed, the second of the light pulses of the light beam. It can include directing the set through the mask and towards the wafer. The separation distance between the first spatial image and the second spatial image differs between the first exposure pass and the second exposure pass.

[0009] 第1の空間像と第2の空間像の間の分離距離は、単一露光パスに先立って設定することができ、一部の実装形態では、分離距離は単一露光パスの間変化しない。第1の空間像と第2の空間像の間の分離距離は、フォトリソグラフィシステムの1つ以上のフィーチャに適応するように設定することができる。 [0009] The separation distance between the first spatial image and the second spatial image can be set prior to the single exposure pass, and in some implementations the separation distance is between the single exposure passes. It does not change. The separation distance between the first spatial image and the second spatial image can be set to adapt to one or more features of the photolithography system.

[0010] パルスセットは、光パルスの第1のグループと光パルスの第2のグループとを含み、光パルスの第1のグループの各パルスは第1の一次波長を有し、光パルスの第2のグループの各パルスは第2の一次波長を有し、方法はさらに、パルスの第1のグループの特性を制御することによって第1の空間像の光量を制御すること、及びパルスの第2のグループの特性を制御することによって第2の空間像の光量を制御することを含む。第1のグループの特性は第1のグループのパルス数であってよく、第2のグループの特性は第2のグループのパルス数であってよい。第1のグループのパルス数を制御することは、パルスの第1のグループに含む第1のパルス数を、単一露光パスが始まる前に決定することを含むことができ、第2のパルス数を制御することは、パルスの第2のグループに含む第2のパルス数を、単一露光パスの前に決定することを含むことができる。パルスの第1のグループ及びパルスの第2のグループは、単一露光パスにおいてマスクを通過する全てのパルスを含むことができる。第1のパルス数及び第2のパルス数を決定することは、(a)オペレータからの入力を受け取ること、及び(b)フォトリソグラフィシステムと関連付けられたあらかじめ規定された設定にアクセスすること、の1つ以上を含むことができる。パルスの第1のグループの特性は第1のグループの各パルスの強度を含むことができ、パルスの第2のグループの特性は第2のグループの各パルスの強度を含むことができる。 [0010] The pulse set includes a first group of optical pulses and a second group of optical pulses, each pulse of the first group of optical pulses having a first primary wavelength and a first group of optical pulses. Each pulse in the second group has a second primary wavelength, and the method further controls the amount of light in the first spatial image by controlling the characteristics of the first group of pulses, and the second of the pulses. Includes controlling the amount of light in the second spatial image by controlling the characteristics of the group of. The characteristic of the first group may be the number of pulses of the first group, and the characteristic of the second group may be the number of pulses of the second group. Controlling the number of pulses in the first group can include determining the number of first pulses included in the first group of pulses before the start of a single exposure pass, the number of second pulses. Controlling can include determining the number of second pulses included in the second group of pulses before a single exposure pass. The first group of pulses and the second group of pulses can include all pulses that pass through the mask in a single exposure pass. Determining the number of first and second pulses is to (a) receive input from the operator and (b) access the pre-defined settings associated with the photolithography system. It can include one or more. The characteristics of the first group of pulses can include the intensity of each pulse in the first group, and the characteristics of the second group of pulses can include the intensity of each pulse in the second group.

[0011] ウェーハ上の第1の平面及びウェーハ上の第2の平面は、伝搬方向に対して実質的に垂直な平面であってよい。 [0011] The first plane on the wafer and the second plane on the wafer may be planes that are substantially perpendicular to the propagation direction.

[0012] 一部の実装形態では、3次元半導体の第1のフィーチャが第1の平面に形成され、3次元半導体の第2のフィーチャが第2の平面に形成され、第1及び第2のフィーチャは、伝搬方向に実質的に平行に延在する側壁によって互いから離れている。 [0012] In some implementations, the first feature of the 3D semiconductor is formed in the first plane and the second feature of the 3D semiconductor is formed in the second plane, the first and second. The features are separated from each other by side walls that extend substantially parallel to the propagation direction.

[0013] 3次元半導体コンポーネントは3次元NANDフラッシュメモリコンポーネントであってよい。 The 3D semiconductor component may be a 3D NAND flash memory component.

[0014] 第1の平面は第1の焦点面に対応してよく、第2の平面は第2の焦点面に対応し、第1の平面と第2の平面の間の分離距離は、マスクを通過する光パルスの1つ以上の波長の差、又はパルスセットの個別のパルス間の波長の差に基づく。 [0014] The first plane may correspond to the first focal plane, the second plane corresponds to the second focal plane, and the separation distance between the first plane and the second plane is a mask. Based on the difference in wavelength of one or more of the light pulses passing through, or the difference in wavelength between the individual pulses of the pulse set.

[0015] 別の一般的な態様において、フォトリソグラフィシステムが、光源と、光源からのパルス光ビームと相互作用するように配置されたマスクと、ウェーハホルダと、を備えたリソグラフィスキャナ装置と、光源に結合された制御システムと、を備え、制御システムは、光源に単一露光パスの間にパルス光ビームをリソグラフィスキャナ装置に向けて放出させるように構成され、単一露光パスの間、少なくとも第1の空間像及び第2の空間像が、ウェーハホルダで支えられたウェーハ上に、マスクを伝搬方向に沿って通過する光パルスの一セットの光パルスに基づいて形成され、第1の空間像はウェーハ上の第1の平面にあり、第2の空間像はウェーハ上の第2の平面にあり、第1の平面及び第2の平面は、互いに空間個別的であり、伝搬方向に沿って分離距離だけ互いに離れており、3次元半導体コンポーネントが、第1の空間像の光とウェーハの第1の部分の材料との相互作用及び第2の空間像の光とウェーハの第2の部分の材料との相互作用に基づいて形成される。パルスセット内のパルスの少なくとも1つは第1の一次波長を有し、パルスセット内の他のパルスの少なくとも1つは、第1の一次波長と異なる第2の一次波長を有し、第1の空間像と第2の空間像の間の分離距離は、第1の一次波長と第2の一次波長の差に基づく。 [0015] In another general aspect, a photolithography scanner device comprising a light source, a mask and a wafer holder arranged to interact with a pulsed light beam from the light source, and a light source. The control system comprises a control system coupled to the light source to emit a pulsed light beam toward the lithography scanner device during a single exposure pass, at least during a single exposure pass. The first spatial image and the second spatial image are formed on the wafer supported by the wafer holder based on a set of optical pulses of optical pulses passing through the mask along the propagation direction, and the first spatial image is formed. Is on the first plane on the wafer, the second spatial image is on the second plane on the wafer, the first and second planes are spatially separate from each other and along the propagation direction. Separated from each other by a separation distance, the three-dimensional semiconductor components interact with the light of the first spatial image and the material of the first part of the wafer and the light of the second spatial image and the second portion of the wafer. It is formed based on the interaction with the material. At least one of the pulses in the pulse set has a first primary wavelength, and at least one of the other pulses in the pulse set has a second primary wavelength different from the first primary wavelength, the first. The separation distance between the spatial image and the second spatial image is based on the difference between the first primary wavelength and the second primary wavelength.

[0016] 実装形態は以下の特徴の1つ以上を備えることができる。制御システムは、コンピュータ可読記憶媒体と、コンピュータ可読記憶媒体と結合された1つ以上の電子プロセッサと、入出力インターフェイスと、を備え、フォトリソグラフィシステムに関連するレシピがコンピュータ可読記憶媒体に記憶される。レシピは分離距離を規定することができる。レシピは、ウェーハごと又はロットごとに分離距離を規定する。光源は、フッ化クリプトン(KrF)利得媒質又はフッ化アルゴン(ArF)利得媒質を含むことができる。 [0016] The implementation form can include one or more of the following features. The control system comprises a computer-readable storage medium, one or more electronic processors coupled to the computer-readable storage medium, an input / output interface, and recipes related to the photolithographic system are stored in the computer-readable storage medium. .. The recipe can specify the separation distance. The recipe specifies the separation distance for each wafer or lot. The light source can include a krypton fluoride (KrF) gain medium or an argon fluoride (ArF) gain medium.

[0017] 本明細書において上記で説明された技術のうちのいずれかの実装形態は、プロセス、装置、制御システム、非一時的な機械可読コンピュータ媒体上に格納された命令、及び/又は方法を含んでもよい。1つ以上の実装形態の詳細は、添付の図面及び以下の説明において明らかにされる。他の特徴は、説明及び図面から、且つ特許請求の範囲から明らかになろう。 [0017] Implementations of any of the techniques described above herein include processes, devices, control systems, instructions stored on non-temporary machine-readable computer media, and / or methods. It may be included. Details of one or more implementations will be revealed in the accompanying drawings and the following description. Other features will become apparent from the description and drawings, and from the claims.

[0018] フォトリソグラフィシステムの例示的な実装形態のブロック図である。[0018] It is a block diagram of an exemplary implementation of a photolithography system. [0019] 図1Aのフォトリソグラフィシステムのための光学系の例示的な実装形態のブロック図である。[0019] FIG. 2 is a block diagram of an exemplary implementation of an optical system for the photolithography system of FIG. 1A. [0020] 図1Aのフォトリソグラフィシステムにより露光された例示的なウェーハの断面図である。[0020] FIG. 6 is a cross-sectional view of an exemplary wafer exposed by the photolithography system of FIG. 1A. [0021] フォトリソグラフィシステムの別の例示的な実装形態のブロック図である。[0021] FIG. 6 is a block diagram of another exemplary implementation of a photolithography system. [0022] フォトリソグラフィシステムで使用し得るスペクトル特徴選択モジュールの例示的な実装形態のブロック図である。[0022] FIG. 3 is a block diagram of an exemplary implementation of a spectral feature selection module that can be used in a photolithography system. [0023] ライン狭隘化モジュールの例示的な実装形態のブロック図である。[0023] It is a block diagram of an exemplary implementation of a line narrowing module. [0024] 光源におけるパルス及び/又はパルスのバーストの生成に関連するデータのプロットである。[0024] A plot of data related to the generation of pulses and / or bursts of pulses at a light source. [0024] 光源におけるパルス及び/又はパルスのバーストの生成に関連するデータのプロットである。[0024] A plot of data related to the generation of pulses and / or bursts of pulses at a light source. [0025] フォトリソグラフィシステムの別の例示的な実装形態のブロック図である。[0025] FIG. 3 is a block diagram of another exemplary implementation of a photolithography system. [0026] 3次元半導体コンポーネントを形成するための例示的なプロセスのフローチャートである。[0026] A flowchart of an exemplary process for forming a three-dimensional semiconductor component. [0027] 単一の光パルスの例示的な光学スペクトルを示す。[0027] An exemplary optical spectrum of a single light pulse is shown. [0027] 単一の光パルスの例示的な光学スペクトルを示す。[0027] An exemplary optical spectrum of a single light pulse is shown. [0028] 単一露光パスの例示的な平均光学スペクトルを示す。[0028] An exemplary average optical spectrum of a single exposure pass is shown. [0029] 例示的なウェーハの垂直断面図を示す。[0029] An exemplary wafer vertical cross section is shown. [0029] 例示的なウェーハの水平断面図を示す。[0029] An exemplary wafer horizontal cross section is shown. [0030] 例示的な3次元半導体コンポーネントの垂直断面図を示す。[0030] A vertical cross-sectional view of an exemplary three-dimensional semiconductor component is shown. [0030] 例示的な3次元半導体コンポーネントの水平断面図を示す。[0030] A horizontal cross-sectional view of an exemplary three-dimensional semiconductor component is shown. [0031] 例示的な模擬データを示す。[0031] Illustrative simulated data is shown. [0031] 例示的な模擬データを示す。[0031] Illustrative simulated data is shown.

[0032] 本明細書では、2つ以上の空間像を単一リソグラフィパスでそれぞれ異なる平面に形成する技術及びこの空間像を使用して3次元半導体コンポーネントを形成する技術が考察される。 [0032] In the present specification, a technique of forming two or more spatial images on different planes by a single lithography pass and a technique of forming a three-dimensional semiconductor component using this spatial image are considered.

[0033] 図1Aを参照すると、フォトリソグラフィシステム100が、リソグラフィ露光装置169に光ビーム160を供給する光源105を備え、リソグラフィ露光装置169は、ウェーハホルダ又はステージ171により支えられたウェーハ170を処理する。光ビーム160は、時間的に互いに分離された光のパルスを含むパルス光ビームである。リソグラフィ露光装置169は、光ビーム160がウェーハ170に到達する前に通過する投影光学システム175と、メトロロジシステム172とを備える。メトロロジシステム172は、例えば、ウェーハ170の像及び/又はウェーハ170における光ビーム160を捕捉することができるカメラもしくは他のデバイス、又は、xy平面におけるウェーハ170での光ビーム160の強度などの光ビーム160の特性を記述するデータを収集することができる光ディテクタを備えることができる。リソグラフィ露光装置169は、液浸システム又はドライシステムとすることができる。フォトリソグラフィシステム100はまた、光源105及び/又はリソグラフィ露光装置169を制御する制御システム150を備えることができる。 [0033] Referring to FIG. 1A, the photolithography system 100 includes a light source 105 that supplies a light beam 160 to the lithography exposure apparatus 169, which processes the wafer 170 supported by a wafer holder or stage 171. To do. The light beam 160 is a pulsed light beam containing pulses of light that are temporally separated from each other. The lithography exposure apparatus 169 includes a projection optical system 175 through which the light beam 160 passes before reaching the wafer 170, and a metrology system 172. The metrology system 172 may include, for example, an image of the wafer 170 and / or light such as a camera or other device capable of capturing the light beam 160 on the wafer 170, or the intensity of the light beam 160 on the wafer 170 in the xy plane. An optical detector that can collect data describing the characteristics of the beam 160 can be provided. The lithographic exposure apparatus 169 can be an immersion system or a dry system. The photolithography system 100 can also include a control system 150 that controls a light source 105 and / or a lithography exposure apparatus 169.

[0034] 例えば、ウェーハ170上の放射感応性フォトレジスト材料の層を光ビーム160で露光することによって、マイクロ電子フィーチャがウェーハ170上に形成される。また図1Bを参照すると、投影光学システム175は、スリット176と、マスク174と、レンズ177を含む投影対物系とを備える。光ビーム160は、光学システム175に入射し、スリット176に衝突し、ビーム160の少なくとも一部がスリット176を通過する。図1A及び図1Bの例において、スリット176は矩形であり、細長い矩形状の光ビームへと光ビーム160を成形する。パターンがマスク174上に形成され、パターンは、成形された光ビームのどの部分が、マスク174によって透過されるか、及びどの部分がマスク174によってブロックされるかを決定する。パターンの設計は、ウェーハ170上に形成されることになる特定のマイクロ電子回路設計によって決定される。 [0034] For example, by exposing a layer of radiation sensitive photoresist material on a wafer 170 with a light beam 160, microelectron features are formed on the wafer 170. Further, referring to FIG. 1B, the projection optical system 175 includes a slit 176, a mask 174, and a projection objective system including a lens 177. The light beam 160 enters the optical system 175, collides with the slit 176, and at least a portion of the beam 160 passes through the slit 176. In the examples of FIGS. 1A and 1B, the slit 176 is rectangular and forms the light beam 160 into an elongated rectangular light beam. A pattern is formed on the mask 174, which determines which portion of the molded light beam is transmitted by the mask 174 and which portion is blocked by the mask 174. The design of the pattern is determined by the particular microelectronic circuit design that will be formed on the wafer 170.

[0035] 成形された光ビームはマスク174と相互作用する。マスク174により透過された成形された光ビームの一部分は、投影レンズ177を通過し(そして投影レンズ177によって合焦することができ)、ウェーハ170を露光する。マスク174により透過された成形された光ビームの一部分は、ウェーハ170のxy平面に空間像を形成する。空間像は、マスク174と相互作用した後にウェーハ170に到達する光により形成される強度パターンである。空間像はウェーハ170にあり、概ねxy平面に延在する。 [0035] The formed light beam interacts with the mask 174. A portion of the molded light beam transmitted by the mask 174 passes through the projection lens 177 (and can be focused by the projection lens 177) to expose the wafer 170. A portion of the molded light beam transmitted by the mask 174 forms a spatial image on the xy plane of the wafer 170. The spatial image is an intensity pattern formed by the light that reaches the wafer 170 after interacting with the mask 174. The spatial image is on the wafer 170 and extends approximately in the xy plane.

[0036] システム100は、単一露光パスの間に、それぞれがウェーハ170のz軸に沿った空間的に異なる位置にある複数の空間像を形成することができる。また、ウェーハ170のyz平面の断面図を示す図1Cを参照すると、投影光学システム175は、単一露光パスにおいてz軸に沿った異なる平面に2つの空間像173a、173bを形成する。以下でより詳しく考察されるように、空間像173a、173bのそれぞれは、異なる一次波長を有する光から形成される。 [0036] The system 100 can form a plurality of spatial images, each of which is spatially differently located along the z-axis of the wafer 170, during a single exposure pass. Further, referring to FIG. 1C showing a cross-sectional view of the yz plane of the wafer 170, the projection optical system 175 forms two spatial images 173a and 173b on different planes along the z-axis in a single exposure path. As will be discussed in more detail below, each of the spatial images 173a, 173b is formed from light having different primary wavelengths.

[0037] z軸に沿った空間像の位置は、(投影レンズ177及びマスク174を備えた)光学システム175の特性及び光ビーム160の波長に依存する。レンズ177の焦点位置は、レンズ177に入射する光の波長に依存する。したがって、光ビーム160の波長を変化させるあるいは制御することによって、空間像の位置を制御することができる。単一露光パスの間に異なる光の一次波長を有するパルスを供給することによって、それぞれz軸に沿って異なる位置にある複数(2つ以上)の空間像を、光学システム175(又は光学システム175の任意のコンポーネント)及びウェーハ170を互いに対してz軸に沿って移動させることなく、単一露光パスで形成することができる。 The position of the spatial image along the z-axis depends on the characteristics of the optical system 175 (with the projection lens 177 and the mask 174) and the wavelength of the light beam 160. The focal position of the lens 177 depends on the wavelength of the light incident on the lens 177. Therefore, the position of the spatial image can be controlled by changing or controlling the wavelength of the light beam 160. By supplying pulses with different primary wavelengths of light during a single exposure pass, multiple (two or more) spatial images, each at different positions along the z-axis, can be captured in optical system 175 (or optical system 175). (Any component of) and the wafer 170 can be formed in a single exposure pass without moving the wafer 170 with respect to each other along the z-axis.

[0038] 図1Aの例では、マスク174を通過した光は、投影レンズ177によって焦点面に合焦される。投影レンズ177の焦点面は、投影レンズ177とウェーハステージ171の間にあり、焦点面のz軸に沿った位置は、光学システム175の特性及び光ビーム160の波長に依存する。空間像173a、173bは、異なる波長を有する光から形成されるため、ウェーハ170の異なる位置にある。空間像173a、173bは、z軸に沿って互いに分離距離179だけ離れている。分離距離179は、空間像173aを形成する光の波長と空間像173bを形成する光の波長の差に依存する。 [0038] In the example of FIG. 1A, the light that has passed through the mask 174 is focused on the focal plane by the projection lens 177. The focal plane of the projection lens 177 lies between the projection lens 177 and the wafer stage 171 and the position of the focal plane along the z-axis depends on the characteristics of the optical system 175 and the wavelength of the light beam 160. Since the spatial images 173a and 173b are formed from light having different wavelengths, they are located at different positions on the wafer 170. The spatial images 173a and 173b are separated from each other by a separation distance of 179 along the z-axis. The separation distance 179 depends on the difference between the wavelength of the light forming the spatial image 173a and the wavelength of the light forming the spatial image 173b.

[0039] ウェーハステージ171及びマスク174(又は光学システム175の他の部品)は、一般に通常の性能補正及び動作のためのスキャン中にx、y、及びz方向に互いに対して移動し、例えばこの運動を利用して、基本レベリング、レンズ歪みの補正、ステージ位置決め誤差の補正を達成することができる。この相対運動を付随的な動作運動と呼ぶ。しかしながら、図1Aのシステムでは、ウェーハステージ171と光学システム175の相対運動は、分離距離179を形成することには利用されない。そうではなく、分離距離179は、露光パスの間にマスク174を通過するパルスの一次波長を制御できることによって形成される。したがって、分離距離179は、一部の従来のシステムと異なり、光学システム175及びウェーハ170をz軸に沿って互いに対して移動させるだけでは作られない。また、空間像173a及び173bは、同じ露光パスの間、共にウェーハ170に存在する。換言すれば、システム100は、空間像173aが第1の露光パスにおいて形成され、空間像173bが後続の第2の露光パスにおいて形成されることを要求しない。 [0039] The wafer stage 171 and mask 174 (or other component of the optical system 175) generally move relative to each other in the x, y, and z directions during scanning for normal performance correction and operation, eg, this. Motion can be used to achieve basic leveling, lens distortion correction, and stage positioning error correction. This relative motion is called ancillary motion. However, in the system of FIG. 1A, the relative motion of the wafer stage 171 and the optical system 175 is not utilized to form the separation distance 179. Instead, the separation distance 179 is formed by being able to control the primary wavelength of the pulse passing through the mask 174 during the exposure pass. Therefore, the separation distance 179, unlike some conventional systems, cannot be created simply by moving the optical system 175 and the wafer 170 relative to each other along the z-axis. Also, the spatial images 173a and 173b are both present on the wafer 170 during the same exposure pass. In other words, the system 100 does not require that the spatial image 173a be formed in the first exposure pass and the spatial image 173b is formed in the subsequent second exposure pass.

[0040] 第1の空間像173a内の光は、部分178aにおいてウェーハと相互作用し、第2の空間像173b内の光は、部分178bにおいてウェーハと相互作用する。これらの相互作用は、電子フィーチャ、又は、開口や孔などのその他の物理的特徴をウェーハ170上に形成することができる。空間像173a、173bはz軸に沿った異なる平面にあるため、空間像173a、173bを使用してウェーハ170上に3次元フィーチャを形成することができる。例えば、空間像173aを用いて周辺領域を形成することができ、空間像173bを用いて、z軸に沿って異なる位置にあるチャネル、トレンチ、又は窪みを形成することができる。したがって、本明細書で考察される技術を用いて、3次元NANDフラッシュメモリコンポーネントなどの3次元半導体コンポーネントを形成することができる。 [0040] The light in the first spatial image 173a interacts with the wafer in the portion 178a, and the light in the second spatial image 173b interacts with the wafer in the portion 178b. These interactions can form electronic features or other physical features such as openings and holes on the wafer 170. Since the spatial images 173a, 173b are on different planes along the z-axis, the spatial images 173a, 173b can be used to form three-dimensional features on the wafer 170. For example, the spatial image 173a can be used to form a peripheral region, and the spatial image 173b can be used to form channels, trenches, or depressions at different positions along the z-axis. Therefore, the techniques discussed herein can be used to form 3D semiconductor components such as 3D NAND flash memory components.

[0041] 単一露光パスで複数の空間像を形成することに関するさらなる詳細を考察する前に、光源105及びフォトリソグラフィシステム100の例示的な実装形態について図2Aから図2C、図3Aから図3C、及び図4を参照して考察する。 [0041] Prior to discussing further details regarding the formation of multiple spatial images in a single exposure pass, exemplary implementations of the light source 105 and the photolithography system 100 are shown in FIGS. 2A-2C and 3A-3C. , And with reference to FIG.

[0042] 図2Aを参照すると、フォトリソグラフィシステム200のブロック図が示されている。システム200は、システム100(図1A)の例示的な実装形態である。例えば、フォトリソグラフィシステム200では、光源205が光源105(図1A)として使用される。光源205は、パルス光ビーム260を生成し、パルス光ビーム260は、リソグラフィ露光装置169に供給される。光源205は、例えばパルス光ビーム260(レーザビームであってよい)を出力するエキシマ光源であってよい。パルス光ビーム260がリソグラフィ露光装置169に入ると、パルス光ビーム260は、投影光学システム175を通って導かれ、ウェーハ170上に投影される。このように、1つ以上のマイクロ電子フィーチャがウェーハ170上のフォトレジスト上にパターニングされ、次にウェーハ170は、後続のプロセスステップに先立って現像及び洗浄され、プロセスは繰り返す。フォトリソグラフィシステム200はまた、制御システム250を備え、制御システム250は、図2Aの例において、システム200の様々な動作を制御するために、リソグラフィ露光装置169と同様に光源205のコンポーネントに接続される。制御システム250は、図1Aの制御システム250の例示的な実装形態である。 [0042] With reference to FIG. 2A, a block diagram of the photolithography system 200 is shown. The system 200 is an exemplary implementation of the system 100 (FIG. 1A). For example, in the photolithography system 200, the light source 205 is used as the light source 105 (FIG. 1A). The light source 205 generates a pulsed light beam 260, and the pulsed light beam 260 is supplied to the lithography exposure apparatus 169. The light source 205 may be, for example, an excimer light source that outputs a pulsed light beam 260 (which may be a laser beam). When the pulsed light beam 260 enters the lithography exposure apparatus 169, the pulsed light beam 260 is guided through the projection optical system 175 and projected onto the wafer 170. In this way, one or more microelectron features are patterned on the photoresist on the wafer 170, the wafer 170 is then developed and washed prior to subsequent process steps, and the process is repeated. The photolithography system 200 also comprises a control system 250, which in the example of FIG. 2A is connected to a component of the light source 205 similar to the lithography exposure apparatus 169 to control various operations of the system 200. To. The control system 250 is an exemplary implementation of the control system 250 of FIG. 1A.

[0043] 図2Aに示されている例において、光源205は、電力増幅器(PA)230にシード光ビーム224を供給する主発振器(MO)212を備える2段レーザシステムである。MO212及びPA230は、光源205のサブシステム、又は光源205の一部であるシステムと見なされてよい。電力増幅器230は、主発振器212からシード光ビーム224を受け取り、シード光ビーム224を増幅してリソグラフィ露光装置169で使用する光ビーム260を生成する。例えば、主発振器212は、パルス当たり約1ミリジュール(mJ)のシードパルスエネルギーを有するパルスシード光ビームを放出することができ、これらのシードパルスは、電力増幅器230によって約10〜15mJに増幅することができる。 [0043] In the example shown in FIG. 2A, the light source 205 is a two-stage laser system including a main oscillator (MO) 212 that supplies a seed light beam 224 to the power amplifier (PA) 230. MO212 and PA230 may be considered as subsystems of light source 205, or systems that are part of light source 205. The power amplifier 230 receives the seed light beam 224 from the main oscillator 212 and amplifies the seed light beam 224 to generate a light beam 260 for use in the lithography exposure apparatus 169. For example, the main oscillator 212 can emit pulsed seed light beams with seed pulse energy of about 1 millijoule (mJ) per pulse, and these seed pulses are amplified to about 10-15 mJ by the power amplifier 230. be able to.

[0044] 主発振器212は、2つの細長い電極217を有する放電チャンバ240と、ガス混合物である利得媒質219と、電極217間でガスを循環させるためのファンとを備える。共振器が、放電チャンバ240の一方の側のライン狭隘化モジュール216と、放電チャンバ240の第2の側の出力カプラ218との間に形成される。ライン狭隘化モジュール216は、放電チャンバ240のスペクトル出力を細かく調整する格子などの回折光学部品を備えることができる。図2B及び図2Cは、ライン狭隘化モジュール216についてのさらなる詳細を提供する。 [0044] The main oscillator 212 includes a discharge chamber 240 having two elongated electrodes 217, a gain medium 219 which is a gas mixture, and a fan for circulating gas between the electrodes 217. A resonator is formed between the line narrowing module 216 on one side of the discharge chamber 240 and the output coupler 218 on the second side of the discharge chamber 240. The line narrowing module 216 can include diffractive optics such as a grid that finely adjusts the spectral output of the discharge chamber 240. 2B and 2C provide further details about the line narrowing module 216.

[0045] 図2Bは、ライン狭隘化モジュール216の1つ以上の例を含むスペクトル特徴選択モジュール258の例示的な実装形態のブロック図である。スペクトル特徴選択モジュール258は、光源205を伝搬する光に結合する。(例えば図2Bに示す)一部の実装形態では、スペクトル特徴選択モジュール258は、主発振器212のチャンバ214から光を受け取って、主発振器212内での波長や帯域幅などのスペクトル特徴の微調整を可能にする。 [0045] FIG. 2B is a block diagram of an exemplary implementation of the spectral feature selection module 258, including one or more examples of the line narrowing module 216. The spectral feature selection module 258 couples to the light propagating through the light source 205. In some implementations (eg, shown in FIG. 2B), the spectral feature selection module 258 receives light from chamber 214 of the main oscillator 212 and fine-tunes spectral features such as wavelength and bandwidth within the main oscillator 212. To enable.

[0046] スペクトル特徴選択モジュール258は、ファームウェア及びソフトウェアの任意の組み合わせの形の電子部品を含むスペクトル特徴制御モジュール254などの制御モジュールを含むことができる。制御モジュール254は、スペクトル特徴作動システム255_1〜255_nなどの1つ以上の作動システムに接続される。作動システム255_1〜255_nのそれぞれは、光学系257のそれぞれの光学的特徴256_1〜256_nに接続される1つ以上のアクチュエータを備えることができる。光学的特徴256_1〜256_nは、生成された光ビーム260の特定の特性を調整することによって、光ビーム260のスペクトル特徴を調整するように構成される。制御モジュール254は、制御システム250から、作動システム255_1〜255_nの1つ以上を動作させる又は制御するための特定コマンドを含む制御信号を受け取る。作動システム255_1〜255_nは、共に、すなわち協力して働くように選択及び設計することができる、又は作動システム255_1〜255_nは、個別に働くように構成することができる。また、作動システム255_1〜255_nは、それぞれ特定クラスの妨害に対処するように最適化することができる。 [0046] The spectral feature selection module 258 can include control modules such as the spectral feature control module 254, which includes electronic components in the form of any combination of firmware and software. The control module 254 is connected to one or more operating systems, such as the spectral feature operating system 255_1 to 255_n. Each of the actuation systems 255_1 to 255_n may include one or more actuators connected to the respective optical features 256_1 to 256_n of the optical system 257. The optical features 256_1 to 256_n are configured to adjust the spectral features of the light beam 260 by adjusting the specific properties of the generated light beam 260. The control module 254 receives from the control system 250 a control signal containing specific commands for operating or controlling one or more of the operating systems 255_1 to 255_n. The operating systems 255_1 to 255_n can be selected and designed to work together, i.e. cooperate, or the operating systems 255_1 to 255_n can be configured to work individually. Also, the actuation systems 255_1 to 255_n can each be optimized to deal with a particular class of interference.

[0047] 各光学的特徴256_1〜256_nは、光源105により生成される光ビーム260に光学的に結合される。光学系257は、図2Cに示されるようなライン狭隘化モジュール216Cとして実装することができる。ライン狭隘化モジュールは、光学的特徴256_1〜256_nとして反射格子291などの分散光学素子と、プリズム292、293、294、295などの屈折光学素子とを備える。プリズム292、293、294、295の1つ以上は回転可能である可能性がある。このライン狭隘化モジュールの例は、2009年10月23日出願のSYSTEM METHOD AN APPARATUS FOR SELECTING AND CONTROLLING LIGHT SOURCE BANDWIDTHという名称の米国出願第12/605,306号(第‘306号出願)に見ることができる。第‘306号出願には、ビームエクスパンダ(1つ以上のプリズム292、293、294、295を含む)及び格子291などの分散素子を備えたライン狭隘化モジュールが記載されている。図2Cには、格子291及びプリズム292、293、294、295の1つ以上などの作動可能な光学的特徴の各作動システムは示されていない。 [0047] Each optical feature 256_1 to 256_n is optically coupled to a light beam 260 generated by the light source 105. The optical system 257 can be implemented as a line narrowing module 216C as shown in FIG. 2C. The line narrowing module includes a dispersion optical element such as a reflection grid 291 and a refraction optical element such as a prism 292, 293, 294, 295 as optical features 256_1 to 256_n. One or more of the prisms 292, 293, 294, 295 may be rotatable. An example of this line narrowing module can be found in US Application No. 12 / 605, 306 (Application '306) entitled SYSTEM METHOD AN APPARATUS FOR SELECTING AND CONTROLLING LIGHT SOURCE BANDWIDTH filed October 23, 2009. Can be done. Application No. 306 describes a line narrowing module with dispersion elements such as a beam expander (including one or more prisms 292, 293, 294, 295) and a grid 291. FIG. 2C does not show each actuating system of operable optical features such as one or more of grids 291 and prisms 292, 293, 294, 295.

[0048] 作動システム255_1〜255_nのアクチュエータのそれぞれは、光学系257の各光学的特徴256_1〜256_nを移動させる又は制御するための機械デバイスである。アクチュエータは、モジュール254からエネルギーを受け取り、そのエネルギーを光学系257の光学的特徴256_1〜256_nに与えられる何らかの種類の動きに変換する。例えば、第‘306号出願には、(格子の領域に力を印加するための)フォースデバイス、及びビームエクスパンダのプリズムの1つ以上を回転させるための回転ステージなどの作動システムが記載されている。作動システム255_1〜255_nは、例えば、ステッパモータなどのモータ、バルブ、圧力制御デバイス、ピエゾデバイス、リニアモータ、油圧アクチュエータ、及び/又はボイスコイルなどを備えることができる。 [0048] Each of the actuators of the actuation system 255_1 to 255_n is a mechanical device for moving or controlling each optical feature 256_1 to 256_n of the optical system 257. The actuator receives energy from the module 254 and converts that energy into some sort of motion conferred on the optical features 256_1 to 256_n of the optical system 257. For example, application '306 describes an operating system such as a force device (for applying force to a region of a grid) and a rotating stage for rotating one or more of the prisms of a beam expander. There is. The operating system 255_1 to 255_n may include, for example, a motor such as a stepper motor, a valve, a pressure control device, a piezo device, a linear motor, a hydraulic actuator, and / or a voice coil.

[0049] 先に見た図2Aでは、主発振器212はまた、出力カプラ218からの出力光ビームを受ける線中心分析モジュール220と、必要に応じて出力光ビームの大きさ又は形を変更してシード光ビーム224を形成するビーム結合光学システム222とを備える。線中心分析モジュール220は、シード光ビーム224の波長を測定又は監視するのに用い得る測定システムである。線中心分析モジュール220は、光源205内の他の位置に配置することができる、又は光源205の出力に配置することができる。 [0049] In FIG. 2A seen above, the main oscillator 212 also modifies the line center analysis module 220 to receive the output light beam from the output coupler 218 and, if necessary, the size or shape of the output light beam. It comprises a beam coupled optical system 222 that forms a seed light beam 224. The line center analysis module 220 is a measurement system that can be used to measure or monitor the wavelength of the seed light beam 224. The line center analysis module 220 can be located at other locations within the light source 205 or at the output of the light source 205.

[0050] 放電チャンバ240内で用いられる混合ガスは、この適用例に必要な波長及び帯域幅で光ビームを生成するのに適した任意のガスであってよい。エキシマ源の場合、混合ガスは、緩衝ガスであるヘリウム及び/又はネオン以外の、例えばアルゴンやクリプトンなどの貴ガス(希ガス)、例えばフッ素又は塩素及び微量のキセノンなどのハロゲンを含むことができる。混合ガスの具体的な例は、約193nmの波長で光を放出するフッ化アルゴン(ArF)、約248nmの波長で光を放出するフッ化クリプトン(KrF)、又は約351nmの波長で光を放出する塩化キセノン(XeCl)を含む。エキシマ利得媒質(混合ガス)は、細長い電極217に電圧を印加することによって高圧放電時の短い(例えばナノ秒の)電流パルスで励起される。 The mixed gas used in the discharge chamber 240 may be any gas suitable for producing a light beam at the wavelength and bandwidth required for this application. In the case of an excimer source, the mixed gas can include a noble gas (rare gas) such as argon or krypton other than the buffer gas helium and / or neon, such as fluorine or chlorine and a trace amount of halogen such as xenon. .. Specific examples of mixed gases are argon fluoride (ArF), which emits light at a wavelength of about 193 nm, krypton difluoride (KrF), which emits light at a wavelength of about 248 nm, or light emission at a wavelength of about 351 nm. Includes xenon chloride (XeCl). The excimer gain medium (mixed gas) is excited by a short (eg, nanosecond) current pulse during high-voltage discharge by applying a voltage to the elongated electrode 217.

[0051] 電力増幅器230は、主発振器212からシード光ビーム224を受け、光ビームを放電チャンバ240を通してビームターニング光学素子248に誘導するビーム結合光学システム232を備え、ビーム結合光学システム232は、シード光ビーム224が放電チャンバ240内に送り返されるようにその方向を変更する又は変化させる。放電チャンバ240は、一対の細長い電極241と、混合ガスである利得媒質219と、電極241間で混合ガスを循環させるためのファンとを備える。 The power amplifier 230 comprises a beam coupled optical system 232 that receives a seed light beam 224 from the main oscillator 212 and guides the light beam through the discharge chamber 240 to the beam turning optical element 248, wherein the beam coupled optical system 232 is seeded. The light beam 224 is redirected or altered to be sent back into the discharge chamber 240. The discharge chamber 240 includes a pair of elongated electrodes 241 and a gain medium 219 which is a mixed gas, and a fan for circulating the mixed gas between the electrodes 241.

[0052] 出力光ビーム260は、ビーム260の種々のパラメータ(帯域幅や波長など)を測定可能な帯域幅分析モジュール262を通って誘導される。出力光ビーム260はまた、ビーム生成システム263を通して誘導することができる。ビーム生成システム263は、例えば、出力光ビーム260の各パルスが、例えば光学遅延ユニットにおいて時間的に引き伸ばされて、リソグラフィ露光装置169に衝突する光ビームの性能特性を調整するパルスストレッチャを備えることができる。ビーム生成システム263はまた、ビーム260に作用することができる他のコンポーネント、例えば、反射及び/又は屈折光学素子(例えばレンズ及びミラーなど)、フィルタ、及び光学的開口(自動シャッタを含む)などを備えることができる。 [0052] The output light beam 260 is guided through a bandwidth analysis module 262 capable of measuring various parameters of the beam 260 (such as bandwidth and wavelength). The output light beam 260 can also be guided through the beam generation system 263. The beam generation system 263 may include, for example, a pulse stretcher in which each pulse of the output light beam 260 is stretched in time, for example in an optical delay unit, to adjust the performance characteristics of the light beam that collides with the lithography exposure apparatus 169. it can. The beam generation system 263 also includes other components that can act on the beam 260, such as reflective and / or refracting optics (eg lenses and mirrors), filters, and optical apertures (including automatic shutters). Can be prepared.

[0053] フォトリソグラフィシステム200はまた、制御システム250を備える。図2Aに示された実装形態では、制御システム250は、光源205の種々のコンポーネントに接続される。例えば、制御システム250は、光源205が光のパルス又は1つ以上の光のパルスを含む光パルスのバーストを放出するとき、光源205に1つ以上の信号を送信することによって制御を行うことができる。制御システム250はまた、リソグラフィ露光装置169に接続される。したがって、制御システム250はまた、リソグラフィ露光装置169の様々な態様を制御することができる。例えば、制御システム250は、ウェーハ170の露光を制御することができ、したがって、ウェーハ170上に電子フィーチャがどのようにプリントされるかを制御するのに使用することができる。一部の実装形態では、制御システム250は、スリット176のxy平面における動き(図1B)を制御することによって、ウェーハ170のスキャンを制御することができる。また、制御システム250は、メトロロジシステム172及び/又は光学システム175とデータをやりとりすることができる。 [0053] The photolithography system 200 also includes a control system 250. In the implementation shown in FIG. 2A, the control system 250 is connected to various components of the light source 205. For example, the control system 250 may control by transmitting one or more signals to the light source 205 when the light source 205 emits a pulse of light or a burst of light pulses containing one or more light pulses. it can. The control system 250 is also connected to the lithography exposure apparatus 169. Therefore, the control system 250 can also control various aspects of the lithography exposure apparatus 169. For example, the control system 250 can control the exposure of the wafer 170 and can therefore be used to control how the electronic features are printed on the wafer 170. In some implementations, the control system 250 can control the scanning of the wafer 170 by controlling the movement of the slit 176 in the xy plane (FIG. 1B). In addition, the control system 250 can exchange data with the metrology system 172 and / or the optical system 175.

[0054] リソグラフィ露光装置169はまた、例えば、温度制御デバイス(空調デバイス及び/又は加熱デバイスなど)、及び/又は様々な電気コンポーネント用の電源を備えることができる。制御システム250はまた、これらのコンポーネントを制御することができる。一部の実装形態では、制御システム250は、2つ以上の副制御システムを備えるように実装され、少なくとも1つの副制御システム(リソグラフィコントローラ)は、リソグラフィ露光装置169の態様を制御することに特化している。これらの実装形態では、制御システム250は、リソグラフィコントローラを使用する代わりに、又は使用することに加えて、リソグラフィ露光装置169の態様を制御するのに使用することができる。 [0054] The lithographic exposure apparatus 169 can also include, for example, a temperature control device (such as an air conditioning device and / or a heating device) and / or a power source for various electrical components. The control system 250 can also control these components. In some implementations, the control system 250 is implemented to include two or more sub-control systems, wherein at least one sub-control system (lithographic controller) controls aspects of the lithography exposure apparatus 169. It has become. In these implementations, the control system 250 can be used to control aspects of the lithography exposure apparatus 169 instead of or in addition to using the lithography controller.

[0055] 制御システム250は、電子プロセッサ251と、電子ストレージ252と、I/Oインターフェイス253とを備える。電子プロセッサ251は、汎用又は専用マイクロプロセッサなどの、コンピュータプログラムの実行に適した1つ以上のプロセッサ、及び何れかの種類のデジタルコンピュータの何れか1つ以上のプロセッサを含む。一般に、電子プロセッサは、読み出し専用メモリ、ランダムアクセスメモリ、又はその両方から命令及びデータを受け取る。電子プロセッサ251は、何れのタイプの電子プロセッサでもよい。 [0055] The control system 250 includes an electronic processor 251, an electronic storage 252, and an I / O interface 253. The electronic processor 251 includes one or more processors suitable for executing a computer program, such as a general-purpose or dedicated microprocessor, and any one or more processors of any kind of digital computer. In general, electronic processors receive instructions and data from read-only memory, random access memory, or both. The electronic processor 251 may be any type of electronic processor.

[0056] 電子ストレージ252は、RAMなどの揮発性メモリ、又は不揮発性メモリであってよい。一部の実装形態では、電子ストレージ252は、不揮発性及び揮発性の部分又はコンポーネントを備える。電子ストレージ252は、制御システム250、制御システム250のコンポーネント、及び/又は制御システム250により制御されるシステムの動作で使用されるデータ及び情報を記憶することができる。情報は、例えばルックアップテーブル又はデータベースに記憶することができる。例えば、電子ストレージ252は、異なる動作条件及び性能シナリオの下で、ビーム260の様々な特性の値を示すデータを記憶することができる。 [0056] The electronic storage 252 may be a volatile memory such as RAM or a non-volatile memory. In some implementations, the electronic storage 252 comprises non-volatile and volatile parts or components. The electronic storage 252 can store data and information used in the operation of the control system 250, the components of the control system 250, and / or the system controlled by the control system 250. The information can be stored, for example, in a look-up table or database. For example, the electronic storage 252 can store data indicating values of various characteristics of the beam 260 under different operating conditions and performance scenarios.

[0057] また、電子ストレージ252は、使用中に光ビーム260のパラメータを決定する様々なレシピ又は処理プログラム259を記憶することができる。例えば、電子ストレージ252は、特定の露光パスについての光ビーム260中の各パルスの波長を示すレシピを記憶することができる。レシピは、異なる露光パスについて異なる波長を示すことができる。以下で考察される波長制御技術は、パルスごとの基準で適用することができる。換言すれば、波長成分は、露光パスにおいて個々のパルスごとに制御して、z軸に沿った所望の位置への空間像の形成を促進することができる。 [0057] The electronic storage 252 can also store various recipes or processing programs 259 that determine the parameters of the light beam 260 during use. For example, the electronic storage 252 can store a recipe indicating the wavelength of each pulse in the light beam 260 for a particular exposure path. The recipe can show different wavelengths for different exposure passes. The wavelength control techniques discussed below can be applied on a pulse-by-pulse basis. In other words, the wavelength component can be controlled for each individual pulse in the exposure path to facilitate the formation of a spatial image at a desired position along the z-axis.

[0058] 電子ストレージ252はまた、実行されると、プロセッサ251を制御システム250、光学システム205、及び/又はリソグラフィ露光装置169内のコンポーネントと通信させる命令、恐らくはコンピュータプログラムを記憶することができる。 The electronic storage 252 can also store instructions, perhaps computer programs, that, when executed, cause the processor 251 to communicate with the control system 250, the optical system 205, and / or the components in the lithography exposure apparatus 169.

[0059] I/Oインターフェイス253は、制御システム250がオペレータ、光学システム205、リソグラフィ露光装置169、光学システム205及び/又はリソグラフィ露光装置169内の任意のコンポーネント又はシステム、並びに/又は別の電子デバイスで動作する自動化プロセスとの間でデータ及び信号の受信及び/又は提供を行うことを可能にする任意の種類の電子インターフェイスである。例えば、I/Oインターフェイス253は、画像表示装置、キーボード、及び通信インターフェイスのうちの1つ以上を含むことができる。 [0059] The I / O interface 253 allows the control system 250 to include an operator, an optical system 205, a lithography exposure device 169, an optical system 205 and / or any component or system within the lithography exposure device 169, and / or another electronic device. An electronic interface of any kind that allows data and signals to be received and / or provided to and from an automated process operating in. For example, the I / O interface 253 can include one or more of an image display device, a keyboard, and a communication interface.

[0060] 光ビーム260(及び光ビーム160)は、パルス光ビームであり、時間的に互いに分離された1つ以上のパルスのバーストを含むことができる。各バーストは1つ以上の光のパルスを含むことができる。一部の実装形態では、バーストは数百個のパルス、例えば100〜400個のパルスを含む。図3Aから図3Cは、光源205におけるパルス及びバーストの生成の概観を提供する。図3Aは、時間の関数としてウェーハ露光信号300の振幅を示し、図3Bは、時間の関数としてゲート信号315の振幅を示し、図3Cは、時間の関数としてトリガ信号の振幅を示す。 [0060] The light beam 260 (and the light beam 160) is a pulsed light beam and can include bursts of one or more pulses separated from each other in time. Each burst can contain one or more pulses of light. In some implementations, the burst contains hundreds of pulses, eg 100-400 pulses. 3A-3C provide an overview of pulse and burst generation at light source 205. FIG. 3A shows the amplitude of the wafer exposure signal 300 as a function of time, FIG. 3B shows the amplitude of the gate signal 315 as a function of time, and FIG. 3C shows the amplitude of the trigger signal as a function of time.

[0061] 制御システム250は、光ビーム260を生成するように光源205を制御するために、ウェーハ露光信号300を光源205に送信するように構成することができる。図3Aに示されている例において、ウェーハ露光信号300は、光源205が光パルスのバーストを生成する期間である期間307用の高値305(例えば1)を有する。別の状況で、ウェーハ170が露光されていない場合に、ウェーハ露光信号300は低値310(例えば0)を有する。 [0061] The control system 250 can be configured to transmit a wafer exposure signal 300 to the light source 205 in order to control the light source 205 to generate the light beam 260. In the example shown in FIG. 3A, the wafer exposure signal 300 has a high value of 305 (eg, 1) for period 307, which is the period during which light source 205 produces bursts of optical pulses. In another situation, the wafer exposure signal 300 has a low value of 310 (eg 0) when the wafer 170 is not exposed.

[0062] 図3Bを参照すると、光ビーム260は、パルス光ビームであり、光ビーム260は、パルスバーストを含む。制御システム250はまた、ゲート信号315を光源205に送信することによって、パルスバーストの持続期間及び周波数を制御する。ゲート信号315は、パルスバーストの間に高値320(例えば1)を、そして連続バースト間の時間中に低値325(例えば0)を有する。示されている例において、ゲート信号315が高値を有する期間はまた、バースト316の持続期間である。バーストは、バースト間の時間間隔によって時間的に分離される。バースト間の時間間隔中に、リソグラフィ露光装置169は、露光のためにウェーハ170上に次のダイを配置することができる。 [0062] With reference to FIG. 3B, the light beam 260 is a pulsed light beam and the light beam 260 includes a pulse burst. The control system 250 also controls the duration and frequency of the pulse burst by transmitting a gate signal 315 to the light source 205. The gate signal 315 has a high value of 320 (eg, 1) during pulse bursts and a low value of 325 (eg, 0) during the time between continuous bursts. In the example shown, the period during which the gate signal 315 has a high value is also the duration of burst 316. Bursts are separated in time by the time interval between bursts. During the time interval between bursts, the lithography exposure apparatus 169 can place the next die on the wafer 170 for exposure.

[0063] 図3Cを参照すると、制御システム250はまた、トリガ信号330を用いて、各バースト内のパルスの繰り返し率を制御する。トリガ信号330は、トリガ340を含み、その1つは、光源205に光パルスを生成させるために、光源205に供給される。制御システム250は、パルスが生成されることになるたびに、光源205にトリガ340を送信することができる。したがって、光源205により生成されたパルスの繰り返し率(2つの連続パルス間の時間)は、トリガ信号330によって設定することができる。 [0063] With reference to FIG. 3C, the control system 250 also uses the trigger signal 330 to control the repetition rate of the pulses within each burst. The trigger signal 330 includes a trigger 340, one of which is supplied to the light source 205 to cause the light source 205 to generate an optical pulse. The control system 250 can send a trigger 340 to the light source 205 each time a pulse is to be generated. Therefore, the repetition rate (time between two continuous pulses) of the pulse generated by the light source 205 can be set by the trigger signal 330.

[0064] 上述したように、利得媒質219が電極217に電圧を印加することによって励起される場合に、利得媒質219は光を放出する。電圧がパルスで電極217に印加される場合に、媒質219から放出される光もまたパルス化される。したがって、パルス光ビーム260の繰り返し率は、電圧が電極217に印加される割合によって決定され、電圧が印加されるたびに光パルスが生成される。光パルスは、利得媒質219を通って伝搬し、出力カプラ218を通ってチャンバ214を出る。したがって、パルス列が、電圧が電極217へ周期的に繰り返し印加されることによって生成される。トリガ信号330は、例えば、電極217への電圧の印加及びパルスの繰り返し率を制御するのに使用することができ、パルスの繰り返し率は、ほとんどの適用例で約500〜6,000Hzの間である可能性がある。一部の実装形態では、繰り返し率は、6,000Hzより大きくてよく、例えば12,000Hz以上であってよい。 [0064] As described above, the gain medium 219 emits light when the gain medium 219 is excited by applying a voltage to the electrodes 217. When the voltage is applied to the electrode 217 in pulses, the light emitted from the medium 219 is also pulsed. Therefore, the repetition rate of the pulsed light beam 260 is determined by the rate at which the voltage is applied to the electrode 217, and each time a voltage is applied, a light pulse is generated. The optical pulse propagates through the gain medium 219 and exits the chamber 214 through the output coupler 218. Therefore, a pulse train is generated by periodically and repeatedly applying a voltage to the electrode 217. The trigger signal 330 can be used, for example, to control the application of voltage to the electrode 217 and the pulse repeat rate, with the pulse repeat rate between about 500 and 6,000 Hz in most applications. There is a possibility. In some implementations, the repeat rate may be greater than 6,000 Hz, for example 12,000 Hz or higher.

[0065] 制御システム250からの信号はまた、主発振器212及び電力増幅器230のそれぞれのパルスエネルギー、ひいては光ビーム260のエネルギーを制御するために、主発振器212及び電力増幅器230内の電極217、241をそれぞれ制御するのに使用することができる。電極217に供給される信号と電極241に供給される信号との間には遅延が存在する可能性がある。遅延量は、パルス光ビーム260におけるコヒーレンス量などのビーム260の特性に影響を及ぼす可能性がある。 The signal from the control system 250 also controls the pulse energy of the main oscillator 212 and the power amplifier 230, and thus the energy of the light beam 260, so that the electrodes 217, 241 in the main oscillator 212 and the power amplifier 230 are also controlled. Can be used to control each. There may be a delay between the signal fed to the electrode 217 and the signal fed to the electrode 241. The amount of delay can affect the characteristics of the beam 260, such as the amount of coherence in the pulsed light beam 260.

[0066] パルス光ビーム260は、数十ワットの範囲、例えば約50W〜約130Wにおける平均出力電力を有することができる。出力部における光ビーム260の放射照度(すなわち、単位面積当たりの平均電力)は、60W/cm〜80W/cmに及ぶ可能性がある。 The pulsed light beam 260 can have an average output power in the range of tens of watts, eg, about 50W to about 130W. Irradiance of the light beam 260 at the output (i.e., the average power per unit area) is likely to extend to 60W / cm 2 ~80W / cm 2 .

[0067] また、図4を参照すると、ウェーハ170は光ビーム260を照射される。リソグラフィ露光装置169は光学システム175(図1A及び図1B)を備える。図4の例では、光学システム175(図示せず)は、対物構成432を備えたイルミネータシステム429を備える。対物構成432は、投影レンズ177(図1B)を備え、マスク174からウェーハ170上のフォトレジストへの像転写を可能にする。イルミネータシステム429は、マスク174に当たる光ビーム260の角度範囲を調整する。イルミネータシステム429はまた、マスク174にわたってxy平面における光ビーム260の強度分布を均等化する(均一にする)ことができる。 Further, referring to FIG. 4, the wafer 170 is irradiated with the light beam 260. The lithography exposure apparatus 169 includes an optical system 175 (FIGS. 1A and 1B). In the example of FIG. 4, the optical system 175 (not shown) includes an illuminator system 429 with an objective configuration 432. The objective configuration 432 includes a projection lens 177 (FIG. 1B) and enables image transfer from the mask 174 to the photoresist on the wafer 170. The illuminator system 429 adjusts the angular range of the light beam 260 that hits the mask 174. The illuminator system 429 can also equalize (uniformize) the intensity distribution of the light beam 260 in the xy plane across the mask 174.

[0068] 一部の実装形態では、液浸媒質を供給してウェーハ170を覆うことがある。液浸媒質は、液浸リソグラフィ用の液体(水など)であってよい。リソグラフィが乾式である他の実装形態では、液浸媒質は、乾燥窒素、乾燥空気、又は清浄空気などのガスであってよい。他の実装形態では、ウェーハ170は、圧力制御環境(真空又は部分真空など)の内部で露光することができる。 [0068] In some implementations, an immersion medium may be supplied to cover the wafer 170. The immersion medium may be a liquid for immersion lithography (such as water). In other embodiments where the lithography is dry, the immersion medium may be a gas such as dry nitrogen, dry air, or clean air. In other implementations, the wafer 170 can be exposed inside a pressure controlled environment (such as vacuum or partial vacuum).

[0069] 露光パスの間、光ビーム260の複数のN個のパルスはウェーハ170の同じエリアを照明する。Nは1より大きい任意の整数である。同じエリアを照明する光ビーム110のパルス数Nは、露光ウィンドウ又は露光パス400と呼ばれることがある。ウィンドウ400のサイズはスリット176によって制御することができる。例えば、スリット176は、複数のブレードを備えることができ、複数のブレードは、一方の形状においてアパーチャを形成し、他方の形状においてアパーチャを閉じるように移動可能である。特定のサイズのアパーチャを形成するようにスリット176のブレードを配置することによって、ウィンドウ400のサイズを制御することもできる。 [0069] During the exposure pass, the plurality of N pulses of the light beam 260 illuminate the same area of the wafer 170. N is any integer greater than 1. The pulse number N of the light beam 110 that illuminates the same area is sometimes referred to as the exposure window or exposure path 400. The size of the window 400 can be controlled by the slit 176. For example, the slit 176 can include a plurality of blades, which are movable to form an aperture in one shape and close the aperture in the other shape. The size of the window 400 can also be controlled by arranging the blades of the slit 176 so as to form an aperture of a particular size.

[0070] N個のパルスはまた、露光パスの照明量を決定する。照明量は、露光パスの間にウェーハに供給される光エネルギーの量である。したがって、各パルスの光エネルギーなどのN個のパルスの特性が照明量を決定する。また、以下でより詳細に考察されるように、N個のパルスはまた、各空間像173a、173bの光の量を決定するのに使用することができる。具体的には、レシピが、N個のパルスのうち、ある一定数のパルスが空間像173aを形成する第1の一次波長を有し、ある一定数のパルスが空間像173bを形成する第2の一次波長を有することを規定することができる。 [0070] N pulses also determine the amount of illumination in the exposure path. The amount of illumination is the amount of light energy delivered to the wafer during the exposure pass. Therefore, the characteristics of N pulses, such as the light energy of each pulse, determine the amount of illumination. Also, as discussed in more detail below, the N pulses can also be used to determine the amount of light in each spatial image 173a, 173b. Specifically, in the recipe, of the N pulses, a certain number of pulses have a first primary wavelength that forms the spatial image 173a, and a certain number of pulses form the spatial image 173b. It can be specified that it has a primary wavelength.

[0071] また、スリット176及び/又はマスク174は、ウェーハ170の一部のみが所定の時間に又は特定の露光スキャン(もしくは露光パス)の間に露光されるようにxy平面をスキャン方向に移動することができる。ウェーハ170上の光ビーム160により露光されるエリアのサイズは、ブレード間の非スキャン方向の距離によって、また、スキャンのスキャン方向の長さ(距離)によって決定される。一部の実装形態では、Nの値は数十であり、例えば10〜100パルスである。他の実装形態では、Nの値は100パルスより大きく、例えば100〜500パルスである。ウェーハ170の露光フィールド479は、リソグラフィ露光装置169内で露光スリット又はウィンドウの1回のスキャンで露光されるウェーハ170の物理領域である。 Further, the slit 176 and / or the mask 174 moves in the scan direction in the xy plane so that only a part of the wafer 170 is exposed at a predetermined time or during a specific exposure scan (or exposure pass). can do. The size of the area exposed by the light beam 160 on the wafer 170 is determined by the distance between the blades in the non-scan direction and by the length (distance) of the scan in the scan direction. In some implementations, the value of N is tens, for example 10 to 100 pulses. In other embodiments, the value of N is greater than 100 pulses, for example 100-500 pulses. The exposure field 479 of the wafer 170 is the physical area of the wafer 170 that is exposed in a single scan of the exposure slit or window within the lithography exposure apparatus 169.

[0072] ウェーハステージ171、マスク174、及び対物構成432は、関連する作動システムに取り付けられてスキャン構成を形成する。スキャン構成では、マスク174、対物構成432、及びウェーハ170(ステージ171を介する)の1つ以上は、xy平面を互いに対して移動することができる。ただし、ウェーハステージ171、マスク174、及び対物構成432間の付随的な相対動作運動を別として、これらの要素は、露光パス又は露光パスの間にz軸に沿って互いに対して移動しない。 [0072] Wafer stages 171 and masks 174, and objective configuration 432 are attached to the associated operating system to form a scan configuration. In the scan configuration, one or more of the mask 174, the objective configuration 432, and the wafer 170 (via stage 171) can move relative to each other in the xy plane. However, apart from the incidental relative motion between the wafer stage 171 and the mask 174, and the objective configuration 432, these elements do not move relative to each other along the z-axis during the exposure or exposure pass.

[0073] 図5を参照すると、プロセス500のフローチャートが示されている。プロセス500は、3次元半導体コンポーネント又はこのようなコンポーネントの一部分を形成するためのプロセスの例である。プロセス500は、フォトリソグラフィシステム100又は200を使用して実行することができる。プロセス500は、図2Aに示したシステム200に関して考察される。プロセス500はまた、図6Aから図10Bに関して考察される。 [0073] With reference to FIG. 5, a flowchart of process 500 is shown. Process 500 is an example of a process for forming a three-dimensional semiconductor component or a portion of such a component. Process 500 can be performed using the photolithography system 100 or 200. Process 500 is considered for the system 200 shown in FIG. 2A. Process 500 is also considered with respect to FIGS. 6A-10B.

[0074] 光ビーム260をマスク174に向ける(510)。光ビーム260は、それぞれが図3Cに示したように時間的に互いに分離された複数のパルスを含むパルス光ビームである。図6A及び図6Bは、光ビーム260の一部である単一パルスの光学スペクトルの例を示している。光ビーム260の他のパルスは異なる光学スペクトルを有することができる。 [0074] Direct the light beam 260 toward the mask 174 (510). The light beam 260 is a pulsed light beam each containing a plurality of pulses separated from each other in time as shown in FIG. 3C. 6A and 6B show examples of single pulse optical spectra that are part of the light beam 260. Other pulses of light beam 260 can have different optical spectra.

[0075] 図6Aを参照すると、光パルス600Aの光学スペクトル601Aが示されている。パルス600Aは、波長帯域内に非ゼロ強度を有する。波長帯域は、パルス600Aの帯域幅と呼ばれることもある。 [0075] With reference to FIG. 6A, the optical spectrum 601A of the optical pulse 600A is shown. The pulse 600A has a non-zero intensity in the wavelength band. The wavelength band is sometimes referred to as the bandwidth of the pulse 600A.

[0076] 図6Aに示された情報は、パルス600Aの瞬間光学スペクトル601A(又は発光スペクトル)である。光学スペクトル601Aは、光ビーム260のパルスの光エネルギー又はパワーが異なる波長(又は周波数)にどのように分布しているかについての情報を含む。光学スペクトル601Aは、図式的に描かれており、スペクトル強度(必ずしも絶対較正を伴わない)が波長又は光周波数の関数としてプロットされている。光学スペクトル601Aは、光ビーム260のパルスのスペクトル形状又は強度スペクトルと呼ばれることがある。パルス600Aは、図6Aの例ではピーク強度である一次波長602Aを有する。光ビーム260のパルス及び光ビーム260のパルスにより形成される空間像の考察はパルスの一次波長について言及しているが、パルスは一次波長以外の波長を含み、メトリックにより特徴付け可能な有限帯域幅を有する。例えば、スペクトル形状の最大ピーク強度の分数(X)のスペクトル601Aの全体幅(FWXMと呼ぶ)を使用して、光ビーム帯域幅を特徴付けることができる。別の例として、積分スペクトル強度の分数(Y)を含むスペクトルの幅(EYと呼ぶ)を使用して、光ビーム帯域幅を特徴付けることができる。 [0076] The information shown in FIG. 6A is the instantaneous optical spectrum 601A (or emission spectrum) of the pulse 600A. The optical spectrum 601A contains information about how the light energies or powers of the pulses of the light beam 260 are distributed at different wavelengths (or frequencies). The optical spectrum 601A is drawn graphically and the spectral intensity (not necessarily with absolute calibration) is plotted as a function of wavelength or optical frequency. The optical spectrum 601A is sometimes referred to as the spectral shape or intensity spectrum of the pulse of the light beam 260. The pulse 600A has a primary wavelength of 602A, which is the peak intensity in the example of FIG. 6A. Though the discussion of the spatial image formed by the pulse of light beam 260 and the pulse of light beam 260 refers to the primary wavelength of the pulse, the pulse contains wavelengths other than the primary wavelength and has a finite bandwidth that can be characterized by metrics. Has. For example, the overall width (referred to as FWXM) of spectrum 601A, which is a fraction (X) of the maximum peak intensity of the spectral shape, can be used to characterize the light beam bandwidth. As another example, the width of the spectrum (referred to as EY), including the fraction of the integrated spectral intensity (Y), can be used to characterize the light beam bandwidth.

[0077] パルス600Aは、光ビーム260に存在し得るパルスの一例として示されている。パルス600Aを使用してウェーハ120の一部分を露光する場合、パルスの光は空間像を形成する。空間像のz方向の位置(図1C及び図4)は、一次波長602Aの値によって決定される。光ビーム260の様々なパルスは、異なる一次波長を有することができる。例えば、単一露光パスの間に2つの空間像を生成するために、光ビーム260の一部のパルスは1つの一次波長(第1の一次波長)を有し、光ビーム260の他のパルスは別の一次波長(第2の一次波長)を有する。第1及び第2の一次波長は異なる波長である。第1及び第2の一次波長間の波長差は、スペクトル分離と呼ばれることがある。スペクトル分離は、例えば200フェムトメートル(fm)〜5ピコメートル(pm)であってよい。光ビーム260の様々なパルスの波長は異なる可能性があるが、パルスの光学スペクトルの形状は同じである可能性がある。 [0077] Pulse 600A is shown as an example of a pulse that may be present in the light beam 260. When a portion of the wafer 120 is exposed using the pulse 600A, the light of the pulse forms a spatial image. The position of the spatial image in the z direction (FIGS. 1C and 4) is determined by the value of the primary wavelength 602A. The various pulses of the light beam 260 can have different primary wavelengths. For example, in order to generate two spatial images during a single exposure pass, some pulses of the light beam 260 have one primary wavelength (first primary wavelength) and the other pulse of the light beam 260. Has another primary wavelength (second primary wavelength). The first and second primary wavelengths are different wavelengths. The wavelength difference between the first and second primary wavelengths is sometimes referred to as spectral separation. The spectral separation may be, for example, 200 femtometres (fm) to 5 picometers (pm). The wavelengths of the various pulses of the light beam 260 may be different, but the shape of the optical spectrum of the pulses may be the same.

[0078] 光源205は、あらゆるパルスが時間的にそのパルスの直前又は直後のパルスと異なる一次波長を有するように、パルスごとに一次波長をディザリングする、すなわち第1及び第2の一次波長間で切り替えることができる。これらの実装形態では、光ビーム260の全てのパルスが同じ強度を有すると仮定して、このように第1及び第2の一次波長を分布させることで、z方向の異なる位置に同じ強度を有する2つの空間像を生じさせる。 [0078] The light source 205 dithers the primary wavelength for each pulse so that every pulse has a different primary wavelength than the pulse immediately before or after the pulse in time, that is, between the first and second primary wavelengths. You can switch with. In these implementations, assuming that all pulses of the light beam 260 have the same intensity, the distribution of the first and second primary wavelengths in this way has the same intensity at different positions in the z direction. It gives rise to two spatial images.

[0079] 一部の実装形態では、パルスの一部分(例えば33%)が第1の一次波長を有し、残りの部分(この例では67%)が第2の一次波長を有する。これらの実装形態では、光ビーム260の全てのパルスが同じ強度を有すると仮定して、異なる強度を有する2つの空間像が形成される。第1の一次波長を有するパルスにより形成された空間像は、第2の一次波長を有するパルスにより形成された空間像の約半分の強度を有する。このようにして、ウェーハ170のz軸に沿った特定の位置に供給される線量は、第1及び第2の一次波長のそれぞれを有するN個のパルスの一部分を制御することによって制御することができる。 [0079] In some embodiments, a portion (eg, 33%) of the pulse has a first primary wavelength and the remaining portion (67% in this example) has a second primary wavelength. In these implementations, two spatial images with different intensities are formed, assuming that all pulses of the light beam 260 have the same intensity. The spatial image formed by the pulse having the first primary wavelength has about half the intensity of the spatial image formed by the pulse having the second primary wavelength. In this way, the dose delivered to a particular location along the z-axis of the wafer 170 can be controlled by controlling a portion of N pulses having each of the first and second primary wavelengths. it can.

[0080] 露光パスのための特定の一次波長を有することになるパルスの一部分は、電子ストレージ252に記憶されているレシピファイル259に規定することができる。レシピ259は、露光パスのための様々な一次波長の割合を規定する。レシピ259はまた、他の露光パスのための割合を規定することができ、その結果、他の露光パスに異なる割合を使用することができ、空間像をフィールドごとに調整又は制御することができる。 [0080] A portion of the pulse that will have a particular primary wavelength for the exposure path can be specified in the recipe file 259 stored in the electronic storage 252. Recipe 259 defines the proportion of various primary wavelengths for the exposure pass. Recipe 259 can also specify proportions for other exposure passes so that different proportions can be used for other exposure passes and the spatial image can be adjusted or controlled on a field-by-field basis. ..

[0081] 図6Bを参照すると、パルス600Bの光学スペクトル601Bが示されている。パルス600Bは、光ビーム260のパルスの別の例である。パルス600Bの光学スペクトル601Bは、光学スペクトル601Aと異なる形状を有する。具体的には、光学スペクトル601Bは、パルス600Bの2つの一次波長602B_1及び602B_2に対応する2つのピークを有する。パルス600Bは光ビーム260の一部である。パルス600Bを使用してウェーハ120の一部分を露光するとき、パルスの光は、ウェーハ上のz軸に沿った異なる位置に2つの空間像を形成する。空間像の位置は、一次波長602B_1及び602B_2の波長によって決定される。 [0081] With reference to FIG. 6B, the optical spectrum 601B of pulse 600B is shown. Pulse 600B is another example of a pulse of light beam 260. The optical spectrum 601B of the pulse 600B has a different shape from the optical spectrum 601A. Specifically, the optical spectrum 601B has two peaks corresponding to the two primary wavelengths 602B_1 and 602B_2 of the pulse 600B. The pulse 600B is part of the light beam 260. When a portion of the wafer 120 is exposed using the pulse 600B, the light of the pulse forms two spatial images at different positions along the z-axis on the wafer. The position of the spatial image is determined by the wavelengths of the primary wavelengths 602B_1 and 602B_2.

[0082] 図6A及び図6Bに示されているパルスは、このようなパルスを形成可能な任意のハードウェアによって形成することができる。例えば、パルス600Aなどのパルスのパルス列は、図2Cのライン狭隘化モジュール216Cと同様のライン狭隘化モジュールを使用して形成することができる。格子291により回折された光の波長は、格子に入射する光の角度に依存する。格子291と相互作用する光の入射角度を変化させるメカニズムが、このようなライン狭隘化モジュールと共に使用されて、露光パスのためのN個のパルスを含むパルス列を作成することができ、N個のパルスの少なくとも1つは、N個のパルスの別のパルスの一次波長と異なる一次波長を有する。例えば、プリズム292、293、294、295の1つを回転させて、格子291に入射する光の角度をパルスごとに変化させることができる。一部の実装形態では、ライン狭隘化モジュールは、ビーム260の経路にあり、格子291に入射する光の角度を変化させるために移動可能なミラーを備える。このような実装形態の例は、例えば2001年2月20日発行の、NARROW BAND LASER WITH FINE WAVELENGTH CONTROLという名称の米国特許第6,192,064号において考察されている。 [0082] The pulses shown in FIGS. 6A and 6B can be formed by any hardware capable of forming such pulses. For example, a pulse train of pulses such as pulse 600A can be formed using a line narrowing module similar to the line narrowing module 216C of FIG. 2C. The wavelength of the light diffracted by the grid 291 depends on the angle of the light incident on the grid. A mechanism that changes the angle of incidence of light interacting with the lattice 291 can be used with such a line narrowing module to create a pulse train containing N pulses for an exposure path, N. At least one of the pulses has a primary wavelength different from the primary wavelength of another of the N pulses. For example, one of the prisms 292, 293, 294, and 295 can be rotated to change the angle of light incident on the grid 291 for each pulse. In some implementations, the line narrowing module is in the path of the beam 260 and includes a movable mirror to change the angle of light incident on the grid 291. Examples of such implementations are discussed, for example, in US Pat. No. 6,192,064, entitled NARROW BAND LASER WITH FINE WAVELENGTH CONTROL, published February 20, 2001.

[0083] パルス600B(図6B)などのパルスもまた、図2Cのライン狭隘化モジュール216Cと同様のライン狭隘化モジュールを使用して形成することができる。例えば、音響光学モジュレータなどの刺激された光学素子を、ビーム260の経路上のライン狭隘化モジュール216Cに配置することができる。音響光学モジュレータは、モジュレータを励起するのに使用される音波の周波数に依存する角度で入射光を偏向させる。音響モジュレータは、音波の伝搬を可能にするガラスやクォーツなどの材料と、材料と結合したトランスデューサとを備える。トランスデューサは励起信号に応答して振動し、振動は材料中に音波を生成する。音波は、材料の屈折率を変化させる移動膨張面及び移動圧縮面を形成する。結果として、音波は、入射光を回折させる回折格子の機能を果たし、いくつかの異なる角度で同時に材料から出る。2つ以上の次数からの光を格子291に到達させることができ、様々な回折次数のそれぞれの光は、格子291に対して異なる入射角を有する。このようにして、2つ以上の一次波長を含む単一パルスを形成することができる。音響光学モジュレータを備えたライン狭隘化モジュールの例は、例えば2006年12月26日発行のLASER OUTPUT BEAM WAVEFRONT SPLITTER FOR BANDWIDTH SPECTRUM CONTROLという名称の米国特許第7,154,928号において考察されている。 [0083] Pulses such as pulse 600B (FIG. 6B) can also be formed using a line narrowing module similar to the line narrowing module 216C of FIG. 2C. For example, stimulated optics such as an acoustic optics modulator can be placed in the line narrowing module 216C on the path of the beam 260. The acoustic-optical modulator deflects the incident light at an angle that depends on the frequency of the sound waves used to excite the modulator. The acoustic modulator comprises a material such as glass or quartz that allows the propagation of sound waves, and a transducer combined with the material. The transducer vibrates in response to an excitation signal, which produces sound waves in the material. The sound waves form a moving expansion surface and a moving compression surface that change the refractive index of the material. As a result, the sound waves act as a diffraction grating that diffracts the incident light and exit the material at several different angles at the same time. Light from two or more orders can reach the grid 291 and each light of various diffraction orders has a different angle of incidence with respect to the grid 291. In this way, a single pulse containing two or more primary wavelengths can be formed. An example of a line narrowing module with an acoustic-optical modulator is considered, for example, in US Pat. No. 7,154,928, entitled LASER OUTPUT BEAM WAVEFRONT SPLITTER FOR BANDWIDTH SPECTRUM CONTROL, issued December 26, 2006.

[0084] 単一露光パスの間、光パルスの一セットをウェーハ170に向けてマスク174を通過させる(520)。以上で考察したように、N個の光パルスを露光パスの間にウェーハ170に供給することができる。N個の光パルスは、ビーム260の連続光パルスであってよい。ウェーハ170の露光部分は、露光パスの間のN個のパルスのそれぞれの光学スペクトルの平均値となる。したがって、N個のパルスの一部分が第1の一次波長を有し、N個のパルスの残りが第2の一次波長を有する場合、ウェーハ170における平均光学スペクトルは、第1の一次波長におけるピークと第2の一次波長におけるピークとを含む光学スペクトルとなる。同様に、N個のパルスの個々のパルスの全て又は一部が2つ以上の一次波長を有する場合、それらの一次波長は平均光学スペクトルにピークを形成することができる。図7は、ウェーハ170における平均光学スペクトル701の例を示している。平均光学スペクトル701は、第1の一次波長702_1と第2の一次波長702_2とを含む。図7の例では、第1の一次波長702_1及び第2の一次波長702_2は、約500fmのスペクトル分離703によって分離されているが、他の組み合わせも考えられる。スペクトル分離703は、第1の一次波長702_1及び第2の一次波長702_2が異なり、平均光学スペクトル701が波長702_1及び702_2間に強度がほとんど又は全くないスペクトル領域704を含むものである。 [0084] During a single exposure pass, a set of optical pulses is directed at wafer 170 and passed through mask 174 (520). As discussed above, N light pulses can be supplied to the wafer 170 during the exposure pass. The N light pulses may be continuous light pulses of the beam 260. The exposed portion of the wafer 170 is the average of the optical spectra of each of the N pulses during the exposure pass. Therefore, if a portion of the N pulses has a first primary wavelength and the rest of the N pulses have a second primary wavelength, the average optical spectrum on the wafer 170 will be the peak at the first primary wavelength. The optical spectrum includes the peak at the second primary wavelength. Similarly, if all or part of the individual pulses of the N pulses have more than one primary wavelength, those primary wavelengths can peak in the average optical spectrum. FIG. 7 shows an example of the average optical spectrum 701 on the wafer 170. The average optical spectrum 701 includes a first primary wavelength 702_1 and a second primary wavelength 702_2. In the example of FIG. 7, the first primary wavelength 702_1 and the second primary wavelength 702_2 are separated by a spectral separation 703 of about 500 fm, but other combinations are possible. The spectral separation 703 includes a spectral region 704 in which the first primary wavelength 702_1 and the second primary wavelength 702_2 are different and the average optical spectrum 701 has little or no intensity between the wavelengths 702_1 and 702_2.

[0085] 2つ以上の空間像、例えば、第1の一次波長に基づいた第1の空間像及び第2の一次波長に基づいた第2の空間像が、平均光学スペクトルに基づいてウェーハ170に形成される(530)。平均光学スペクトル701の例を続け、さらに図8Aを参照すると、2つの空間像873a及び873bが、N個のパルスに基づいて単一露光パスで形成される。N個のパルスは、第1の一次波長702_1を有するパルスと、第2の一次波長702_2を有するパルスとを含む。第1の一次波長702_1を有するパルスは第1の空間像873aを形成し、第2の一次波長702_2を有するパルスは第2の空間像873bを形成する。空間像873aは第1の平面878aに形成され、空間像873bは第2の平面878bに形成される。平面878a及び878bは、ウェーハ170における光ビーム260の伝搬方向に対して垂直である。平面878a及び878bは、z方向に沿って分離距離879だけ離れている。 [0085] Two or more spatial images, such as a first spatial image based on the first primary wavelength and a second spatial image based on the second primary wavelength, are transferred to the wafer 170 based on the average optical spectrum. It is formed (530). Continuing with the example of the average optical spectrum 701 and further referring to FIG. 8A, two spatial images 873a and 873b are formed in a single exposure pass based on N pulses. The N pulses include a pulse having a first primary wavelength 702_1 and a pulse having a second primary wavelength 702_2. The pulse having the first primary wavelength 702_1 forms the first spatial image 873a, and the pulse having the second primary wavelength 702_2 forms the second spatial image 873b. The spatial image 873a is formed on the first plane 878a, and the spatial image 873b is formed on the second plane 878b. The planes 878a and 878b are perpendicular to the propagation direction of the light beam 260 on the wafer 170. The planes 878a and 878b are separated by a separation distance of 879 along the z direction.

[0086] 分離距離879は、単一の一次波長を有する平均光学スペクトルのリソグラフィ装置169の焦点深度より大きい。焦点深度は、線量値(ウェーハに供給される光エネルギーの量)に対して、その線量がウェーハ170に適用されているプロセスにフィーチャサイズの許容範囲内にあるフィーチャサイズを提供する、z方向に沿った焦点範囲として定めることができる。プロセス500は、単一露光パスの間に2つ以上の異なる空間像をウェーハ170に提供することによって、リソグラフィ露光装置169の焦点深度を増大させることができる。これは、複数の空間像がそれぞれ、フィーチャサイズの許容範囲内にあるフィーチャを用いてz方向の異なる位置にあるウェーハを露光することができるためである。換言すれば、プロセス500は、単一露光パスの間にリソグラフィ露光装置169により広範な焦点深度を提供することができる。以上で考察したように、リソグラフィ露光装置169のオペレータは、レシピファイル259を使って露光プロセスの種々のパラメータを制御することができる。一部の実装形態では、リソグラフィ露光装置169のオペレータは、ASML社の傘下にあるBrionから市販されているTachyon Source−Mask Optimization(SMO)などのシミュレーションプログラムから情報を入手することができ、この情報を利用して、レシピファイル259のパラメータをプログラムしたり、あるいは指定したりすることができる。例えば、リソグラフィ露光装置169のオペレータは、次回のロットが前回露光したロットほどの焦点深度を必要としないであろうことを知っていることがある。この例では、オペレータは、焦点深度及び線量変動をシミュレーションプログラムに指定し、シミュレーションプログラムは、スペクトル分離703の値を返して所望のパラメータを得る。次に、オペレータは、I/Oインターフェイス253を使ってレシピファイル259をプログラムすることによって、次回のロットのためのスペクトル分離703の値を規定することができる。一部の実装形態では、オペレータはシミュレーションを使用して、特定の露光パスに(例えば異なる平面にある複数の空間像を用いてウェーハ170を露光することにより可能な)より大きい焦点深度が必要か否かを決定することができる。半導体コンポーネントの特定の部分を形成するためにより大きい焦点深度が要求されない場合、レシピファイル259は、例えば、半導体コンポーネントのその特定の部分を形成するのに使用される露光パスが単一の一次波長を含む平均光学スペクトルを有するように構成することができる。 [0086] The separation distance 879 is larger than the depth of focus of the lithographic apparatus 169 for the average optical spectrum having a single primary wavelength. Depth of focus is in the z direction, which provides a feature size that is within the feature size tolerance for the process in which the dose is applied to the wafer 170 with respect to the dose value (the amount of light energy delivered to the wafer). It can be defined as the focal range along. Process 500 can increase the depth of focus of the lithography exposure apparatus 169 by providing the wafer 170 with two or more different spatial images during a single exposure pass. This is because the plurality of spatial images can each expose wafers at different positions in the z direction using features that are within the allowable range of the feature size. In other words, the process 500 can provide a wider depth of focus with the lithographic exposure apparatus 169 during a single exposure pass. As discussed above, the operator of the lithography exposure apparatus 169 can use the recipe file 259 to control various parameters of the exposure process. In some implementations, the operator of the lithography exposure apparatus 169 can obtain information from a simulation program such as Tachyon Source-Mask Optimization (SMO) commercially available from Brion, a subsidiary of ASML. Can be used to program or specify parameters for recipe file 259. For example, the operator of the lithography exposure apparatus 169 may know that the next lot will not require as much depth of focus as the previously exposed lot. In this example, the operator specifies the depth of focus and dose variation in the simulation program, which returns the values of spectral separation 703 to obtain the desired parameters. The operator can then specify the value of spectrum separation 703 for the next lot by programming the recipe file 259 using the I / O interface 253. In some implementations, does the operator use simulation to require a greater depth of focus for a particular exposure path (eg, possible by exposing wafer 170 with multiple spatial images in different planes)? You can decide whether or not. If a greater depth of focus is not required to form a particular portion of the semiconductor component, the recipe file 259 will, for example, have a single primary wavelength exposure path used to form that particular portion of the semiconductor component. It can be configured to have an average optical spectrum that includes.

[0087] また、オペレータ及び/又はシミュレータは、メトロロジシステム172により、又は別のセンサにより測定される、形成された3次元コンポーネントについての情報を入手することができる。例えば、メトロロジシステム172は、形成された3D半導体コンポーネントの側壁角度に関するデータを提供することができ、このデータを使用して、後続の露光パスのためにレシピファイル259内のパラメータをプログラムすることができる。 [0087] Operators and / or simulators can also obtain information about the formed 3D components as measured by the metrology system 172 or by another sensor. For example, the metrology system 172 can provide data on the sidewall angles of the formed 3D semiconductor components and use this data to program the parameters in the recipe file 259 for subsequent exposure passes. Can be done.

[0088] 図8Bは、平面878aにおける(図8Aの紙面をのぞき込む)xy平面の空間像873aを示している。空間像873a及び873bは一般に、xy平面に形成された2次元強度パターンである。この強度パターンの性質は、マスク174の特性に依存する。第1及び第2の平面878a、878bはウェーハ170の一部分である。図8Bに示すように、第1の平面878aは、ウェーハ170全体のほんの小さな一部分である可能性がある。 [0088] FIG. 8B shows a spatial image 873a of the xy plane (looking into the paper surface of FIG. 8A) on the plane 878a. Spatial images 873a and 873b are generally two-dimensional intensity patterns formed on the xy plane. The nature of this intensity pattern depends on the properties of the mask 174. The first and second planes 878a and 878b are a part of the wafer 170. As shown in FIG. 8B, the first plane 878a may be only a small portion of the entire wafer 170.

[0089] 分離距離879の値は、スペクトル分離703と光学システム275の特性とに依存する。例えば、分離距離879の値は、光学システム275内のレンズ及びその他の光学素子の焦点距離、収差、及びその他の特性に依存する可能性がある。色収差Cを有するスキャナレンズの場合、分離距離879は、式1から決定することができる:
ΔD=C*Δλ 式(1)
式中、ΔDは分離距離879(単位:ナノメートル(nm))であり、Cは色収差(焦点面が波長変化のために伝搬方向に移動する距離として定義される)であり、Δλはスペクトル分離873(単位:ピコメートル)である。分離距離875は、例えば5000nm(5μm)であってよく、スペクトル分離873は約200〜300fmであってよい。
The value of the separation distance 879 depends on the characteristics of the spectral separation 703 and the optical system 275. For example, the value of the separation distance 879 may depend on the focal length, aberrations, and other properties of the lens and other optical elements in the optical system 275. For a scanner lens with chromatic aberration C, the separation distance 879 can be determined from Equation 1.
ΔD = C * Δλ equation (1)
In the equation, ΔD is the separation distance 879 (unit: nanometer (nm)), C is the chromatic aberration (defined as the distance the focal plane moves in the propagation direction due to wavelength change), and Δλ is the spectral separation. It is 873 (unit: picometer). The separation distance 875 may be, for example, 5000 nm (5 μm) and the spectral separation 873 may be about 200-300 fm.

[0090] また、製造及び設置プロセスの変化及び/又はエンドユーザによる変更に起因して、あるタイプのリソグラフィ露光装置169の特定の例に望ましい分離距離879を実現するのに、異なる一次波長が必要になる可能性がある。以上で考察したように、レシピ又はプロセス制御プログラム259を、制御システム250の電子ストレージ252に記憶することができる。レシピ259を変更又はプログラムして、特定の露光装置又はあるタイプの露光装置に合わせてカスタマイズすることができる。レシピ259は、リソグラフィシステム200が製造されるときにプログラムすることができる、及び/又は、レシピ259は、例えばI/Oインターフェイス253を介して、エンドユーザ又はシステム200の動作に精通した他のオペレータによってプログラムすることができる。 [0090] Also, due to changes in the manufacturing and installation process and / or changes by the end user, different primary wavelengths are required to achieve the desired separation distance 879 for certain examples of certain types of lithography exposure equipment 169. There is a possibility of becoming. As discussed above, the recipe or process control program 259 can be stored in the electronic storage 252 of the control system 250. Recipe 259 can be modified or programmed to be customized for a particular exposure device or certain type of exposure device. Recipe 259 can be programmed when the lithography system 200 is manufactured, and / or Recipe 259 is an end user or other operator familiar with the operation of the system 200, eg, via the I / O interface 253. Can be programmed by.

[0091] レシピ259はまた、ウェーハ170の異なるエリアを露光するのに使用される異なる露光パスのための異なる分離距離879を規定することができる。付加的又は代替的に、レシピ259は、ロットごともしくはレイヤごと、又はウェーハごとに分離距離879を規定することができる。ロット又はレイヤは、同じ公称条件下で同じ露光装置により処理される一群のウェーハである。レシピ259はまた、各像により提供される線量などの空間像873a、873bに関連する他のパラメータを規定することを可能にする。例えば、レシピ259は、N個のパルスのうち、第1の一次波長702_1を有するパルスの数と第2の一次波長702_2を有するパルスの数の割合を規定することができる。これらの他のパラメータはまた、フィールドごと、ロットごと(もしくはレイヤごと)、及び/又はウェーハごとに規定することができる。 Recipe 259 can also specify different separation distances 879 for different exposure passes used to expose different areas of wafer 170. Additional or alternative, Recipe 259 can specify a separation distance of 879 for each lot, layer, or wafer. A lot or layer is a group of wafers processed by the same exposure equipment under the same nominal conditions. Recipe 259 also makes it possible to specify other parameters related to spatial images 873a, 873b, such as the dose provided by each image. For example, Recipe 259 can specify the ratio of the number of pulses having the first primary wavelength 702_1 to the number of pulses having the second primary wavelength 702_2 out of N pulses. These other parameters can also be specified per field, per lot (or per layer), and / or per wafer.

[0092] また、レシピ259は、一部のレイヤが第1の一次波長702_1及び第2の一次波長702_2で露光されず、その代わりに単一の一次波長を含む光学スペクトルを有するパルスで露光されることを規定することができる。このような光学スペクトルは、例えば、3次元半導体コンポーネントではなく、平面半導体コンポーネントを形成しようとする場合に使用することができる。I/Oインターフェイス253は、エンドユーザ及び/又は製造者が、単一の一次波長が、例えば特定のレイヤ又はロットのために使用されるシナリオを含む、一次波長の数を規定するレシピをプログラム又は作成することを可能にする。 [0092] Also, in Recipe 259, some layers are not exposed at the first primary wavelength 702_1 and the second primary wavelength 702_2, but instead are exposed with a pulse having an optical spectrum containing a single primary wavelength. Can be stipulated. Such an optical spectrum can be used, for example, when trying to form a planar semiconductor component instead of a three-dimensional semiconductor component. The I / O interface 253 allows the end user and / or manufacturer to program a recipe that defines the number of primary wavelengths, including scenarios where a single primary wavelength is used, eg, for a particular layer or lot. Allows you to create.

[0093] また、上記の例は2つの一次波長を有する平均光学スペクトル701について考察しているが、他の例では、平均光学スペクトル701は、3つ以上の一次波長(例えば、3つ、4つ、又は5つの一次波長)を有することができ、一次波長はそれぞれ最も近い他の一次波長からスペクトル分離及び領域704などの領域で分離される。I/Oインターフェイス253は、エンドユーザ及び/又は製造者がこれらのパラメータを規定するレシピをプログラム又は作成することを可能にする。 [0093] Further, the above example considers an average optical spectrum 701 having two primary wavelengths, but in another example, the average optical spectrum 701 has three or more primary wavelengths (for example, three or four). It can have one or five primary wavelengths), each of which is separated from the nearest other primary wavelength in a region such as spectral separation and region 704. The I / O interface 253 allows end users and / or manufacturers to program or create recipes that specify these parameters.

[0094] 3次元(3D)半導体コンポーネントが形成される(540)。図9Aは、例示的な3D半導体コンポーネント995の断面図を示している。図9Bは、ウェーハ170、及び第1の平面878aにおけるxy平面のコンポーネント995を示している。3D半導体コンポーネント995は、完全なコンポーネント、又はより大きいコンポーネントの一部分であってよい。3D半導体コンポーネント995は、全てがウェーハ170内の1つのz位置に形成されるわけではないフィーチャを有する任意のタイプの半導体コンポーネントであってよい。例えば、3D半導体コンポーネントは、z軸に沿って延在する窪み又は開口を備えるデバイスであってよい。例えば、3D半導体コンポーネントは、3D NANDフラッシュメモリコンポーネントの全部又は一部であってよい。3D NANDフラッシュメモリは、メモリセルがz軸に沿って積層されたメモリである。 [0094] Three-dimensional (3D) semiconductor components are formed (540). FIG. 9A shows a cross-sectional view of an exemplary 3D semiconductor component 995. FIG. 9B shows the wafer 170 and the xy plane component 995 on the first plane 878a. The 3D semiconductor component 995 may be a complete component or part of a larger component. The 3D semiconductor component 995 may be any type of semiconductor component that has features that are not all formed in one z position within the wafer 170. For example, a 3D semiconductor component may be a device with a recess or opening that extends along the z-axis. For example, the 3D semiconductor component may be all or part of the 3D NAND flash memory component. The 3D NAND flash memory is a memory in which memory cells are stacked along the z-axis.

[0095] 図9Aの例では、3D半導体コンポーネント995は、外面999に形成された窪み996を備える。窪み996は、フロア997と、外面999及びフロア997間に概ねz軸に沿って延在する側壁998とを備える。フロア997は、第2の空間像873b(図8A)内にある光で平面878bにあるフォトレジストを露光することによって形成される。外面999上のフィーチャは、第1の空間像873a(図8A)内にある光を使用して形成される。 [0095] In the example of FIG. 9A, the 3D semiconductor component 995 includes a recess 996 formed on the outer surface 999. The recess 996 includes a floor 997 and a side wall 998 extending approximately along the z-axis between the outer surface 999 and the floor 997. The floor 997 is formed by exposing the photoresist on the plane 878b with the light in the second spatial image 873b (FIG. 8A). The features on the outer surface 999 are formed using the light in the first spatial image 873a (FIG. 8A).

[0096] プロセス500を用いることはまた、90°に等しい、又は他のプロセスを用いて可能であるよりも90°に近い側壁角992を生じさせることができる。側壁角992は、フロア997と側壁998との間の角である。側壁998がxz平面に延在し、フロアがxy平面に延在する場合、側壁角992は90°であり、この例では垂直と見なすことができる。垂直な側壁角は望ましい。なぜなら、例えばこのような側壁は、3D半導体コンポーネントのより良好に画定されたフィーチャを可能にするためである。プロセス500は、90°に等しい又は近い側壁角992を実現する。なぜなら、第1の空間像873a及び第2の空間像873bの位置(それぞれ第1の平面878a及び第2の平面878b)は、ウェーハ170の異なる部分にある離れた像であるためである。単一の露光パスにおいて離れた空間像を形成することは、各像の品質を向上させることができ、低品質の単一の空間像により形成されたフィーチャと比較してより垂直に配向されたより明確なフィーチャをもたらす。 Using process 500 can also result in a side wall angle 992 equal to 90 ° or closer to 90 ° than is possible with other processes. The side wall angle 992 is the angle between the floor 997 and the side wall 998. If the side wall 998 extends in the xz plane and the floor extends in the xy plane, the side wall angle 992 is 90 ° and can be considered vertical in this example. Vertical side wall angles are desirable. This is because, for example, such sidewalls allow for better defined features of 3D semiconductor components. Process 500 achieves a side wall angle of 992 equal to or close to 90 °. This is because the positions of the first spatial image 873a and the second spatial image 873b (the first plane 878a and the second plane 878b, respectively) are distant images in different parts of the wafer 170. Forming distant spatial images in a single exposure pass can improve the quality of each image and is more vertically oriented than features formed by a single low quality spatial image. Brings clear features.

[0097] 図10A及び図10Bは、プロセス500に関連する模擬データの例である。図10Aは、空間像強度対y軸に沿ったマスク位置(図9A)の3つのプロット1001、1002、1003を示している。各プロット1001、1002、1003は1つの空間像の強度対マスク位置を表す。図10Aでは、プロット1001は、図5について上述したような単一露光パスの間に2つの空間像を形成する平均光学スペクトルのシミュレーションを表す。プロット1002は、焦点深度を増大させて3次元フィーチャ(ビア及び孔など)のウェーハへのプリントを促進する手順であるASMLのEFESE技術に従ってウェーハステージを傾ける状況のシミュレーションを表す。EFESE技術では、ウェーハステージをある角度に傾けて、ウェーハを露光しながら焦点を通して空間像をスキャンする。EFESE技術は一般に、より大きな焦点深度をもたらす。図10Aでは、プロット1002のみがEFESE技術を用いてシミュレートされたデータを表す。図10Aに示す残りのデータはEFESE技術を採用しなかった。プロット1003は、線量に基づいた最良焦点のシミュレーションからのデータを表す。 [0097] FIGS. 10A and 10B are examples of simulated data related to process 500. FIG. 10A shows three plots 1001, 1002, 1003 of the spatial image intensity vs. the mask position along the y-axis (FIG. 9A). Each plot 1001, 1002, 1003 represents the intensity vs. mask position of one spatial image. In FIG. 10A, plot 1001 represents a simulation of an average optical spectrum that forms two spatial images during a single exposure pass as described above for FIG. Plot 1002 represents a simulation of a situation where the wafer stage is tilted according to ASML's EFESE technique, which is a procedure for increasing the depth of focus to facilitate printing of 3D features (vias, holes, etc.) on a wafer. In EFESE technology, the wafer stage is tilted at an angle to expose the wafer and scan the spatial image through the focal point. EFESE technology generally provides greater depth of focus. In FIG. 10A, only plot 1002 represents data simulated using EFESE technology. The remaining data shown in FIG. 10A did not employ EFESE technology. Plot 1003 represents data from a dose-based best focus simulation.

[0098] 図10Aに示すマスク位置の関数としての空間像強度は、単一露光パスで2つ以上の空間像を形成することがウェーハステージを傾けるのと同様のコントラストを生成する可能性があることを示す。コントラストが大きくなるということは、z軸に沿った異なる位置にある3次元フィーチャ(図8A)が適切に形成される可能性が高くなることを示す。 The spatial image intensity as a function of the mask position shown in FIG. 10A may produce contrast similar to tilting the wafer stage to form two or more spatial images in a single exposure pass. Show that. Higher contrast indicates that 3D features (FIG. 8A) at different positions along the z-axis are more likely to be properly formed.

[0099] 図10Bは、それぞれが露光パスの間に平均化された3つの異なる空間像についての焦点位置の関数としてのクリティカルディメンジョンの3つのプロット1004、1005、1006を示している。図10Bのプロット1004は、EFESE技術を適用せず、単一の空間像を形成したシミュレーションからのデータを表す。プロット1005は、EFESE技術を適用したシミュレーションからのデータを表す。図のように、クリティカルディメンジョン値がゼロ焦点からさらに離れたところで変わらないため、EFESE技術は、EFESEの適用のないシミュレーションと比べて焦点深度を増大させる。プロット1005は、2つの空間像を単一露光パスで生成し、EFESE技術を適用しなかったシミュレーションからのデータを表す。複数の空間像を使用してEFESE技術を用いないシミュレーションの焦点深度は同等か又はEFESE技術より良好である。したがって、EFESEなどの技術に頼ることなく、プロセス500を用いて、単一露光パスにおいてより大きな焦点深度を実現することができる。 [0099] FIG. 10B shows three plots 1004, 1005, 1006 of critical dimensions as a function of focal position for three different spatial images, each averaged during the exposure pass. Plot 1004 in FIG. 10B represents data from a simulation that formed a single spatial image without applying EFESE technology. Plot 1005 represents data from a simulation to which EFESE technology is applied. As shown in the figure, the EFESE technique increases the depth of focus compared to simulations without the application of EFESE, because the critical dimension value does not change further away from the zero focus. Plot 1005 represents data from a simulation in which two spatial images were generated in a single exposure pass and no EFESE technique was applied. The depth of focus of simulations with multiple spatial images and without EFESE technology is comparable or better than EFESE technology. Therefore, a larger depth of focus can be achieved in a single exposure pass using process 500 without resorting to techniques such as EFESE.

[0100] 実施形態を以下の条項を用いてさらに説明することができる。
1.フォトリソグラフィシステムを使用して3次元半導体コンポーネントを形成する方法であって、方法が、
複数の光パルスを含むパルス光ビームを伝搬方向に沿ってマスクに向けること、
単一露光パスの間に光ビームの光パルスの一セットを、マスクを通過させてウェーハに向けること、
単一露光パスの間に、マスクを通過するパルスセット内の光パルスに基づいて、ウェーハ上に少なくとも第1の空間像及び第2の空間像を生成することであって、第1の空間像がウェーハ上の第1の平面にあり、第2の空間像がウェーハ上の第2の平面にあり、第1の平面及び第2の平面が伝搬方向に沿って分離距離だけ互いに離れていること、及び
第1の空間像の光とウェーハの第1の部分の材料との相互作用及び第2の空間像の光とウェーハの第2の部分の材料との相互作用に基づいて、フォトレジストに3次元半導体コンポーネントをパターニングすること、を含み、
パルスセット内のパルスの少なくとも1つが第1の一次波長を有し、パルスセット内の他のパルスの少なくとも1つが、第1の一次波長と異なる第2の一次波長を有することにより、第1及び第2のパルスセットのスペクトルがスペクトル的に異なり、分離距離が第1の一次波長と第2の一次波長の差に基づく、方法。
2.単一露光パスの間にマスクを通過するパルスセット内のパルスの少なくとも1つが2つ以上の光の一次波長を含む、条項1に記載の方法。
3.各一次波長が、最も近い別の一次波長から200フェムトメートル(fm)から500ピコメートル(pm)のスペクトル分離によって分離される、条項2に記載の方法。
4.第1の空間像と第2の空間像の間の分離距離が単一露光パスの間に変化する、条項1に記載の方法。
5.単一露光パスが第1の露光パスであり、方法がさらに、第2の露光パスの間、かつ第1の露光パスが完了した後に、光ビームの光パルスの第2のセットをマスクを通過させてウェーハに向けることを含み、第1の空間像と第2の空間像の間の分離距離が、第1の露光パス及び第2の露光パスの間異なる、条項1に記載の方法。
6.第1の空間像と第2の空間像の間の分離距離が単一露光パスに先立って設定され、分離距離が単一露光パスの間変化しない、条項1に記載の方法。
7.第1の空間像と第2の空間像の間の分離距離が、フォトリソグラフィシステムの1つ以上のフィーチャに適応するように設定される、条項6に記載の方法。
8.パルスセットが、光パルスの第1のグループと光パルスの第2のグループとを含み、光パルスの第1のグループの各パルスが第1の一次波長を有し、光パルスの第2のグループの各パルスが第2の一次波長を有し、方法がさらに、
パルスの第1のグループの特性を制御することによって第1の空間像の光量を制御すること、及び
パルスの第2のグループの特性を制御することによって第2の空間像の光量を制御することを含む、条項1に記載の方法。
9.第1のグループの特性が第1のグループのパルス数を含み、第2のグループの特性が第2のグループのパルス数を含む、条項8に記載の方法。
10.第1のグループのパルス数を制御することが、パルスの第1のグループに含む第1のパルス数を、単一露光パスが始まる前に決定することを含み、パルスの第2のグループのパルス数を制御することが、パルスの第2のグループに含む第2のパルス数を、単一露光パスの前に決定することを含む、条項9に記載の方法。
11.第1のパルス数及び第2のパルス数を決定することが、(a)オペレータからの入力を受け取ること、及び(b)フォトリソグラフィシステムと関連付けられたあらかじめ規定された設定にアクセスすること、の1つ以上を含む、条項10に記載の方法。
12.パルスの第1のグループの特性が第1のグループの各パルスの強度を含み、パルスの第2のグループの特性が第2のグループの各パルスの強度を含む、条項8に記載の方法。
13.ウェーハ上の第1の平面及びウェーハ上の第2の平面が、伝搬方向に対して実質的に垂直な平面である、条項1に記載の方法。
14.パルスの第1のグループ及びパルスの第2のグループが、単一露光パスにおいてマスクを通過する全てのパルスを含む、条項9に記載の方法。
15.3次元半導体の第1のフィーチャが第1の平面に形成され、
3次元半導体の第2のフィーチャが第2の平面に形成され、
第1及び第2のフィーチャが、伝搬方向に実質的に平行に延在する側壁によって互いから離れている、条項1に記載の方法。
16.3次元半導体コンポーネントが、3次元NANDフラッシュメモリコンポーネントを含む、条項1に記載の方法。
17.第1の平面が第1の焦点面に対応し、第2の平面が第2の焦点面に対応し、第1の平面と第2の平面の間の分離距離が、マスクを通過する光パルスの1つ以上の波長の差、又はパルスセットの個別のパルス間の波長の差に基づく、条項1に記載の方法。
18.光源と、
光源からのパルス光ビームと相互作用するように配置されたマスクと、
ウェーハホルダと、を備えたリソグラフィスキャナ装置と、
光源に結合された制御システムと、を備えたフォトリソグラフィシステムであって、
制御システムが、光源に、単一露光パスの間にパルス光ビームをリソグラフィスキャナ装置に向けて放出させるように構成され、単一露光パスの間、少なくとも第1の空間像及び第2の空間像が、ウェーハホルダで支えられたウェーハ上に、マスクを伝搬方向に沿って通過する光パルスの一セットの光パルスに基づいて形成され、第1の空間像がウェーハ上の第1の平面にあり、第2の空間像がウェーハ上の第2の平面にあり、第1の平面及び第2の平面が、伝搬方向に沿って分離距離だけ互いに離れており、3次元半導体コンポーネントが、第1の空間像の光とウェーハの第1の部分の材料との相互作用及び第2の空間像の光とウェーハの第2の部分の材料との相互作用に基づいて形成され、
パルスセット内のパルスの少なくとも1つが第1の一次波長を有し、
パルスセット内の他のパルスの少なくとも1つが、パルスの第1及び第2のセットのスペクトルがスペクトル的に異なるように、第1の一次波長と異なる第2の一次波長を有し、
分離距離が第1の一次波長と第2の一次波長の差に基づく、フォトリソグラフィシステム。
19.制御システムが、コンピュータ可読記憶媒体と、コンピュータ可読記憶媒体と結合された1つ以上の電子プロセッサと、入出力インターフェイスと、を備え、フォトリソグラフィシステムに関連するレシピがコンピュータ可読記憶媒体に記憶される、条項18に記載のフォトリソグラフィシステム。
20.レシピが分離距離を規定する、条項19に記載のフォトリソグラフィシステム。
21.レシピが、ウェーハごと又はロットごとに分離距離を規定する、条項20に記載のフォトリソグラフィシステム。
22.光源が、フッ化クリプトン(KrF)利得媒質又はフッ化アルゴン(ArF)利得媒質を含む、条項18に記載のフォトリソグラフィシステム。
[0100] Embodiments can be further described with the following provisions.
1. 1. A method of forming a three-dimensional semiconductor component using a photolithography system.
Directing a pulsed light beam containing multiple light pulses toward the mask along the propagation direction,
Directing a set of light pulses of light beam through a mask to a wafer during a single exposure pass,
To generate at least a first spatial image and a second spatial image on a wafer based on the optical pulses in a pulse set passing through the mask during a single exposure pass, the first spatial image. Is on the first plane on the wafer, the second spatial image is on the second plane on the wafer, and the first and second planes are separated from each other by a separation distance along the propagation direction. , And the interaction of the light of the first spatial image with the material of the first part of the wafer and the interaction of the light of the second spatial image with the material of the second part of the wafer to the photoresist. Including patterning 3D semiconductor components,
By having at least one of the pulses in the pulse set having a first primary wavelength and at least one of the other pulses in the pulse set having a second primary wavelength different from the first primary wavelength, the first and second A method in which the spectra of the second pulse set are spectrally different and the separation distance is based on the difference between the first and second primary wavelengths.
2. 2. The method of clause 1, wherein at least one of the pulses in a pulse set passing through the mask during a single exposure pass comprises two or more primary wavelengths of light.
3. 3. The method of Clause 2, wherein each primary wavelength is separated by a spectral separation of 200 femtometres (fm) to 500 picometers (pm) from the nearest alternative primary wavelength.
4. The method of clause 1, wherein the separation distance between the first spatial image and the second spatial image varies during a single exposure pass.
5. The single exposure pass is the first exposure pass, and the method further passes through the mask during the second exposure pass and after the first exposure pass is completed, through a second set of light pulses of light beam. The method of clause 1, wherein the separation distance between the first spatial image and the second spatial image differs between the first and second exposure passes, including directing the light to the wafer.
6. The method of clause 1, wherein the separation distance between the first spatial image and the second spatial image is set prior to the single exposure pass and the separation distance does not change during the single exposure pass.
7. The method of clause 6, wherein the separation distance between the first spatial image and the second spatial image is set to adapt to one or more features of the photolithography system.
8. The pulse set includes a first group of optical pulses and a second group of optical pulses, each pulse of the first group of optical pulses having a first primary wavelength and a second group of optical pulses. Each pulse of has a second primary wavelength, and the method further
Controlling the light intensity of the first spatial image by controlling the characteristics of the first group of pulses, and controlling the light intensity of the second spatial image by controlling the characteristics of the second group of pulses. The method described in Clause 1, including.
9. The method of clause 8, wherein the characteristics of the first group include the number of pulses of the first group and the characteristics of the second group include the number of pulses of the second group.
10. Controlling the number of pulses in the first group involves determining the number of first pulses included in the first group of pulses before the start of a single exposure pass, including the pulses in the second group of pulses. The method of clause 9, wherein controlling the number determines the number of second pulses included in the second group of pulses prior to a single exposure pass.
11. Determining the number of first and second pulses is (a) receiving input from the operator and (b) accessing pre-defined settings associated with the photolithography system. The method according to clause 10, including one or more.
12. The method of Clause 8, wherein the characteristics of the first group of pulses include the intensity of each pulse of the first group and the characteristics of the second group of pulses include the intensity of each pulse of the second group.
13. The method of clause 1, wherein the first plane on the wafer and the second plane on the wafer are planes that are substantially perpendicular to the propagation direction.
14. 9. The method of clause 9, wherein the first group of pulses and the second group of pulses include all pulses that pass through the mask in a single exposure pass.
15. The first feature of the 3D semiconductor is formed in the first plane,
A second feature of the 3D semiconductor is formed in the second plane,
The method of clause 1, wherein the first and second features are separated from each other by side walls that extend substantially parallel to the propagation direction.
16. The method of clause 1, wherein the 3D semiconductor component comprises a 3D NAND flash memory component.
17. The first plane corresponds to the first focal plane, the second plane corresponds to the second focal plane, and the separation distance between the first plane and the second plane corresponds to the optical pulse passing through the mask. The method of clause 1, based on the difference in wavelengths of one or more of the pulses, or the difference in wavelength between individual pulses of a pulse set.
18. Light source and
A mask arranged to interact with the pulsed light beam from the light source,
A lithographic scanner device with a wafer holder and
A photolithography system with a control system coupled to a light source.
The control system is configured to cause the light source to emit a pulsed light beam toward the lithography scanner device during a single exposure pass, at least a first spatial image and a second spatial image during the single exposure pass. Is formed on a wafer supported by a wafer holder based on a set of light pulses of light pulses passing through the mask along the propagation direction, with a first spatial image in the first plane on the wafer. , The second spatial image is in the second plane on the wafer, the first plane and the second plane are separated from each other by a separation distance along the propagation direction, and the three-dimensional semiconductor component is the first. It is formed based on the interaction between the light of the spatial image and the material of the first part of the wafer and the light of the second spatial image and the material of the second part of the wafer.
At least one of the pulses in the pulse set has a first primary wavelength and
At least one of the other pulses in the pulse set has a second primary wavelength that is different from the first primary wavelength so that the spectra of the first and second sets of pulses are spectrally different.
A photolithography system in which the separation distance is based on the difference between the first primary wavelength and the second primary wavelength.
19. The control system comprises a computer-readable storage medium, one or more electronic processors combined with the computer-readable storage medium, an input / output interface, and recipes related to the photolithographic system are stored in the computer-readable storage medium. , The photolithographic system according to Clause 18.
20. The photolithography system according to Clause 19, wherein the recipe specifies the separation distance.
21. The photolithography system according to Clause 20, wherein the recipe specifies a separation distance for each wafer or lot.
22. The photolithography system according to Clause 18, wherein the light source comprises a krypton fluoride (KrF) gain medium or an argon fluoride (ArF) gain medium.

[0101] 他の実装形態は特許請求の範囲の範囲内にある。 [0101] Other implementations are within the scope of the claims.

Claims (22)

フォトリソグラフィシステムを使用して3次元半導体コンポーネントを形成する方法であって、
複数の光パルスを含むパルス光ビームを伝搬方向に沿ってマスクに向けることと、
単一露光パスの間に前記光ビームの前記光パルスの一セットを、前記マスクを通過させてウェーハに向けることと、
前記単一露光パスの間に、前記マスクを通過する前記パルスセット内の光パルスに基づいて、前記ウェーハ上に少なくとも第1の空間像及び第2の空間像を生成することであって、前記第1の空間像が前記ウェーハ上の第1の平面にあり、前記第2の空間像が前記ウェーハ上の第2の平面にあり、前記第1の平面及び前記第2の平面が前記伝搬方向に沿って分離距離だけ互いに離れていることと、
前記第1の空間像の光と前記ウェーハの第1の部分の材料との相互作用及び前記第2の空間像の光と前記ウェーハの第2の部分の材料との相互作用に基づいて、フォトレジストに前記3次元半導体コンポーネントをパターニングすることと、を含み、
前記パルスセット内のパルスの少なくとも1つが第1の一次波長を有し、前記パルスセット内の他のパルスの少なくとも1つが前記第1の一次波長と異なる第2の一次波長を有することにより、前記第1及び第2のパルスセットのスペクトルがスペクトル的に異なり、
前記分離距離が、前記第1の一次波長と前記第2の一次波長の差に基づく、方法。
A method of forming 3D semiconductor components using a photolithography system.
Directing a pulsed light beam containing multiple light pulses toward the mask along the propagation direction,
A set of said light pulses of said light beam passed through the mask and directed at the wafer during a single exposure pass.
To generate at least a first spatial image and a second spatial image on the wafer based on the optical pulses in the pulse set passing through the mask during the single exposure pass. The first spatial image is on the first plane on the wafer, the second spatial image is on the second plane on the wafer, and the first plane and the second plane are in the propagation direction. Being separated from each other by the separation distance along
A photo based on the interaction between the light of the first spatial image and the material of the first portion of the wafer and the interaction of the light of the second spatial image with the material of the second portion of the wafer. The resist includes patterning the three-dimensional semiconductor component.
By having at least one of the pulses in the pulse set having a first primary wavelength and at least one of the other pulses in the pulse set having a second primary wavelength different from the first primary wavelength. The spectra of the first and second pulse sets are spectrally different,
A method in which the separation distance is based on the difference between the first primary wavelength and the second primary wavelength.
前記単一露光パスの間に前記マスクを通過する前記パルスセット内のパルスの少なくとも1つが、2つ以上の光の一次波長を含む、請求項1に記載の方法。 The method of claim 1, wherein at least one of the pulses in the pulse set passing through the mask during the single exposure pass comprises two or more primary wavelengths of light. 各一次波長が、最も近い別の一次波長から200フェムトメートル(fm)から500ピコメートル(pm)のスペクトル分離によって分離される、請求項2に記載の方法。 The method of claim 2, wherein each primary wavelength is separated by a spectral separation of 200 femtometres (fm) to 500 picometers (pm) from another closest primary wavelength. 前記第1の空間像と前記第2の空間像の間の前記分離距離が、前記単一露光パスの間に変化する、請求項1に記載の方法。 The method of claim 1, wherein the separation distance between the first spatial image and the second spatial image varies during the single exposure pass. 前記単一露光パスが、第1の露光パスであり、
前記方法がさらに、第2の露光パスの間、かつ前記第1の露光パスが完了した後に、前記光ビームの光パルスの第2のセットを前記マスクを通過させて前記ウェーハに向けることを含み、
前記第1の空間像と前記第2の空間像の間の前記分離距離が、前記第1の露光パス及び前記第2の露光パスの間異なる、請求項1に記載の方法。
The single exposure pass is the first exposure pass.
The method further comprises directing a second set of light pulses of the light beam through the mask to the wafer during the second exposure pass and after the first exposure pass is completed. ,
The method of claim 1, wherein the separation distance between the first spatial image and the second spatial image differs between the first exposure pass and the second exposure pass.
前記第1の空間像と前記第2の空間像の間の前記分離距離が、前記単一露光パスに先立って設定され、
前記分離距離が、前記単一露光パスの間変化しない、請求項1に記載の方法。
The separation distance between the first spatial image and the second spatial image is set prior to the single exposure pass.
The method of claim 1, wherein the separation distance does not change during the single exposure pass.
前記第1の空間像と前記第2の空間像の間の前記分離距離が、前記フォトリソグラフィシステムの1つ以上のフィーチャに適応するように設定される、請求項6に記載の方法。 The method of claim 6, wherein the separation distance between the first spatial image and the second spatial image is set to adapt to one or more features of the photolithography system. 前記パルスセットが、光パルスの第1のグループと光パルスの第2のグループとを含み、
前記光パルスの第1のグループの各パルスが、前記第1の一次波長を有し、
前記光パルスの第2のグループの各パルスが、前記第2の一次波長を有し、
前記方法がさらに、
前記パルスの第1のグループの特性を制御することによって前記第1の空間像の光量を制御することと、
前記パルスの第2のグループの特性を制御することによって前記第2の空間像の光量を制御することと、
を含む、請求項1に記載の方法。
The pulse set includes a first group of optical pulses and a second group of optical pulses.
Each pulse in the first group of light pulses has the first primary wavelength.
Each pulse in the second group of light pulses has the second primary wavelength.
The above method further
Controlling the amount of light in the first spatial image by controlling the characteristics of the first group of pulses,
Controlling the amount of light in the second spatial image by controlling the characteristics of the second group of pulses,
The method according to claim 1, wherein the method comprises.
前記第1のグループの前記特性が、前記第1のグループのパルス数を含み、
前記第2のグループの前記特性が、前記第2のグループのパルス数を含む、請求項8に記載の方法。
The characteristics of the first group include the number of pulses of the first group.
The method of claim 8, wherein the characteristics of the second group include the number of pulses of the second group.
前記第1のグループの前記パルス数を制御することが、前記パルスの第1のグループに含む第1のパルス数を、前記単一露光パスが始まる前に決定することを含み、
前記パルスの第2のグループの前記パルス数を制御することが、前記パルスの第2のグループに含む第2のパルス数を、前記単一露光パスの前に決定することを含む、請求項9に記載の方法。
Controlling the number of pulses in the first group includes determining the number of first pulses included in the first group of pulses before the single exposure pass begins.
9. Controlling the number of pulses in a second group of pulses comprises determining the number of second pulses included in the second group of pulses prior to the single exposure pass. The method described in.
前記第1のパルス数及び前記第2のパルス数を決定することが、(a)オペレータからの入力を受け取ることと、(b)前記フォトリソグラフィシステムと関連付けられたあらかじめ規定された設定にアクセスすることと、の1つ以上を含む、請求項10に記載の方法。 Determining the number of first and second pulses (a) receives input from the operator and (b) accesses pre-defined settings associated with the photolithography system. The method of claim 10, comprising one or more of the above. 前記パルスの第1のグループの前記特性が、前記第1のグループの各パルスの強度を含み、
前記パルスの第2のグループの前記特性が、前記第2のグループの各パルスの強度を含む、請求項8に記載の方法。
The characteristics of the first group of the pulses include the intensity of each pulse of the first group.
8. The method of claim 8, wherein the properties of the second group of pulses include the intensity of each pulse of the second group.
前記ウェーハ上の前記第1の平面及び前記ウェーハ上の前記第2の平面が、前記伝搬方向に対して実質的に垂直な平面である、請求項1に記載の方法。 The method according to claim 1, wherein the first plane on the wafer and the second plane on the wafer are planes substantially perpendicular to the propagation direction. 前記パルスの第1のグループ及び前記パルスの第2のグループが、前記単一露光パスにおいて前記マスクを通過する全てのパルスを含む、請求項9に記載の方法。 9. The method of claim 9, wherein a first group of the pulses and a second group of the pulses include all pulses that pass through the mask in the single exposure pass. 前記3次元半導体の第1のフィーチャが、前記第1の平面に形成され、
前記3次元半導体の第2のフィーチャが、前記第2の平面に形成され、
前記第1及び第2のフィーチャが、前記伝搬方向に実質的に平行に延在する側壁によって互いから離れている、請求項1に記載の方法。
The first feature of the three-dimensional semiconductor is formed on the first plane.
A second feature of the three-dimensional semiconductor is formed on the second plane.
The method of claim 1, wherein the first and second features are separated from each other by a side wall extending substantially parallel to the propagation direction.
前記3次元半導体コンポーネントが、3次元NANDフラッシュメモリコンポーネントを含む、請求項1に記載の方法。 The method of claim 1, wherein the three-dimensional semiconductor component comprises a three-dimensional NAND flash memory component. 前記第1の平面が、第1の焦点面に対応し、
前記第2の平面が、第2の焦点面に対応し、
前記第1の平面と前記第2の平面の間の前記分離距離が、前記マスクを通過する光パルスの1つ以上の波長の差、又は前記パルスセットの個別のパルス間の波長の差に基づく、請求項1に記載の方法。
The first plane corresponds to the first focal plane,
The second plane corresponds to the second focal plane,
The separation distance between the first plane and the second plane is based on the difference in wavelength of one or more of the light pulses passing through the mask, or the difference in wavelength between the individual pulses of the pulse set. , The method according to claim 1.
光源と、
前記光源からのパルス光ビームと相互作用するように配置されたマスクと、ウェーハホルダと、を有するリソグラフィスキャナ装置と、
前記光源に結合された制御システムと、
を備えたフォトリソグラフィシステムであって、
前記制御システムが、前記光源に、単一露光パスの間に前記パルス光ビームを前記リソグラフィスキャナ装置に向けて放出させるように構成され、前記単一露光パスの間、少なくとも第1の空間像及び第2の空間像が、前記ウェーハホルダで支えられたウェーハ上に、前記マスクを伝搬方向に沿って通過する光パルスの一セットの光パルスに基づいて形成され、前記第1の空間像が前記ウェーハ上の第1の平面にあり、前記第2の空間像が前記ウェーハ上の第2の平面にあり、前記第1の平面及び前記第2の平面が、前記伝搬方向に沿って分離距離だけ互いに離れており、3次元半導体コンポーネントが、前記第1の空間像の光と前記ウェーハの第1の部分の材料との相互作用及び前記第2の空間像の光と前記ウェーハの第2の部分の材料との相互作用に基づいて形成され、
前記パルスセット内のパルスの少なくとも1つが、第1の一次波長を有し、
前記パルスセット内の他のパルスの少なくとも1つが、前記パルスの第1及び第2のセットのスペクトルがスペクトル的に異なるように、前記第1の一次波長と異なる第2の一次波長を有し、
前記分離距離が、前記第1の一次波長と前記第2の一次波長の差に基づく、フォトリソグラフィシステム。
Light source and
A lithography scanner apparatus having a mask and a wafer holder arranged to interact with a pulsed light beam from the light source.
A control system coupled to the light source
It is a photolithography system equipped with
The control system is configured to cause the light source to emit the pulsed light beam towards the lithography scanner device during a single exposure pass, at least a first spatial image and during the single exposure pass. A second spatial image is formed on the wafer supported by the wafer holder based on a set of optical pulses of optical pulses that pass through the mask along the propagation direction, and the first spatial image is said. It is on the first plane on the wafer, the second spatial image is on the second plane on the wafer, and the first plane and the second plane are separated by the separation distance along the propagation direction. Separated from each other, the three-dimensional semiconductor components interact with the light of the first spatial image and the material of the first portion of the wafer and the light of the second spatial image and the second portion of the wafer. Formed based on the interaction with the material of
At least one of the pulses in the pulse set has a first primary wavelength and
At least one of the other pulses in the pulse set has a second primary wavelength that is different from the first primary wavelength so that the spectra of the first and second sets of the pulses are spectrally different.
A photolithography system in which the separation distance is based on the difference between the first primary wavelength and the second primary wavelength.
前記制御システムが、コンピュータ可読記憶媒体と、前記コンピュータ可読記憶媒体と結合された1つ以上の電子プロセッサと、入出力インターフェイスと、を備え、
前記フォトリソグラフィシステムに関連するレシピが、前記コンピュータ可読記憶媒体に記憶される、請求項18に記載のフォトリソグラフィシステム。
The control system comprises a computer-readable storage medium, one or more electronic processors coupled to the computer-readable storage medium, and an input / output interface.
The photolithography system according to claim 18, wherein the recipe related to the photolithography system is stored in the computer-readable storage medium.
前記レシピが、前記分離距離を規定する、請求項19に記載のフォトリソグラフィシステム。 The photolithography system of claim 19, wherein the recipe defines the separation distance. 前記レシピが、ウェーハごと又はロットごとに前記分離距離を規定する、請求項20に記載のフォトリソグラフィシステム。 The photolithography system according to claim 20, wherein the recipe defines the separation distance for each wafer or lot. 前記光源が、フッ化クリプトン(KrF)利得媒質又はフッ化アルゴン(ArF)利得媒質を含む、請求項18に記載のフォトリソグラフィシステム。 The photolithography system of claim 18, wherein the light source comprises a krypton difluoride (KrF) gain medium or an argon fluoride (ArF) gain medium.
JP2020521348A 2017-10-19 2018-09-26 Forming multiple spatial images with a single lithography exposure pass Pending JP2021500603A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022110886A JP2022136121A (en) 2017-10-19 2022-07-11 Forming multiple aerial images in single lithography exposure pass

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762574628P 2017-10-19 2017-10-19
US62/574,628 2017-10-19
PCT/US2018/052949 WO2019079010A1 (en) 2017-10-19 2018-09-26 Forming multiple aerial images in a single lithography exposure pass

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022110886A Division JP2022136121A (en) 2017-10-19 2022-07-11 Forming multiple aerial images in single lithography exposure pass

Publications (1)

Publication Number Publication Date
JP2021500603A true JP2021500603A (en) 2021-01-07

Family

ID=66173445

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020521348A Pending JP2021500603A (en) 2017-10-19 2018-09-26 Forming multiple spatial images with a single lithography exposure pass
JP2022110886A Pending JP2022136121A (en) 2017-10-19 2022-07-11 Forming multiple aerial images in single lithography exposure pass

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022110886A Pending JP2022136121A (en) 2017-10-19 2022-07-11 Forming multiple aerial images in single lithography exposure pass

Country Status (6)

Country Link
US (2) US11526082B2 (en)
JP (2) JP2021500603A (en)
KR (3) KR102484685B1 (en)
CN (2) CN118011728A (en)
TW (4) TWI788944B (en)
WO (1) WO2019079010A1 (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11287743B2 (en) 2018-03-12 2022-03-29 Asml Netherlands B.V. Control system and method
US11526083B2 (en) 2018-03-30 2022-12-13 Cymer, Llc Spectral feature selection and pulse timing control of a pulsed light beam
US11892403B2 (en) 2019-06-20 2024-02-06 Cilag Gmbh International Image synchronization without input clock and data transmission clock in a pulsed fluorescence imaging system
US11147436B2 (en) 2019-06-20 2021-10-19 Cilag Gmbh International Image rotation in an endoscopic fluorescence imaging system
US11716533B2 (en) 2019-06-20 2023-08-01 Cilag Gmbh International Image synchronization without input clock and data transmission clock in a pulsed fluorescence imaging system
US11671691B2 (en) 2019-06-20 2023-06-06 Cilag Gmbh International Image rotation in an endoscopic laser mapping imaging system
US11754500B2 (en) 2019-06-20 2023-09-12 Cilag Gmbh International Minimizing image sensor input/output in a pulsed fluorescence imaging system
US11986160B2 (en) * 2019-06-20 2024-05-21 Cllag GmbH International Image synchronization without input clock and data transmission clock in a pulsed hyperspectral imaging system
US11172811B2 (en) 2019-06-20 2021-11-16 Cilag Gmbh International Image rotation in an endoscopic fluorescence imaging system
US11516387B2 (en) 2019-06-20 2022-11-29 Cilag Gmbh International Image synchronization without input clock and data transmission clock in a pulsed hyperspectral, fluorescence, and laser mapping imaging system
US11134832B2 (en) 2019-06-20 2021-10-05 Cilag Gmbh International Image rotation in an endoscopic hyperspectral, fluorescence, and laser mapping imaging system
WO2021015919A1 (en) 2019-07-23 2021-01-28 Cymer, Llc Method of compensating wavelength error induced by repetition rate deviation
EP4050416A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Lithographic method
KR20230122610A (en) 2020-12-24 2023-08-22 에이에스엠엘 네델란즈 비.브이. Lithography method
WO2023096768A1 (en) * 2021-11-29 2023-06-01 Cymer, Llc Control voltage threshold selection to facilitate multifocal imaging
WO2024030478A1 (en) * 2022-08-05 2024-02-08 Cymer, Llc Apparatus for and method of control for multifocal imaging

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831734A (en) * 1994-07-19 1996-02-02 Sony Corp Semiconductor exposure device and optimizing method of multiple imagery exposure method
JP2001092147A (en) * 1999-09-20 2001-04-06 Ricoh Co Ltd Method and device for forming resist pattern, resist pattern and micro lens
JP2004046003A (en) * 2002-07-15 2004-02-12 Seiko Epson Corp Fine structure, manufacturing method of fine structure and manufacturing device thereof
JP2005513769A (en) * 2001-12-17 2005-05-12 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for forming an optical image, diffractive element used in the method, and apparatus for carrying out the method
JP2005158819A (en) * 2003-11-20 2005-06-16 Elpida Memory Inc Exposure method
JP2006216949A (en) * 2005-02-01 2006-08-17 Asml Netherlands Bv Method and device for controlling iso-dense bias in lithography
JP2007511074A (en) * 2003-11-03 2007-04-26 サイマー インコーポレイテッド RELAX gas discharge laser lithography light source
JP2008504684A (en) * 2004-06-23 2008-02-14 サイマー インコーポレイテッド Laser output beam wavefront splitter for bandwidth spectrum control
JP2009164296A (en) * 2007-12-28 2009-07-23 Canon Inc Exposure apparatus and method of manufacturing device

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56130707A (en) 1980-03-18 1981-10-13 Canon Inc Photo-printing device
US4937619A (en) 1986-08-08 1990-06-26 Hitachi, Ltd. Projection aligner and exposure method
JPH0810666B2 (en) 1986-09-05 1996-01-31 株式会社日立製作所 Pattern formation method
US4869999A (en) 1986-08-08 1989-09-26 Hitachi, Ltd. Method of forming pattern and projection aligner for carrying out the same
JP2619473B2 (en) 1987-06-17 1997-06-11 株式会社日立製作所 Reduction projection exposure method
WO1989002175A1 (en) 1987-08-25 1989-03-09 Kabushiki Kaisha Komatsu Seisakusho Device for controlling the output of excimer laser
JP2619419B2 (en) 1987-10-07 1997-06-11 株式会社日立製作所 Reduction projection exposure equipment
JP2940553B2 (en) 1988-12-21 1999-08-25 株式会社ニコン Exposure method
JPH061754B2 (en) 1989-09-01 1994-01-05 株式会社日立製作所 Pattern exposure equipment
US5343270A (en) 1990-10-30 1994-08-30 Nikon Corporation Projection exposure apparatus
JP3234891B2 (en) * 1990-10-30 2001-12-04 株式会社ニコン Projection exposure equipment
JP2796899B2 (en) 1991-02-16 1998-09-10 住友重機械工業株式会社 Illumination method for band light and multicolor light in a chromatic aberration double focus device
JP2830492B2 (en) 1991-03-06 1998-12-02 株式会社ニコン Projection exposure apparatus and projection exposure method
WO1994007160A1 (en) 1992-09-11 1994-03-31 The Board Of Trustees Of The Leland Stanford, Junior University Chromatic focal pencil beam-generating apparatus
JP2852169B2 (en) 1993-02-25 1999-01-27 日本電気株式会社 Projection exposure method and apparatus
US5303002A (en) * 1993-03-31 1994-04-12 Intel Corporation Method and apparatus for enhancing the focus latitude in lithography
JP3255312B2 (en) 1993-04-28 2002-02-12 株式会社ニコン Projection exposure equipment
JPH07220988A (en) 1994-01-27 1995-08-18 Canon Inc Method and apparatus for projection exposing and manufacture of device using the same
US6322220B1 (en) 1994-02-14 2001-11-27 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method using the same
JP2576798B2 (en) 1994-10-07 1997-01-29 株式会社日立製作所 Projection exposure method and projection exposure apparatus
US6192064B1 (en) 1997-07-01 2001-02-20 Cymer, Inc. Narrow band laser with fine wavelength control
US6853653B2 (en) 1997-07-22 2005-02-08 Cymer, Inc. Laser spectral engineering for lithographic process
US6671294B2 (en) 1997-07-22 2003-12-30 Cymer, Inc. Laser spectral engineering for lithographic process
JP3031316B2 (en) 1997-11-17 2000-04-10 株式会社ニコン Exposure method
JPH11265842A (en) * 1998-03-17 1999-09-28 Nikon Corp Charged particle beam exposure system
JP3123548B2 (en) 1998-06-30 2001-01-15 キヤノン株式会社 Exposure method and exposure apparatus
WO2015012982A1 (en) 2013-07-22 2015-01-29 Johnson Kenneth C Scanned-spot-array duv lithography system
JP3544892B2 (en) 1999-05-12 2004-07-21 株式会社東京精密 Appearance inspection method and apparatus
JP2001194321A (en) 2000-01-12 2001-07-19 Tokyo Seimitsu Co Ltd Semiconductor wafer inspection device
US20030178583A1 (en) 2000-09-18 2003-09-25 Kampherbeek Bert Jan Field emission photo-cathode array for lithography system and lithography system provided with such an array
JP2004510281A (en) 2000-09-25 2004-04-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Optical scanning device
TWI285295B (en) 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
JPWO2002073670A1 (en) 2001-03-13 2004-07-02 株式会社ニコン Exposure method and apparatus, and device manufacturing method
US20030022112A1 (en) 2001-07-27 2003-01-30 Juliana Arifin Structuring method
JP2003133216A (en) * 2001-10-26 2003-05-09 Canon Inc Exposure method and exposure apparatus
JP4154144B2 (en) * 2001-11-13 2008-09-24 キヤノン株式会社 Exposure apparatus, light emission control method, and device manufacturing method
JP2003264134A (en) 2002-03-08 2003-09-19 Nikon Corp Stage controller, exposure apparatus, and device manufacturing method
US7002747B2 (en) * 2003-01-15 2006-02-21 Asml Holding N.V. Diffuser plate and method of making same
TWI334511B (en) 2003-03-31 2010-12-11 Asml Masktools Bv Source and mask optimization
GB2402230B (en) 2003-05-30 2006-05-03 Xsil Technology Ltd Focusing an optical beam to two foci
EP1517183A1 (en) 2003-08-29 2005-03-23 ASML Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
US6829040B1 (en) 2003-11-07 2004-12-07 Advanced Micro Devices, Inc. Lithography contrast enhancement technique by varying focus with wavelength modulation
US7087914B2 (en) * 2004-03-17 2006-08-08 Cymer, Inc High repetition rate laser produced plasma EUV light source
TWI396225B (en) 2004-07-23 2013-05-11 尼康股份有限公司 Image surface measuring method, exposuring method, device manufacturing method, and exposuring device
JP4222296B2 (en) 2004-11-22 2009-02-12 住友電気工業株式会社 Laser processing method and laser processing apparatus
US7534552B2 (en) 2004-12-23 2009-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060160037A1 (en) 2005-01-18 2006-07-20 International Business Machines Corporation Automated sub-field blading for leveling optimization in lithography exposure tool
US20060209410A1 (en) 2005-03-18 2006-09-21 Smith Adlai H Method and apparatus for compensation or amelioration of lens field curvature and other imaging defects by utilizing a multi-wavelength setting illumination source
US7525638B2 (en) 2005-03-23 2009-04-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7286207B2 (en) 2005-04-28 2007-10-23 Infineon Technologies, Ag Exposing a semiconductor wafer using two different spectral wavelengths and adjusting for chromatic aberration
US7443484B2 (en) 2005-05-13 2008-10-28 Infineon Technologies Ag Method for exposing a semiconductor wafer by applying periodic movement to a component
EP1724641A1 (en) 2005-05-20 2006-11-22 Infineon Technologies AG Lithograpic projection apparatus and method of exposing a semiconductor wafer with a pattern from a mask
US20070013889A1 (en) 2005-07-12 2007-01-18 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device manufactured thereby having an increase in depth of focus
EP2037488A4 (en) 2006-06-09 2011-11-23 Nikon Corp Pattern formation method, pattern formation device, exposure method, exposure device, and device manufacturing method
US20080018943A1 (en) 2006-06-19 2008-01-24 Eastman Kodak Company Direct engraving of flexographic printing plates
JP2009010231A (en) * 2007-06-28 2009-01-15 Canon Inc Exposure device and device manufacturing method
US7969554B2 (en) * 2007-07-17 2011-06-28 International Business Machines Corporation Method, computer program, apparatus and system providing printing for an illumination mask for three-dimensional images
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
US8144739B2 (en) 2008-10-24 2012-03-27 Cymer, Inc. System method and apparatus for selecting and controlling light source bandwidth
JP2010122305A (en) * 2008-11-17 2010-06-03 Sony Corp Exposure apparatus, exposure method, micromachining device, and micromachining method
DE102008064504B4 (en) 2008-12-22 2011-04-07 Carl Zeiss Smt Gmbh Projection exposure method and projection exposure apparatus for microlithography
US8520186B2 (en) 2009-08-25 2013-08-27 Cymer, Llc Active spectral control of optical source
NL2005424A (en) 2009-10-30 2011-05-02 Asml Netherlands Bv Lithographic method and apparatus.
JP2011110591A (en) 2009-11-27 2011-06-09 Pioneer Electronic Corp Laser machining device
KR20120116918A (en) * 2010-02-02 2012-10-23 가부시키가이샤 니콘 Exposure method, exposure apparatus, pattern forming method, and device manufacturing method
US8837536B2 (en) 2010-04-07 2014-09-16 Cymer, Llc Method and apparatus for controlling light bandwidth
KR101940843B1 (en) * 2011-07-20 2019-01-21 칼 짜이스 에스엠에스 엘티디 Method and apparatus for determining a critical dimension variation of a photolithographic mask
JP2013062484A (en) 2011-08-24 2013-04-04 Gigaphoton Inc Laser device
TWI497231B (en) * 2011-11-18 2015-08-21 David Arthur Markle Apparatus and method of direct writing with photons beyond the diffraction limit
JP2013156448A (en) * 2012-01-30 2013-08-15 Nikon Corp Laser device, exposure device and inspection device
US9207119B2 (en) 2012-04-27 2015-12-08 Cymer, Llc Active spectral control during spectrum synthesis
DE102012211256A1 (en) 2012-06-29 2014-01-02 Carl Zeiss Smt Gmbh Projection exposure machine for projection lithography
JPWO2014192704A1 (en) 2013-05-27 2017-02-23 ギガフォトン株式会社 Laser apparatus and method for controlling actuator
US9715180B2 (en) 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
WO2015008365A1 (en) 2013-07-18 2015-01-22 ギガフォトン株式会社 Exposure device
TWI646864B (en) 2013-07-22 2019-01-01 美商克萊譚克公司 System and method for generation of extreme ultraviolet light
US9599510B2 (en) * 2014-06-04 2017-03-21 Cymer, Llc Estimation of spectral feature of pulsed light beam
US9972491B2 (en) * 2014-06-27 2018-05-15 Toshiba Memory Corporation Mask data generation method, mask data generation system, and recording medium
US9989864B2 (en) * 2014-07-16 2018-06-05 Asml Netherlands B.V. Lithographic method and apparatus
US9625824B2 (en) * 2015-04-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd Extreme ultraviolet lithography collector contamination reduction
JP6585174B2 (en) 2015-08-07 2019-10-02 ギガフォトン株式会社 Narrow band laser equipment
CN105445834B (en) * 2015-10-26 2017-09-01 苏州大学 The preparation method and exposure device of a kind of large scale diffraction grating
CN108352673B (en) 2015-12-10 2020-07-24 极光先进雷射株式会社 Narrow band laser device and spectral line width measuring device
WO2017102406A1 (en) 2015-12-18 2017-06-22 Stichting Vu Inspection apparatus and method
NL2017844A (en) 2015-12-22 2017-06-28 Asml Netherlands Bv Focus control arrangement and method
NL2017943A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology methods, metrology apparatus and device manufacturing method
US9989866B2 (en) 2016-10-17 2018-06-05 Cymer, Llc Wafer-based light source parameter control
US10096967B2 (en) 2016-12-07 2018-10-09 Cymer, Llc Wavelength control system for pulse-by-pulse wavelength target tracking in DUV light source

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831734A (en) * 1994-07-19 1996-02-02 Sony Corp Semiconductor exposure device and optimizing method of multiple imagery exposure method
JP2001092147A (en) * 1999-09-20 2001-04-06 Ricoh Co Ltd Method and device for forming resist pattern, resist pattern and micro lens
JP2005513769A (en) * 2001-12-17 2005-05-12 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for forming an optical image, diffractive element used in the method, and apparatus for carrying out the method
JP2004046003A (en) * 2002-07-15 2004-02-12 Seiko Epson Corp Fine structure, manufacturing method of fine structure and manufacturing device thereof
JP2007511074A (en) * 2003-11-03 2007-04-26 サイマー インコーポレイテッド RELAX gas discharge laser lithography light source
JP2005158819A (en) * 2003-11-20 2005-06-16 Elpida Memory Inc Exposure method
JP2008504684A (en) * 2004-06-23 2008-02-14 サイマー インコーポレイテッド Laser output beam wavefront splitter for bandwidth spectrum control
JP2006216949A (en) * 2005-02-01 2006-08-17 Asml Netherlands Bv Method and device for controlling iso-dense bias in lithography
JP2009164296A (en) * 2007-12-28 2009-07-23 Canon Inc Exposure apparatus and method of manufacturing device

Also Published As

Publication number Publication date
KR20220111740A (en) 2022-08-09
TWI788944B (en) 2023-01-01
JP2022136121A (en) 2022-09-15
US11526082B2 (en) 2022-12-13
KR20200055130A (en) 2020-05-20
CN111433674A (en) 2020-07-17
US20230152707A1 (en) 2023-05-18
US12001144B2 (en) 2024-06-04
TWI704430B (en) 2020-09-11
TW201931027A (en) 2019-08-01
TW202043944A (en) 2020-12-01
KR102484685B1 (en) 2023-01-03
US20200301286A1 (en) 2020-09-24
CN111433674B (en) 2024-01-09
TWI738460B (en) 2021-09-01
KR20230006608A (en) 2023-01-10
TW202338523A (en) 2023-10-01
CN118011728A (en) 2024-05-10
KR102428750B1 (en) 2022-08-02
WO2019079010A1 (en) 2019-04-25
TW202212995A (en) 2022-04-01

Similar Documents

Publication Publication Date Title
TWI738460B (en) Forming multiple aerial images in a single lithography exposure pass
JP6792068B2 (en) Control of spectral features of pulsed light beams
US8520186B2 (en) Active spectral control of optical source
TWI830501B (en) Laser apparatuses and methods of operating the same
JP2024518258A (en) Forming multiple aerial images in a single lithographic exposure pass
TWI814147B (en) Multifocal imaging with increased wavelength separation
CN118339516A (en) Controlling voltage threshold selection to facilitate multifocal imaging

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200529

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210517

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210810

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211116

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220310

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220711

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220711

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220830

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220831

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20221014

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20221018

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20230404

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240109