JP2020161827A - Processing system - Google Patents

Processing system Download PDF

Info

Publication number
JP2020161827A
JP2020161827A JP2020098377A JP2020098377A JP2020161827A JP 2020161827 A JP2020161827 A JP 2020161827A JP 2020098377 A JP2020098377 A JP 2020098377A JP 2020098377 A JP2020098377 A JP 2020098377A JP 2020161827 A JP2020161827 A JP 2020161827A
Authority
JP
Japan
Prior art keywords
focus ring
process module
processing system
wafer
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020098377A
Other languages
Japanese (ja)
Other versions
JP6824461B2 (en
Inventor
繁 石澤
Shigeru Ishizawa
繁 石澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2020098377A priority Critical patent/JP6824461B2/en
Publication of JP2020161827A publication Critical patent/JP2020161827A/en
Application granted granted Critical
Publication of JP6824461B2 publication Critical patent/JP6824461B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)

Abstract

To provide a processing system for improving productivity.SOLUTION: A processing system includes: a process module; a mounting table that is provided in the process module and has a focus ring mounting surface on which a focus ring is mounted; an elevating/lowering pin for elevating/lowering the focus ring with respect to the focus ring mounting surface; a transport device for transporting the focus ring; and a control unit. The control unit executes steps of: performing electrostatic attraction on and holding the focus ring which is carried in the process module and mounted on the focus ring mounting surface after position correction; stopping attraction on the focus ring; by the elevating/lowering pin, separating the focus ring from the focus ring mounting surface after the stopping step; and, by the transport device, exporting the focus ring from an inside of the process module without opening the process module to atmosphere.SELECTED DRAWING: Figure 3

Description

本発明は、処理システムに関する。 The present invention relates to a processing system.

処理室の内部に設けられた載置台に基板を載置してプラズマ処理を行うプラズマ処理装置が知られている。このようなプラズマ処理装置においては、プラズマ処理を繰り返し行うことにより徐々に消耗するような消耗部品が存在する(例えば、特許文献1参照)。 A plasma processing apparatus is known in which a substrate is placed on a mounting table provided inside a processing chamber to perform plasma processing. In such a plasma processing apparatus, there are consumable parts that are gradually consumed by repeating the plasma processing (see, for example, Patent Document 1).

消耗部品としては、例えば載置台の上面における基板の周囲に設けられるフォーカスリングが挙げられる。フォーカスリングは、プラズマに曝されることにより削られるため、定期的に交換する必要がある。 Examples of consumable parts include a focus ring provided around the substrate on the upper surface of the mounting table. The focus ring is scraped by exposure to plasma and needs to be replaced regularly.

そこで、従来では、定期的に処理室を大気開放し、作業者が手動でフォーカスリングの交換を行っている。 Therefore, conventionally, the processing chamber is opened to the atmosphere on a regular basis, and the operator manually replaces the focus ring.

特開2006−253541号公報Japanese Unexamined Patent Publication No. 2006-253541

しかしながら、処理室を大気開放する方法では、フォーカスリングの交換に長い時間を要し、フォーカスリングの交換を行っている間、処理室内において基板に処理を行うことができないため、生産性が低下する。 However, in the method of opening the processing chamber to the atmosphere, it takes a long time to replace the focus ring, and the substrate cannot be processed in the processing chamber while the focus ring is being replaced, so that the productivity is lowered. ..

本開示は、生産性を向上させることが可能な技術を提供する。 The present disclosure provides a technique capable of improving productivity.

本開示の一態様の処理システムは、プロセスモジュールと、前記プロセスモジュール内に設けられ、フォーカスリングを載置するフォーカスリング載置面を有する載置台と、前記フォーカスリングを前記フォーカスリング載置面に対して昇降させる昇降ピンと、前記フォーカスリングを搬送する搬送装置と、制御部と、を有し、前記制御部は、前記プロセスモジュール内に搬入され、前記フォーカスリング載置面に位置補正されて載置された前記フォーカスリングを静電吸着して保持する工程と、前記フォーカスリングの吸着を停止する工程と、前記停止する工程の後に前記昇降ピンが前記フォーカスリングを前記フォーカスリング載置面から離間させる工程と、前記プロセスモジュールを大気開放することなく、前記搬送装置が前記フォーカスリングを前記プロセスモジュール内から搬出する工程と、を実行するように構成される。 The processing system of one aspect of the present disclosure includes a process module, a mounting table provided in the process module and having a focus ring mounting surface on which the focus ring is mounted, and the focus ring on the focus ring mounting surface. It has an elevating pin for elevating and lowering the focus ring, a transport device for transporting the focus ring, and a control unit. After the step of electrostatically attracting and holding the placed focus ring, the step of stopping the suction of the focus ring, and the step of stopping the focus ring, the elevating pin separates the focus ring from the focus ring mounting surface. The step of causing the process module to be carried out and the step of carrying out the focus ring from the inside of the process module by the transfer device without opening the process module to the atmosphere are configured to be executed.

本開示によれば、生産性を向上させることができる。 According to the present disclosure, productivity can be improved.

一実施形態のプラズマ処理システムを示す概略構成図Schematic configuration diagram showing a plasma processing system of one embodiment 一実施形態のプラズマ処理装置を示す概略断面図Schematic cross-sectional view showing the plasma processing apparatus of one embodiment 一実施形態のフォーカスリング交換方法を説明するためのフローチャートFlow chart for explaining the focus ring replacement method of one embodiment 図1の処理ユニット側搬送装置を説明するための図The figure for demonstrating the processing unit side transfer apparatus of FIG. 図1の処理ユニット側搬送装置がウエハを保持した状態を示す図The figure which shows the state which the processing unit side transfer apparatus of FIG. 1 holds a wafer. 図1の処理ユニット側搬送装置がフォーカスリングを保持した状態を示す図The figure which shows the state which the processing unit side transfer device of FIG. 1 holds a focus ring. 図1の位置検出センサを説明するための図The figure for demonstrating the position detection sensor of FIG. ウエハの位置を補正する方法を説明するための図The figure for demonstrating the method of correcting the position of a wafer. フォーカスリングの位置を補正する方法を説明するための図Diagram for explaining how to correct the position of the focus ring

以下、本発明の実施形態について添付の図面を参照しながら説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することによって重複した説明を省く。 Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings. In the present specification and the drawings, components having substantially the same functional configuration are designated by the same reference numerals to omit duplicate explanations.

本発明の一実施形態のフォーカスリング交換方法は、処理室を大気開放することなく、搬送装置により処理室内からフォーカスリングを搬出し、処理室内をクリーニング処理し、搬送装置により処理室内にフォーカスリングを搬入するものである。フォーカスリングは、処理室の内部に設けられ、基板を載置する載置台の上面において、基板の周囲を囲むように載置されている部材であり、エッチングの均一性を向上させるためのものである。 In the focus ring replacement method of the embodiment of the present invention, the focus ring is carried out from the processing chamber by a transport device, the processing chamber is cleaned, and the focus ring is moved into the processing chamber by the transport device without opening the treatment chamber to the atmosphere. It is to be carried in. The focus ring is a member that is provided inside the processing chamber and is placed so as to surround the periphery of the substrate on the upper surface of the mounting table on which the substrate is placed, and is for improving the uniformity of etching. is there.

本発明の一実施形態のフォーカスリング交換方法は、フォーカスリングが用いられる各種のプラズマ処理装置に適用可能である。 The focus ring replacement method of the embodiment of the present invention is applicable to various plasma processing devices in which the focus ring is used.

(プラズマ処理システム)
まず、本発明の一実施形態のプラズマ処理システムについて説明する。図1は、一実施形態のプラズマ処理システムを示す概略構成図である。
(Plasma processing system)
First, the plasma processing system according to the embodiment of the present invention will be described. FIG. 1 is a schematic configuration diagram showing a plasma processing system of one embodiment.

図1に示されるように、プラズマ処理システムは、処理ユニットPUと、搬送ユニットTUとを有するクラスタツールである。 As shown in FIG. 1, the plasma processing system is a cluster tool having a processing unit PU and a transport unit TU.

処理ユニットPUは、半導体ウエハ(以下、「ウエハW」という。)等の基板に対し、成膜処理、エッチング処理等の所定の処理を行うユニットである。処理ユニットPUは、プロセスモジュールPM1〜PM6と、トランスファモジュールTMと、ロードロックモジュールLL1、LL2とを有する。なお、プロセスモジュールPM及びロードロックモジュールLLの数は、上記に限定されるものではない。 The processing unit PU is a unit that performs predetermined processing such as film formation processing and etching processing on a substrate such as a semiconductor wafer (hereinafter referred to as “wafer W”). The processing unit PU includes process modules PM1 to PM6, a transfer module TM, and load lock modules LL1 and LL2. The number of process modules PM and load lock modules LL is not limited to the above.

プロセスモジュールPM1〜PM6は、トランスファモジュールTMの周囲に接続されており、ウエハWに対し、成膜処理、エッチング処理等の所定の処理を行う。なお、プロセスモジュールPM1〜PM6は、同種の処理を行うものであってもよく、異種の処理を行うものであってもよい。 The process modules PM1 to PM6 are connected to the periphery of the transfer module TM, and perform a predetermined process such as a film forming process and an etching process on the wafer W. The process modules PM1 to PM6 may perform the same type of processing or may perform different types of processing.

プロセスモジュールPM1〜PM6の内部には、ウエハWを載置するための載置台3がそれぞれ設けられている。また、プロセスモジュールPM1〜PM6には、図示は省略するが、例えばパージガスを導入するガス導入系、処理ガスを導入するガス導入系及び真空引き可能な排気系が設けられている。 Inside the process modules PM1 to PM6, mounting tables 3 for mounting the wafer W are provided. Although not shown, the process modules PM1 to PM6 are provided with, for example, a gas introduction system for introducing purge gas, a gas introduction system for introducing processing gas, and an exhaust system capable of evacuating.

プロセスモジュールPM1〜PM6では、予め制御部CUの記憶部等に記憶された処理ステップを示すレシピ等に基づいて、ウエハWに所定の処理が行われる。また、プロセスモジュールPM1〜PM6では、予め制御部CUの記憶部等に記憶された所定のタイミングでフォーカスリングの交換が行われる。なお、プロセスモジュールPMの詳細及びフォーカスリング交換方法の詳細については後述する。 In the process modules PM1 to PM6, a predetermined process is performed on the wafer W based on a recipe or the like indicating a process step stored in advance in a storage unit or the like of the control unit CU. Further, in the process modules PM1 to PM6, the focus ring is replaced at a predetermined timing stored in advance in the storage unit or the like of the control unit CU. The details of the process module PM and the focus ring replacement method will be described later.

トランスファモジュールTMは、対向する一対の辺が他の辺よりも長い六角形状に形成されている。トランスファモジュールTMの先端側の短い2辺には、それぞれゲートバルブG3、G4を介してプロセスモジュールPM3、PM4が接続されている。トランスファモジュールTMの基端側の短い2辺には、それぞれゲートバルブG7、G8を介してロードロックモジュールLL1、LL2が接続されている。トランスファモジュールTMの一方の長い辺には、それぞれゲートバルブG1、G2を介してプロセスモジュールPM1、PM2が接続されている。トランスファモジュールTMの他方の長い辺には、それぞれゲートバルブG5、G6を介してプロセスモジュールPM5、PM6が接続されている。 The transfer module TM is formed in a hexagonal shape in which a pair of opposite sides are longer than the other sides. Process modules PM3 and PM4 are connected to the two short sides on the tip side of the transfer module TM via gate valves G3 and G4, respectively. The load lock modules LL1 and LL2 are connected to the two short sides of the transfer module TM on the base end side via gate valves G7 and G8, respectively. Process modules PM1 and PM2 are connected to one long side of the transfer module TM via gate valves G1 and G2, respectively. The process modules PM5 and PM6 are connected to the other long side of the transfer module TM via gate valves G5 and G6, respectively.

トランスファモジュールTMは、プロセスモジュールPM1〜PM6の間、及び、プロセスモジュールPM1〜PM6とロードロックモジュールLL1、LL2との間でウエハW及びフォーカスリングを搬送(搬出及び搬入)する機能を有する。トランスファモジュールTMには、図示は省略するが、例えばパージガスを導入するガス導入系及び真空引き可能な排気系が設けられている。 The transfer module TM has a function of transporting (carrying out and carrying in) the wafer W and the focus ring between the process modules PM1 to PM6 and between the process modules PM1 to PM6 and the load lock modules LL1 and LL2. Although not shown, the transfer module TM is provided with, for example, a gas introduction system for introducing purge gas and an exhaust system capable of evacuating.

トランスファモジュールTMの内部には、プロセスモジュールPM1〜PM6、ロードロックモジュールLL1、LL2の各モジュール間でウエハW及びフォーカスリングを搬送するための処理ユニット側搬送装置TR1が設けられている。なお、処理ユニット側搬送装置TR1の詳細については後述する。 Inside the transfer module TM, a processing unit-side transfer device TR1 for transporting the wafer W and the focus ring between the process modules PM1 to PM6 and the load lock modules LL1 and LL2 is provided. The details of the processing unit side transfer device TR1 will be described later.

トランスファモジュールTMのゲートバルブG1の近傍であって、トランスファモジュールTMからプロセスモジュールPM1へ搬送されるウエハW及びフォーカスリングの搬送経路上には、位置検出センサS11、S12が設けられている。位置検出センサS11、S12は、互いの距離がウエハWの外径よりも小さく、フォーカスリングの内径よりも小さくなるように配置されている。これにより、プロセスモジュールPM1へ搬送されるウエハW及びフォーカスリングの位置を補正することができる。なお、位置検出センサS11、S12の詳細については後述する。 Position detection sensors S11 and S12 are provided on the transfer path of the wafer W and the focus ring that are transferred from the transfer module TM to the process module PM1 in the vicinity of the gate valve G1 of the transfer module TM. The position detection sensors S11 and S12 are arranged so that the distance between them is smaller than the outer diameter of the wafer W and smaller than the inner diameter of the focus ring. As a result, the positions of the wafer W and the focus ring transported to the process module PM1 can be corrected. The details of the position detection sensors S11 and S12 will be described later.

また、トランスファモジュールTMのゲートバルブG2〜G6の近傍であって、トランスファモジュールTMからプロセスモジュールPM2〜6へ搬送されるウエハW及びフォーカスリングの搬送経路上にも同様に、位置検出センサが設けられている。即ち、トランスファモジュールTMには、位置検出センサS11、S12、S21、S22、S31、S32、S41、S42、S51、S52、S61、S62が設けられている。 Similarly, a position detection sensor is provided in the vicinity of the gate valves G2 to G6 of the transfer module TM on the transfer path of the wafer W and the focus ring transported from the transfer module TM to the process modules PM2 to 6. ing. That is, the transfer module TM is provided with position detection sensors S11, S12, S21, S22, S31, S32, S41, S42, S51, S52, S61, and S62.

ロードロックモジュールLL1、LL2は、それぞれゲートバルブG9、G10を介して搬送モジュールLMに接続されている。ロードロックモジュールLL1、LL2は、搬送モジュールLMから搬送されるウエハWを一時的に保持して圧力調整後にトランスファモジュールTMへ搬送する機能を有している。また、ロードロックモジュールLL1、LL2は、トランスファモジュールTMから搬送されるウエハWを一時的に保持して圧力調整後に搬送モジュールLMへ搬送する機能を有している。 The load lock modules LL1 and LL2 are connected to the transport module LM via gate valves G9 and G10, respectively. The load lock modules LL1 and LL2 have a function of temporarily holding the wafer W transported from the transport module LM and transporting the wafer W to the transfer module TM after pressure adjustment. Further, the load lock modules LL1 and LL2 have a function of temporarily holding the wafer W transferred from the transfer module TM and transferring the wafer W to the transfer module LM after adjusting the pressure.

ロードロックモジュールLL1、LL2の内部には、それぞれウエハWを載置可能な受渡し台が設けられている。また、ロードロックモジュールLL1、LL2には、図示は省略するが、残留物等のパーティクルをパージ及び排気可能な排気系が設けられている。 Inside the load lock modules LL1 and LL2, a delivery table on which the wafer W can be placed is provided. Further, although not shown, the load lock modules LL1 and LL2 are provided with an exhaust system capable of purging and exhausting particles such as residues.

このような処理ユニットPUでは、プロセスモジュールPM1〜PM6とトランスファモジュールTMとの間及びトランスファモジュールTMとロードロックモジュールLL1、LL2との間はそれぞれ気密に開閉可能となっている。また、搬送モジュールLMとロードロックモジュールLL1、LL2との間もそれぞれ気密に開閉可能となっている。 In such a processing unit PU, the process modules PM1 to PM6 and the transfer module TM and the transfer module TM and the load lock modules LL1 and LL2 can be opened and closed airtightly, respectively. Further, the transfer module LM and the load lock modules LL1 and LL2 can be opened and closed airtightly, respectively.

搬送ユニットTUは、後述するFOUP(Front Opening Unified Pod)と処理ユニットPUとの間でウエハWを搬送するユニットであり、搬送モジュールLMを有している。 The transfer unit TU is a unit that transfers the wafer W between the FOUP (Front Opening Unified Pod) described later and the processing unit PU, and has a transfer module LM.

搬送モジュールLMは、矩形状に形成されている。搬送モジュールLMの一方の長辺には、複数のロードポートLP1〜LP3が並設されている。ロードポートLP1〜LP3は、それぞれFOUPを載置することが可能である。なお、図1では、ロードポートLP1〜LP3のすべてにFOUPが載置されている場合を示している。FOUPは、例えば25枚のウエハWを等ピッチで多段に載置して収容可能な容器である。FOUPは、その内部に例えばNガスが充填された密閉構造となっている。FOUPは、開閉ドアD1〜D3を介して搬送モジュールLMと接続されている。なお、ロードポートLPの数は上記に限定されるものではない。 The transport module LM is formed in a rectangular shape. A plurality of load ports LP1 to LP3 are arranged side by side on one long side of the transport module LM. FOUP can be mounted on each of the load ports LP1 to LP3. Note that FIG. 1 shows a case where the FOUP is mounted on all of the load ports LP1 to LP3. The FOUP is a container capable of accommodating, for example, 25 wafers W in multiple stages at equal pitches. The FOUP has a closed structure in which, for example, N 2 gas is filled. The FOUP is connected to the transport module LM via the opening / closing doors D1 to D3. The number of load port LPs is not limited to the above.

搬送モジュールLMの一方の短辺には、アライナAUが設けられている。アライナAUは、その内部にウエハWを載置する回転載置台と、ウエハWの外周縁部を光学的に検出する光学センサとを有する。アライナAUでは、例えばウエハWのオリエンテーションフラット、ノッチ等を検出して、ウエハWの位置合わせを行う。 An aligner AU is provided on one short side of the transport module LM. The aligner AU has a rotary mounting table on which the wafer W is placed, and an optical sensor that optically detects the outer peripheral edge of the wafer W. The aligner AU detects, for example, the orientation flat, the notch, and the like of the wafer W to align the wafer W.

搬送モジュールLMの内部には、ロードロックモジュールLL1、LL2、FOUP、アライナAUの各モジュール間でウエハW及びフォーカスリングを搬送するための搬送ユニット側搬送装置TR2が設けられている。搬送ユニット側搬送装置TR2は、旋回機構によって旋回可能に基台231に取付けられた搬送アームを備え、スライド機構によって搬送モジュールLMの長手方向に沿ってスライド可能となっている。搬送ユニット側搬送装置TR2の搬送アームは、例えば図1に示されるように、一対の多関節アームを有するダブルアーム機構である。図1に示す搬送アームは、上下に併設された伸縮可能な多関節アームである第1アーム211と第2アーム221とを含む。 Inside the transport module LM, a transport unit-side transport device TR2 for transporting the wafer W and the focus ring between the load lock modules LL1, LL2, FOUP, and the aligner AU is provided. The transport unit-side transport device TR2 includes a transport arm that is rotatably attached to the base 231 by a swivel mechanism, and is slidable along the longitudinal direction of the transport module LM by a slide mechanism. The transport arm of the transport unit-side transport device TR2 is, for example, as shown in FIG. 1, a double arm mechanism having a pair of articulated arms. The transport arm shown in FIG. 1 includes a first arm 211 and a second arm 221 which are vertically and contractably extendable articulated arms.

搬送ユニット側搬送装置TR2のスライド機構は、例えばリニアモータを有する。具体的には、搬送モジュールLMの内部に長手方向に沿って案内レール232が設けられ、搬送アームが取付けられた基台231は案内レール232に沿ってスライド可能に設けられている。基台231及び案内レール232には、それぞれリニアモータの可動子と固定子とが設けられており、案内レール232の端部には、リニアモータを駆動するためのリニアモータ駆動機構233が設けられている。リニアモータ駆動機構233には、制御部CUが接続されている。これにより、制御部CUからの制御信号に基づいてリニアモータ駆動機構233が駆動し、搬送ユニット側搬送装置TR2が基台231と共に案内レール232に沿って矢印方向へ移動するようになっている。なお、搬送ユニット側搬送装置TR2のスライド機構は、上記に限定されるものではなく、他の機構を有していてもよい。 The slide mechanism of the transfer device TR2 on the transfer unit side includes, for example, a linear motor. Specifically, the guide rail 232 is provided inside the transport module LM along the longitudinal direction, and the base 231 to which the transport arm is attached is slidably provided along the guide rail 232. The base 231 and the guide rail 232 are each provided with a linear motor mover and a stator, and a linear motor drive mechanism 233 for driving the linear motor is provided at the end of the guide rail 232. ing. A control unit CU is connected to the linear motor drive mechanism 233. As a result, the linear motor drive mechanism 233 is driven based on the control signal from the control unit CU, and the transfer unit-side transfer device TR2 moves along with the base 231 in the direction of the arrow along the guide rail 232. The slide mechanism of the transport unit TR2 is not limited to the above, and may have other mechanisms.

搬送ユニット側搬送装置TR2の搬送アームである第1アーム211及び第2アーム221はそれぞれ先端にピック212、222を有しており、一度に2枚のウエハW又は2つのフォーカスリングを保持することができるようになっている。これにより、例えばロードロックモジュールLL1、LL2、FOUP、アライナAUに対してウエハW及びフォーカスリングを搬送する際、ウエハW及びフォーカスリングを交換するように搬送することができる。なお、一度に1枚のウエハWと1つのフォーカスリングとを保持して搬送してもよい。また、搬送ユニット側搬送装置TR2の搬送アームの数は上記のものに限定されるものではなく、例えば1つのみのアームを有するシングルアーム機構であってもよい。 The first arm 211 and the second arm 221 which are the transfer arms of the transfer unit side transfer device TR2 have picks 212 and 222 at their tips, respectively, and hold two wafers W or two focus rings at a time. Can be done. Thereby, for example, when the wafer W and the focus ring are conveyed to the load lock modules LL1, LL2, FOUP, and the aligner AU, the wafer W and the focus ring can be conveyed so as to be exchanged. It should be noted that one wafer W and one focus ring may be held and conveyed at a time. Further, the number of transfer arms of the transfer unit side transfer device TR2 is not limited to the above, and may be, for example, a single arm mechanism having only one arm.

また、搬送ユニット側搬送装置TR2は、搬送アームを旋回、伸縮及び昇降させるための図示しない旋回用モータ、伸縮用モータ及び昇降用モータを有する。各モータは、制御部CUに接続され、制御部CUからの制御信号に基づいて搬送ユニット側搬送装置TR2の搬送アームの制御を行うことができるようになっている。 Further, the transport unit-side transport device TR2 includes a swing motor, a telescopic motor, and a lift motor (not shown) for swinging, expanding, and lowering the transport arm. Each motor is connected to the control unit CU and can control the transfer arm of the transfer unit side transfer device TR2 based on the control signal from the control unit CU.

プラズマ処理システムには、プラズマ処理システムの各部、例えば処理ユニット側搬送装置TR1、搬送ユニット側搬送装置TR2、ゲートバルブG1〜G10、開閉ドアD1〜D3、アライナAU等を制御する制御部CUが設けられている。 The plasma processing system is provided with a control unit CU that controls each part of the plasma processing system, for example, the processing unit side transfer device TR1, the transfer unit side transfer device TR2, the gate valves G1 to G10, the opening / closing doors D1 to D3, and the aligner AU. Has been done.

(プラズマ処理装置)
次に、本発明の一実施形態のプラズマ処理装置について、図2に基づき説明する。図2は、一実施形態のプラズマ処理装置を示す概略断面図である。図2に示すプラズマ処理装置は、前述のプラズマ処理システムにおけるプロセスモジュールPM1〜PM6として用いることができる装置である。
(Plasma processing equipment)
Next, the plasma processing apparatus according to the embodiment of the present invention will be described with reference to FIG. FIG. 2 is a schematic cross-sectional view showing the plasma processing apparatus of one embodiment. The plasma processing apparatus shown in FIG. 2 is an apparatus that can be used as process modules PM1 to PM6 in the above-mentioned plasma processing system.

図2に示されるように、プラズマ処理装置は、略円筒状の処理室10を有する。処理室10の内壁面は、例えば陽極酸化されたアルミニウムにより形成されている。処理室10は接地されている。 As shown in FIG. 2, the plasma processing apparatus has a processing chamber 10 having a substantially cylindrical shape. The inner wall surface of the treatment chamber 10 is formed of, for example, anodized aluminum. The processing chamber 10 is grounded.

処理室10には、処理ガスを導入するためのガスシャワーヘッド2が設けられている。ガスシャワーヘッド2は上部電極として機能する。処理室10の内部には、ガスシャワーヘッド2と対向するように載置台3が設けられている。載置台3は、下部電極として機能する。 The treatment chamber 10 is provided with a gas shower head 2 for introducing the treatment gas. The gas shower head 2 functions as an upper electrode. Inside the processing chamber 10, a mounting table 3 is provided so as to face the gas shower head 2. The mounting table 3 functions as a lower electrode.

ガスシャワーヘッド2(上部電極)の下面側には、ガス供給路21及びバッファ室21aを介して連通する複数のガス吐出口22が形成されている。複数のガス吐出口22により、載置台3に載置されたウエハWに向かって処理ガスが吐出される。ガス供給路21は、基端側がガス導入系23に接続されている。 On the lower surface side of the gas shower head 2 (upper electrode), a plurality of gas discharge ports 22 communicating with each other via the gas supply path 21 and the buffer chamber 21a are formed. The processing gas is discharged toward the wafer W mounted on the mounting table 3 by the plurality of gas discharge ports 22. The base end side of the gas supply path 21 is connected to the gas introduction system 23.

ガス導入系23は、ウエハWに対して成膜処理に用いられる処理ガスの供給源と、ウエハWに対してエッチング処理に用いられる処理ガスの供給源とを有する。また、ガス導入系23は、処理室10をクリーニング処理に用いられる処理ガスの供給源と、処理室10をシーズニング処理に用いられる処理ガスの供給源とを有する。ガス導入系23は、バルブ、流量調整部等の供給制御機器等を有し、所定の流量の処理ガスを処理室10内に供給することができる。 The gas introduction system 23 has a supply source of the processing gas used for the film forming process on the wafer W and a supply source of the processing gas used for the etching process on the wafer W. Further, the gas introduction system 23 has a processing chamber 10 as a supply source of the processing gas used for the cleaning treatment, and the treatment chamber 10 as a supply source of the processing gas used for the seasoning treatment. The gas introduction system 23 has a supply control device such as a valve and a flow rate adjusting unit, and can supply a processing gas having a predetermined flow rate into the processing chamber 10.

上部電極には、整合器25を介して高周波電力を供給するための高周波電源部26が接続されている。上部電極は、絶縁部材27により処理室10の側壁部分と絶縁されている。 A high frequency power supply unit 26 for supplying high frequency power is connected to the upper electrode via the matching device 25. The upper electrode is insulated from the side wall portion of the processing chamber 10 by the insulating member 27.

載置台3は、本体部30と、静電チャック31とを有する。 The mounting table 3 has a main body portion 30 and an electrostatic chuck 31.

本体部30は、例えばアルミニウム等の導電性部材により形成されている。本体部30の内部には、温調機構として機能する図示しない冷媒流路が設けられている。冷媒流路に供給される冷媒の温度が調整することにより、静電チャック31に保持されたウエハWの温度が制御される。 The main body 30 is formed of a conductive member such as aluminum. Inside the main body 30, a refrigerant flow path (not shown) that functions as a temperature control mechanism is provided. By adjusting the temperature of the refrigerant supplied to the refrigerant flow path, the temperature of the wafer W held by the electrostatic chuck 31 is controlled.

本体部30の上には、ウエハWとウエハWを囲むように配置されるフォーカスリングFRの両方を吸着可能な静電チャック31が設けられている。静電チャック31の上側中央部には凸状の基板載置部32が形成されており、基板載置部32の上面はウエハWを載置する基板載置面33を構成する。基板載置面33の周囲の低い部分の上面はフォーカスリングFRを載置するフォーカスリング載置面34を構成する。 An electrostatic chuck 31 capable of attracting both the wafer W and the focus ring FR arranged so as to surround the wafer W is provided on the main body 30. A convex substrate mounting portion 32 is formed in the upper central portion of the electrostatic chuck 31, and the upper surface of the substrate mounting portion 32 constitutes a substrate mounting surface 33 on which the wafer W is mounted. The upper surface of the lower portion around the substrate mounting surface 33 constitutes the focus ring mounting surface 34 on which the focus ring FR is mounted.

静電チャック31は、絶縁材の間に電極35が介在された構成となっている。電極35は、ウエハWとフォーカスリングFRの両方を吸着できるように、基板載置面33の下側のみならず、フォーカスリング載置面34の下側まで延出して設けられている。 The electrostatic chuck 31 has a configuration in which an electrode 35 is interposed between the insulating materials. The electrode 35 is provided so as to extend not only to the lower side of the substrate mounting surface 33 but also to the lower side of the focus ring mounting surface 34 so that both the wafer W and the focus ring FR can be attracted to the electrode 35.

静電チャック31は、スイッチ36を介して電極35に接続された直流電源37から所定の直流電圧が印加される。これにより、ウエハW及びフォーカスリングFRが静電チャック31に静電吸着される。なお、基板載置部32は、例えば図2に示されるように、ウエハWの径よりも小径に形成し、ウエハWを載置したときにウエハWのエッジ部が基板載置部32から張り出すようにする。 A predetermined DC voltage is applied to the electrostatic chuck 31 from the DC power supply 37 connected to the electrode 35 via the switch 36. As a result, the wafer W and the focus ring FR are electrostatically attracted to the electrostatic chuck 31. As shown in FIG. 2, for example, the substrate mounting portion 32 is formed to have a diameter smaller than the diameter of the wafer W, and when the wafer W is mounted, the edge portion of the wafer W is stretched from the substrate mounting portion 32. Try to put it out.

載置台3には、ウエハWの裏面とフォーカスリングFRの裏面に別々に伝熱ガス(例えばヘリウム(He)ガス)を供給する伝熱ガス供給部38が設けられている。 The mounting table 3 is provided with a heat transfer gas supply unit 38 that separately supplies heat transfer gas (for example, helium (He) gas) to the back surface of the wafer W and the back surface of the focus ring FR.

伝熱ガス供給部38は、基板載置面33に載置されたウエハWの裏面に第1伝熱ガスを供給する第1伝熱ガス供給部38aと、フォーカスリング載置面34に載置されたフォーカスリングFRの裏面に第2伝熱ガスを供給する第2伝熱ガス供給部38bとを備える。 The heat transfer gas supply unit 38 is placed on the first heat transfer gas supply unit 38a that supplies the first heat transfer gas to the back surface of the wafer W mounted on the substrate mounting surface 33 and on the focus ring mounting surface 34. A second heat transfer gas supply unit 38b for supplying the second heat transfer gas is provided on the back surface of the focus ring FR.

フォーカスリングFRは、静電チャック31の上に載置されている。フォーカスリングFRの上面には段差が形成され、内周部分よりも外周部分が高く形成されている。また、フォーカスリングFRの内周部分は、載置台3よりも外側に突出しているウエハWの外周部分の下側に食い込むように形成されている。即ち、フォーカスリングFRの内径は、ウエハWの外径よりも小さく形成されている。これにより、ウエハWに対してエッチング処理を行う際、静電チャック31がプラズマから保護される。 The focus ring FR is mounted on the electrostatic chuck 31. A step is formed on the upper surface of the focus ring FR, and the outer peripheral portion is formed higher than the inner peripheral portion. Further, the inner peripheral portion of the focus ring FR is formed so as to bite into the lower side of the outer peripheral portion of the wafer W protruding outward from the mounting table 3. That is, the inner diameter of the focus ring FR is formed to be smaller than the outer diameter of the wafer W. As a result, the electrostatic chuck 31 is protected from plasma when the wafer W is etched.

載置台3には、整合器39を介してバイアス用の電力を印加する高周波電源部40が接続されている。また、載置台3の内部には、図1に記載の処理ユニット側搬送装置TR1に対してウエハW及びフォーカスリングFRの受渡しを行うことが可能な図示しない昇降ピンが設けられている。処理ユニット側搬送装置TR1によるフォーカスリングFRの受渡しの際には、昇降ピンを上昇させてフォーカスリングFRを載置台3から離間させる。 A high-frequency power supply unit 40 for applying bias power is connected to the mounting table 3 via a matching unit 39. Further, inside the mounting table 3, an elevating pin (not shown) capable of delivering the wafer W and the focus ring FR to the processing unit side transport device TR1 shown in FIG. 1 is provided. When the focus ring FR is delivered by the processing unit side transfer device TR1, the elevating pin is raised to separate the focus ring FR from the mounting table 3.

処理室10の側壁には、開閉自在なゲートバルブG1を有する開口部13が形成されている。ウエハW及びフォーカスリングFRは、開口部13を介して搬送される。 An opening 13 having a gate valve G1 that can be opened and closed is formed on the side wall of the processing chamber 10. The wafer W and the focus ring FR are conveyed through the opening 13.

処理室10の内壁には、内壁に沿ってデポシールド41が着脱自在に設けられている。デポシールド41は、載置台3の外周にも設けられている。デポシールド41は、エッチングにより生じる反応生成物が処理室10の内壁面に付着することを防止するものであり、例えばアルミニウムにY等のセラミックスを被覆することにより形成されている。 A depot shield 41 is detachably provided on the inner wall of the processing chamber 10 along the inner wall. The depot shield 41 is also provided on the outer periphery of the mounting table 3. Deposition shield 41 serves to prevent the reaction products produced by the etching is deposited on the inner wall surface of the processing chamber 10 is formed by coating a ceramic such as Y 2 O 3, for example, aluminum.

載置台3の周囲には、処理室10内を均一に排気するため、多数の排気孔を有するバッフル板42が設けられている。バッフル板42は、例えばアルミニウムにY等のセラミックスを被覆することにより形成されている。バッフル板42の下方には、排気管11を介して、ターボ分子ポンプ、ドライポンプ等の真空ポンプ12が接続されている。 A baffle plate 42 having a large number of exhaust holes is provided around the mounting table 3 in order to uniformly exhaust the inside of the processing chamber 10. The baffle plate 42 is formed, for example, by coating a ceramic such as Y 2 O 3 in aluminum. A vacuum pump 12 such as a turbo molecular pump or a dry pump is connected below the baffle plate 42 via an exhaust pipe 11.

プラズマ処理装置は、各部を制御する制御部50を有する。制御部50は、例えばCPUとプログラムとを有するコンピュータである。プログラムには、プラズマ処理装置によるウエハWへの成膜処理やエッチング処理を行うための例えばガス導入系23からの各ガスの供給、高周波電源部26、40からの電力供給の制御等についてのステップ(命令)群が組まれている。プログラムは、例えばハードディスク、コンパクトディスク、メモリーカード等の記憶媒体に格納され、記憶媒体からコンピュータにインストールされる。 The plasma processing apparatus has a control unit 50 that controls each unit. The control unit 50 is, for example, a computer having a CPU and a program. The program includes steps for, for example, supplying each gas from the gas introduction system 23 and controlling the power supply from the high frequency power supply units 26 and 40 for performing the film forming process and the etching process on the wafer W by the plasma processing apparatus. (Order) A group is formed. The program is stored in a storage medium such as a hard disk, a compact disk, or a memory card, and is installed in the computer from the storage medium.

(フォーカスリング交換方法)
次に、本発明の一実施形態のフォーカスリング交換方法について、図3に基づき説明する。図3は、一実施形態のフォーカスリング交換方法を説明するためのフローチャートである。
(Focus ring replacement method)
Next, the focus ring replacement method according to the embodiment of the present invention will be described with reference to FIG. FIG. 3 is a flowchart for explaining the focus ring replacement method of one embodiment.

以下では、前述のプロセスモジュールPM1の載置台3に載置されているフォーカスリングFRを交換する場合を例に挙げて説明する。具体的には、プロセスモジュールPM1において使用されたフォーカスリングをFOUPに収容し、FOUPに予め収容された未使用のフォーカスリングに交換する場合について説明する。なお、プロセスモジュールPM1以外のプロセスモジュールPM2〜PM6の載置台3に載置されているフォーカスリングFRについても、同様の方法により交換することが可能である。また、本発明の一実施形態のフォーカスリング交換方法は、制御部CUによりプラズマ処理システムの各部が制御されることにより行われる。 In the following, a case where the focus ring FR mounted on the mounting table 3 of the process module PM1 described above is replaced will be described as an example. Specifically, a case where the focus ring used in the process module PM1 is housed in the FOUP and replaced with an unused focus ring previously housed in the FOUP will be described. The focus ring FR mounted on the mounting table 3 of the process modules PM2 to PM6 other than the process module PM1 can also be replaced by the same method. Further, the focus ring replacement method of the embodiment of the present invention is performed by controlling each part of the plasma processing system by the control unit CU.

図3に示されるように、一実施形態のフォーカスリング交換方法は、消耗度判定ステップS10と、交換可否判定ステップS20と、第1のクリーニングステップS30と、搬出ステップS40と、第2のクリーニングステップS50と、搬入ステップS60と、シーズニングステップS70とを有する。以下、各々のステップについて説明する。 As shown in FIG. 3, the focus ring replacement method of one embodiment includes a wear degree determination step S10, a replaceability determination step S20, a first cleaning step S30, a carry-out step S40, and a second cleaning step. It has S50, a carry-in step S60, and a seasoning step S70. Each step will be described below.

消耗度判定ステップS10は、プロセスモジュールPM1の載置台3に載置されているフォーカスリングFRの交換が必要か否かを判定するステップである。消耗度判定ステップS10では、制御部CUは、プロセスモジュールPM1の載置台3に載置されているフォーカスリングFRの交換が必要であるか否かを判定する。具体的には、制御部CUは、例えばRF積算時間、RF積算電力、レシピの特定ステップの積算値に基づいて、フォーカスリングFRの交換が必要であるか否かを判定する。RF積算時間とは、所定のプラズマ処理の際にプロセスモジュールPM1において高周波電力が供給された時間の積算値である。RF積算電力とは、所定のプラズマ処理の際にプロセスモジュールPM1において供給された高周波電力の積算値である。レシピの特定ステップの積算値とは、プロセスモジュールPM1において行われる処理のステップのうちフォーカスリングFRが削られるステップにおいて高周波電力が供給された時間の積算値や高周波電力の積算値である。なお、RF積算時間、RF積算電力及びレシピの特定ステップの積算値は、例えば装置が導入された時点、メンテナンスが実施された時点等、フォーカスリングFRを交換した時点を起点として算出される値である。 The wear degree determination step S10 is a step of determining whether or not the focus ring FR mounted on the mounting table 3 of the process module PM1 needs to be replaced. In the consumption degree determination step S10, the control unit CU determines whether or not the focus ring FR mounted on the mounting table 3 of the process module PM1 needs to be replaced. Specifically, the control unit CU determines whether or not the focus ring FR needs to be replaced, for example, based on the RF integration time, the RF integration power, and the integration value of the specific step of the recipe. The RF integration time is an integrated value of the time during which high-frequency power is supplied in the process module PM1 during a predetermined plasma process. The RF integrated power is an integrated value of high frequency power supplied in the process module PM1 during a predetermined plasma process. The integrated value of the specific step of the recipe is an integrated value of the time when high frequency power is supplied or an integrated value of high frequency power in the step in which the focus ring FR is removed among the processing steps performed in the process module PM1. The RF integrated time, RF integrated power, and integrated value of the specific step of the recipe are values calculated starting from the time when the focus ring FR is replaced, such as when the device is introduced or when maintenance is performed. is there.

RF積算時間に基づいてフォーカスリングFRの交換が必要であるか否かを判定する場合、制御部CUは、RF積算時間が閾値に達した場合、フォーカスリングFRを交換する必要があると判定する。これに対し、制御部CUは、RF積算時間が閾値に達していない場合、フォーカスリングFRを交換する必要がないと判定する。なお、閾値は、予備実験等により、フォーカスリングFRの材質等の種類に応じて定められる値である。 When determining whether or not the focus ring FR needs to be replaced based on the RF integration time, the control unit CU determines that the focus ring FR needs to be replaced when the RF integration time reaches the threshold value. .. On the other hand, the control unit CU determines that it is not necessary to replace the focus ring FR when the RF integration time has not reached the threshold value. The threshold value is a value determined by a preliminary experiment or the like according to the type of the material or the like of the focus ring FR.

RF積算電力に基づいてフォーカスリングFRの交換が必要であるか否かを判定する場合、制御部CUは、RF積算電力が閾値に達した場合、フォーカスリングFRを交換する必要があると判定する。これに対し、制御部CUは、RF積算電力が閾値に達していない場合、フォーカスリングFRを交換する必要がないと判定する。なお、閾値は、予備実験等により、フォーカスリングFRの材質等の種類に応じて定められる値である。 When determining whether or not the focus ring FR needs to be replaced based on the RF integrated power, the control unit CU determines that the focus ring FR needs to be replaced when the RF integrated power reaches the threshold value. .. On the other hand, the control unit CU determines that it is not necessary to replace the focus ring FR when the RF integrated power does not reach the threshold value. The threshold value is a value determined by a preliminary experiment or the like according to the type of the material or the like of the focus ring FR.

レシピの特定ステップの積算値に基づいてフォーカスリングFRの交換が必要であるか否かを判定する場合、制御部CUは、特定のステップにおけるRF積算時間又はRF積算電力が閾値に達した場合、フォーカスリングFRの交換が必要である判定する。これに対し、制御部CUは、特定ステップにおけるRF積算時間又はRF積算電力が閾値に達していない場合、フォーカスリングFRを交換する必要がないと判定する。レシピの特定ステップの積算値に基づいてフォーカスリングFRの交換が必要であるか否かを判定する場合、高周波電力が印加され、フォーカスリングFRが削られるステップに基づいて、フォーカスリングFRを交換するタイミングを算出することができる。このため、特に高い精度でフォーカスリングFRを交換するタイミングを算出することができる。なお、閾値は、予備実験等により、フォーカスリングFRの材質等の種類に応じて定められる値である。 When determining whether or not the focus ring FR needs to be replaced based on the integrated value of a specific step of the recipe, the control unit CU determines when the RF integrated time or the RF integrated power in the specific step reaches the threshold value. It is determined that the focus ring FR needs to be replaced. On the other hand, the control unit CU determines that it is not necessary to replace the focus ring FR when the RF integration time or the RF integration power in the specific step has not reached the threshold value. When determining whether or not the focus ring FR needs to be replaced based on the integrated value of a specific step of the recipe, the focus ring FR is replaced based on the step in which high frequency power is applied and the focus ring FR is scraped. The timing can be calculated. Therefore, it is possible to calculate the timing for replacing the focus ring FR with particularly high accuracy. The threshold value is a value determined by a preliminary experiment or the like according to the type of the material or the like of the focus ring FR.

消耗度判定ステップS10において、プロセスモジュールPM1の載置台3に載置されているフォーカスリングFRの交換が必要であると判定した場合、制御部CUは、交換可否判定ステップS20を行う。消耗度判定ステップS10において、プロセスモジュールPM1の載置台3に載置されているフォーカスリングFRの交換が必要でないと判定した場合、制御部CUは、消耗度判定ステップS10を繰り返す。 When it is determined in the wear degree determination step S10 that the focus ring FR mounted on the mounting table 3 of the process module PM1 needs to be replaced, the control unit CU performs the replaceability determination step S20. When it is determined in the wear degree determination step S10 that the focus ring FR mounted on the mounting table 3 of the process module PM1 does not need to be replaced, the control unit CU repeats the wear degree determination step S10.

交換可否判定ステップS20は、プラズマ処理システムの状態が、フォーカスリングFRの交換を行うことができる状態であるか否かを判定するステップである。交換可否判定ステップS20では、制御部CUは、プラズマ処理システムの状態が、フォーカスリングFRの交換を行うことができる状態であるか否かを判定する。具体的には、制御部CUは、例えばフォーカスリングFRの交換を行うプロセスモジュールPM1においてウエハWに処理が行われていない場合、フォーカスリングFRの交換が可能であると判定する。これに対し、制御部CUは、プロセスモジュールPM1においてウエハWに処理が行われている場合、フォーカスリングFRの交換が可能ではないと判定する。また、制御部CUは、例えばフォーカスリングFRの交換を行うプロセスモジュールPM1において処理が行われているウエハWと同一のロットのウエハWの処理が終了した場合、フォーカスリングFRの交換が可能であると判定してもよい。この場合、制御部CUは、プロセスモジュールPM1において処理が行われているウエハWと同一のロットのウエハWの処理が終了するまでの間、フォーカスリングFRの交換が可能ではないと判定する。 The exchangeability determination step S20 is a step of determining whether or not the state of the plasma processing system is a state in which the focus ring FR can be exchanged. In the exchangeability determination step S20, the control unit CU determines whether or not the state of the plasma processing system is a state in which the focus ring FR can be exchanged. Specifically, the control unit CU determines that the focus ring FR can be replaced, for example, when the wafer W is not processed in the process module PM1 that replaces the focus ring FR. On the other hand, the control unit CU determines that the focus ring FR cannot be replaced when the wafer W is processed in the process module PM1. Further, the control unit CU can replace the focus ring FR, for example, when the processing of the wafer W of the same lot as the wafer W being processed in the process module PM1 for replacing the focus ring FR is completed. May be determined. In this case, the control unit CU determines that the focus ring FR cannot be replaced until the processing of the wafer W of the same lot as the wafer W being processed in the process module PM1 is completed.

交換可否判定ステップS20において、プラズマ処理システムの状態が、フォーカスリングFRの交換を行うことができる状態であると判定した場合、制御部CUは、第1のクリーニングステップS30を行う。交換可否判定ステップS20において、プラズマ処理システムの状態が、フォーカスリングFRの交換を行うことができない状態であると判定した場合、制御部CUは、交換可否判定ステップS20を繰り返す。 When it is determined in the replaceability determination step S20 that the state of the plasma processing system is a state in which the focus ring FR can be replaced, the control unit CU performs the first cleaning step S30. When it is determined in the replaceability determination step S20 that the state of the plasma processing system is a state in which the focus ring FR cannot be replaced, the control unit CU repeats the replaceability determination step S20.

第1のクリーニングステップS30は、プロセスモジュールPM1のクリーニング処理を行うステップである。第1のクリーニングステップS30では、制御部CUは、ガス導入系、排気系、電力導入系等を制御することにより、プロセスモジュールPM1のクリーニング処理を行う。クリーニング処理とは、プラズマ処理によって発生したプロセスモジュールPM1内の堆積物を処理ガスのプラズマ等により除去し、プロセスモジュールPM1内をクリーンな状態で安定させる処理である。第1のクリーニングステップS30を行うことにより、搬出ステップS40において載置台3からフォーカスリングFRを搬出する際、処理室10内の堆積物が巻き上がることを抑制することができる。処理ガスとしては、例えば、酸素(O)ガス、フッ化炭素(CF)系ガス、窒素(N)ガス、アルゴン(Ar)ガス、Heガス、あるいは、これらの二種以上の混合ガスを用いることができる。また、プロセスモジュールPM1のクリーニング処理を行う際、処理条件によっては載置台3の静電チャックを保護するために、静電チャックの上面にダミーウエハ等のウエハWを載置した状態でクリーニング処理を行ってもよい。なお、処理室10に堆積物が存在しない場合等、堆積物が巻き上がることがない場合には、第1のクリーニングステップS30を行わなくてもよい。また、静電チャックによりフォーカスリングFRが載置台3に吸着している場合には、次の搬出ステップS40までに除電処理を行う。 The first cleaning step S30 is a step of performing a cleaning process of the process module PM1. In the first cleaning step S30, the control unit CU performs the cleaning process of the process module PM1 by controlling the gas introduction system, the exhaust system, the power introduction system, and the like. The cleaning process is a process of removing the deposits in the process module PM1 generated by the plasma process by plasma of the processing gas or the like to stabilize the inside of the process module PM1 in a clean state. By performing the first cleaning step S30, it is possible to prevent the deposits in the processing chamber 10 from being rolled up when the focus ring FR is carried out from the mounting table 3 in the carry-out step S40. As the treatment gas, for example, oxygen (O 2 ) gas, fluorocarbon (CF) gas, nitrogen (N 2 ) gas, argon (Ar) gas, He gas, or a mixed gas of two or more of these can be used. Can be used. Further, when performing the cleaning process of the process module PM1, the cleaning process is performed with the wafer W such as a dummy wafer mounted on the upper surface of the electrostatic chuck in order to protect the electrostatic chuck of the mounting table 3 depending on the processing conditions. You may. If the deposit does not roll up, such as when there is no deposit in the processing chamber 10, the first cleaning step S30 may not be performed. When the focus ring FR is attracted to the mounting table 3 by the electrostatic chuck, the static electricity elimination process is performed by the next carry-out step S40.

搬出ステップS40は、プロセスモジュールPM1を大気開放することなく、プロセスモジュールPM1内からフォーカスリングFRを搬出するステップである。搬出ステップS40では、制御部CUは、プロセスモジュールPM1を大気開放することなく、プロセスモジュールPM1内からフォーカスリングFRを搬出するようにプラズマ処理システムの各部を制御する。具体的には、ゲートバルブG1を開き、処理ユニット側搬送装置TR1により、プロセスモジュールPM1の内部の載置台3に載置されたフォーカスリングFRをプロセスモジュールPM1から搬出する。続いて、ゲートバルブG8を開き、処理ユニット側搬送装置TR1により、プロセスモジュールPM1から搬出されたフォーカスリングFRをロードロックモジュールLL2の受渡し台に載置する。続いて、ゲートバルブG8を閉じ、ロードロックモジュールLL2内の圧力調整後、ゲートバルブG10を開き、搬送ユニット側搬送装置TR2により、受渡し台に載置されたフォーカスリングFRをトランスファモジュールTMへ搬送する。続いて、開閉ドアD3を開き、搬送ユニット側搬送装置TR2により、ロードポートLP3に載置されたFOUPにフォーカスリングFRを収容する。 The carry-out step S40 is a step of carrying out the focus ring FR from the process module PM1 without opening the process module PM1 to the atmosphere. In the carry-out step S40, the control unit CU controls each part of the plasma processing system so as to carry out the focus ring FR from the inside of the process module PM1 without opening the process module PM1 to the atmosphere. Specifically, the gate valve G1 is opened, and the focus ring FR mounted on the mounting table 3 inside the process module PM1 is carried out from the process module PM1 by the processing unit side transfer device TR1. Subsequently, the gate valve G8 is opened, and the focus ring FR carried out from the process module PM1 is placed on the delivery table of the load lock module LL2 by the processing unit side transfer device TR1. Subsequently, the gate valve G8 is closed, the pressure in the load lock module LL2 is adjusted, the gate valve G10 is opened, and the focus ring FR mounted on the transfer table is transferred to the transfer module TM by the transfer unit side transfer device TR2. .. Subsequently, the opening / closing door D3 is opened, and the focus ring FR is accommodated in the FOUP mounted on the load port LP3 by the transport unit side transport device TR2.

第2のクリーニングステップS50は、プロセスモジュールPM1の載置台3のフォーカスリングFRが載置される面(フォーカスリング載置面34)をクリーニング処理するステップである。第2のクリーニングステップS50では、制御部CUは、ガス導入系、排気系、電力導入系等を制御することにより、プロセスモジュールPM1の載置台3のフォーカスリングFRが載置される面のクリーニング処理を行う。第2のクリーニングステップS50におけるクリーニング処理は、例えば第1のクリーニングステップS30と同様の方法で行うことができる。即ち、処理ガスとしては、例えば、Oガス、CF系ガス、Nガス、Arガス、Heガス、あるいは、これらの二種以上の混合ガスを用いることができる。また、プロセスモジュールPM1のクリーニング処理を行う際、処理条件によっては載置台3の静電チャックを保護するために、静電チャックの上面にダミーウエハ等のウエハWを載置した状態でクリーニング処理を行ってもよい。 The second cleaning step S50 is a step of cleaning the surface (focus ring mounting surface 34) on which the focus ring FR of the mounting table 3 of the process module PM1 is mounted. In the second cleaning step S50, the control unit CU controls the gas introduction system, the exhaust system, the power introduction system, and the like to clean the surface on which the focus ring FR of the mounting table 3 of the process module PM1 is mounted. I do. The cleaning process in the second cleaning step S50 can be performed, for example, in the same manner as in the first cleaning step S30. That is, as the processing gas, for example, O 2 gas, CF gas, N 2 gas, Ar gas, He gas, or a mixed gas of two or more of these can be used. Further, when the process module PM1 is cleaned, depending on the processing conditions, in order to protect the electrostatic chuck of the mounting table 3, the cleaning process is performed with the wafer W such as a dummy wafer mounted on the upper surface of the electrostatic chuck. You may.

搬入ステップS60は、プロセスモジュールPM1を大気開放することなく、プロセスモジュールPM1内にフォーカスリングFRを搬入し、載置台3に載置するステップである。搬入ステップS60では、制御部CUは、プロセスモジュールPM1を大気開放することなく、プロセスモジュールPM1内にフォーカスリングFRを搬入するようにプラズマ処理システムの各部を制御する。具体的には、例えば開閉ドアD3を開き、搬送ユニット側搬送装置TR2により、ロードポートLP3に載置されたFOUPに収容された未使用のフォーカスリングFRを搬出する。続いて、ゲートバルブG9を開き、搬送ユニット側搬送装置TR2により、未使用のフォーカスリングFRをロードロックモジュールLL1の受渡し台に載置する。続いて、ゲートバルブG7及びゲートバルブG1を開き、処理ユニット側搬送装置TR1により、ロードロックモジュールLL1の受渡し台に載置された未使用のフォーカスリングFRを搬出し、プロセスモジュールPM1に搬入し、載置台3に載置する。 The carry-in step S60 is a step in which the focus ring FR is carried into the process module PM1 and placed on the mounting table 3 without opening the process module PM1 to the atmosphere. In the carry-in step S60, the control unit CU controls each part of the plasma processing system so as to carry the focus ring FR into the process module PM1 without opening the process module PM1 to the atmosphere. Specifically, for example, the open / close door D3 is opened, and the unused focus ring FR housed in the FOUP mounted on the load port LP3 is carried out by the transport unit side transport device TR2. Subsequently, the gate valve G9 is opened, and the unused focus ring FR is placed on the delivery table of the load lock module LL1 by the transfer unit side transfer device TR2. Subsequently, the gate valve G7 and the gate valve G1 are opened, and the unused focus ring FR mounted on the delivery table of the load lock module LL1 is carried out by the processing unit side transfer device TR1 and carried into the process module PM1. It is placed on the mounting table 3.

シーズニングステップS70は、プロセスモジュールPM1のシーズニング処理を行うステップである。シーズニングステップS70では、制御部CUは、ガス導入系、排気系、電力導入系等を制御することにより、プロセスモジュールPM1のシーズニング処理を行う。シーズニング処理とは、所定のプラズマ処理を行うことにより、プロセスモジュールPM1内の温度や堆積物の状態を安定させるための処理である。また、シーズニングステップS70では、プロセスモジュールPM1のシーズニング処理の後、プロセスモジュールPM1内に品質管理用ウエハを搬入し、品質管理用ウエハに対し、所定の処理を行ってもよい。これにより、プロセスモジュールPM1の状態が正常であるか否かを確認することができる。 The seasoning step S70 is a step of performing the seasoning process of the process module PM1. In the seasoning step S70, the control unit CU performs the seasoning process of the process module PM1 by controlling the gas introduction system, the exhaust system, the power introduction system, and the like. The seasoning treatment is a treatment for stabilizing the temperature and the state of deposits in the process module PM1 by performing a predetermined plasma treatment. Further, in the seasoning step S70, after the seasoning process of the process module PM1, the quality control wafer may be carried into the process module PM1 and a predetermined process may be performed on the quality control wafer. This makes it possible to confirm whether or not the state of the process module PM1 is normal.

以上のステップにより、フォーカスリングFRを交換することができる。 By the above steps, the focus ring FR can be replaced.

以上に説明したように、本発明の一実施形態のフォーカスリング交換方法では、処理室10を大気開放することなく、処理ユニット側搬送装置TR1により処理室10内からフォーカスリングFRを搬出し、処理室10内をクリーニング処理し、処理ユニット側搬送装置TR1により処理室10内にフォーカスリングFRを搬入する。これにより、作業者が手動でフォーカスリングFRの交換を行う必要がない。このため、フォーカスリングFRの交換に要する時間を短縮することができ、生産性が向上する。また、フォーカスリングFRの搬入前にフォーカスリング載置面34がクリーニングされることにより、フォーカスリングFRとフォーカスリング載置面34との間に堆積物が存在することを抑制できる。その結果、両者の接触が良好となることでフォーカスリングFRの温度制御性を良好に維持することができる。 As described above, in the focus ring replacement method of the embodiment of the present invention, the focus ring FR is carried out from the processing chamber 10 by the processing unit side transfer device TR1 and processed without opening the processing chamber 10 to the atmosphere. The inside of the chamber 10 is cleaned, and the focus ring FR is carried into the processing chamber 10 by the processing unit side transfer device TR1. This eliminates the need for the operator to manually replace the focus ring FR. Therefore, the time required for replacing the focus ring FR can be shortened, and the productivity is improved. Further, by cleaning the focus ring mounting surface 34 before carrying in the focus ring FR, it is possible to suppress the presence of deposits between the focus ring FR and the focus ring mounting surface 34. As a result, the temperature controllability of the focus ring FR can be well maintained by improving the contact between the two.

(処理ユニット側搬送装置)
次に、処理ユニット側搬送装置TR1の一例について、図4に基づき説明する。図4は、図1の処理ユニット側搬送装置を説明するための図である。
(Transfer device on the processing unit side)
Next, an example of the processing unit side transport device TR1 will be described with reference to FIG. FIG. 4 is a diagram for explaining the processing unit side transfer device of FIG.

まず、処理ユニット側搬送装置TR1のスライド機構の一例について説明する。処理ユニット側搬送装置TR1の搬送アーム(第1アーム111、第2アーム121)は、例えば図4(a)に示されるように、基台131上に取付けられている。基台131は、案内レール132a、132b上をスライド軸であるY軸の方向(トランスファモジュールTMの長手方向)にスライド可能になっている。そして、例えばY軸用モータ133によって駆動するボールスクリュー134を基台131に螺合させ、Y軸用モータ133を駆動制御することにより、処理ユニット側搬送装置TR1の搬送アームのスライド駆動を制御できる。 First, an example of the slide mechanism of the processing unit side transfer device TR1 will be described. The transport arms (first arm 111, second arm 121) of the processing unit side transport device TR1 are mounted on the base 131, for example, as shown in FIG. 4A. The base 131 is slidable on the guide rails 132a and 132b in the direction of the Y-axis which is the slide axis (longitudinal direction of the transfer module TM). Then, for example, the ball screw 134 driven by the Y-axis motor 133 is screwed into the base 131, and the Y-axis motor 133 is driven and controlled, whereby the slide drive of the transfer arm of the processing unit side transfer device TR1 can be controlled. ..

次に、処理ユニット側搬送装置TR1の旋回機構の一例について説明する。処理ユニット側搬送装置TR1の搬送アーム(第1アーム111、第2アーム121)は、例えば図4に示されるように、基台131上に旋回軸であるθ軸の方向に旋回可能に設けられた回転板135を介して取付けられている。回転板135は、例えば基台131上に設けられたθ軸用モータ136により駆動するようになっている。これにより、θ軸用モータ136を駆動制御することによって、処理ユニット側搬送装置TR1の搬送アームの旋回駆動を制御できる。 Next, an example of the swivel mechanism of the processing unit side transport device TR1 will be described. As shown in FIG. 4, for example, the transfer arms (first arm 111, second arm 121) of the processing unit side transfer device TR1 are provided on the base 131 so as to be rotatable in the direction of the θ axis which is the rotation axis. It is attached via the rotating plate 135. The rotating plate 135 is driven by, for example, a θ-axis motor 136 provided on the base 131. Thereby, by driving and controlling the θ-axis motor 136, it is possible to control the turning drive of the transport arm of the processing unit side transport device TR1.

なお、処理ユニット側搬送装置TR1の搬送アームである第1アーム111及び第2アーム121は、それぞれ先端にピック112、122を備え、一度に2枚のウエハW又は2つのフォーカスリングFRを保持することができるようになっている。これにより、例えばプロセスモジュールPM1〜PM6、ロードロックモジュールLL1、LL2に対してウエハW又はフォーカスリングFRを搬送する際、ウエハW又はフォーカスリングFRを交換するように搬送することができる。なお、処理ユニット側搬送装置TR1の搬送アームの数は上記のものに限定されず、例えば1つのみのアームを有するシングルアーム機構であってもよい。 The first arm 111 and the second arm 121, which are the transfer arms of the processing unit side transfer device TR1, are provided with picks 112 and 122 at their tips, respectively, and hold two wafers W or two focus ring FRs at a time. You can do it. Thereby, for example, when the wafer W or the focus ring FR is conveyed to the process modules PM1 to PM6 and the load lock modules LL1 and LL2, the wafer W or the focus ring FR can be conveyed so as to be exchanged. The number of transfer arms of the processing unit side transfer device TR1 is not limited to the above, and may be, for example, a single arm mechanism having only one arm.

また、処理ユニット側搬送装置TR1は、搬送アームを伸縮させるための図示しない伸縮用モータを有する。伸縮用モータは、例えばθ軸用モータ136の下側に取付けられ、θ軸用モータ136とは独立して制御可能である。なお、処理ユニット側搬送装置TR1を駆動するモータとしては、上記の他、搬送アームを昇降させる昇降用モータ(図示しない)を設けるようにしてもよい。 Further, the processing unit side transfer device TR1 has an expansion / contraction motor (not shown) for expanding / contracting the transfer arm. The expansion / contraction motor is attached to the lower side of the θ-axis motor 136, for example, and can be controlled independently of the θ-axis motor 136. In addition to the above, as the motor for driving the processing unit side transport device TR1, a lifting motor (not shown) for raising and lowering the transport arm may be provided.

処理ユニット側搬送装置TR1を駆動するためのθ軸用モータ136、Y軸用モータ133等は、それぞれ制御部CUに接続されており、制御部CUからの指令に基づいて駆動制御されるようになっている。 The θ-axis motor 136, the Y-axis motor 133, etc. for driving the processing unit side transfer device TR1 are connected to the control unit CU, respectively, and are driven and controlled based on a command from the control unit CU. It has become.

なお、処理ユニット側搬送装置TR1の基台131には、例えば図1に示されるように、θ軸用モータ136等の配線を通すためのフレキシブルアーム137が接続されている。フレキシブルアーム137は、例えば筒状に形成されたアーム機構からなる。フレキシブルアーム137は気密に接続され、その内部はトランスファモジュールTMの底部に形成された孔部を介して大気と連通している。これにより、トランスファモジュールTM内は真空状態になっていても、フレキシブルアーム137内は大気圧状態であるため、配線の損傷等を防止することができる。 As shown in FIG. 1, for example, a flexible arm 137 for passing the wiring of the θ-axis motor 136 and the like is connected to the base 131 of the processing unit side transfer device TR1. The flexible arm 137 is composed of, for example, an arm mechanism formed in a tubular shape. The flexible arm 137 is airtightly connected, and the inside thereof communicates with the atmosphere through a hole formed in the bottom of the transfer module TM. As a result, even if the inside of the transfer module TM is in a vacuum state, the inside of the flexible arm 137 is in an atmospheric pressure state, so that damage to the wiring can be prevented.

このように処理ユニット側搬送装置TR1によれば、案内レール132a、132bに沿ってスライド駆動させると共に搬送アームを伸縮させることができる。これにより、プロセスモジュールPM1〜PM6及びロードロックモジュールLL1、LL2の各モジュール間でウエハW及びフォーカスリングFRを搬送することができる。 As described above, according to the processing unit side transfer device TR1, the transfer arm can be expanded and contracted while being slid drive along the guide rails 132a and 132b. As a result, the wafer W and the focus ring FR can be conveyed between the process modules PM1 to PM6 and the load lock modules LL1 and LL2.

次に、処理ユニット側搬送装置TR1のピック112の一例について説明する。図5は、図1の処理ユニット側搬送装置がウエハを保持した状態を示す図である。図5(a)は、ウエハWを保持したピック112を側面から見た図であり、図5(b)は、ウエハWを保持したピック112を上面から見た図である。図6は、図1の処理ユニット側搬送装置がフォーカスリングを保持した状態を示す図である。図6(a)は、フォーカスリングFRを保持したピック112を側面から見た図であり、図6(b)は、フォーカスリングFRを保持したピック112を上面から見た図である。なお、図5及び図6では、ピック112を例に挙げて説明するが、ピック122についても同様とすることができる。 Next, an example of the pick 112 of the processing unit side transfer device TR1 will be described. FIG. 5 is a diagram showing a state in which the processing unit-side transfer device of FIG. 1 holds a wafer. FIG. 5A is a side view of the pick 112 holding the wafer W, and FIG. 5B is a top view of the pick 112 holding the wafer W. FIG. 6 is a diagram showing a state in which the processing unit-side transport device of FIG. 1 holds the focus ring. FIG. 6A is a side view of the pick 112 holding the focus ring FR, and FIG. 6B is a top view of the pick 112 holding the focus ring FR. Although the pick 112 will be described as an example in FIGS. 5 and 6, the same can be applied to the pick 122.

図5に示されるように、ピック112には、ウエハWの外周縁部を保持する複数(例えば3つ)の突起部113が形成されている。突起部113は、例えば円錐台形状であり、ウエハWの外周縁部に沿うように配置され、突起部113が円錐台形状のテーパ部114においてウエハWの外周縁部と当接することによってピック112に対するウエハWの位置ずれを防止する。突起部113は、例えばエラストマーにより形成されている。 As shown in FIG. 5, the pick 112 is formed with a plurality of (for example, three) protrusions 113 for holding the outer peripheral edge portion of the wafer W. The protrusion 113 has, for example, a truncated cone shape and is arranged along the outer peripheral edge portion of the wafer W. The protrusion 113 abuts on the outer peripheral edge portion of the wafer W at the tapered portion 114 having a truncated cone shape, whereby the pick 112 The position shift of the wafer W with respect to the above is prevented. The protrusion 113 is formed of, for example, an elastomer.

また、図6に示されるように、突起部113は、円錐台形状の上面115においてフォーカスリングFRの下面と当接することによってフォーカスリングFRを保持することが可能となっている。これは、前述したように、フォーカスリングFRの内径がウエハWの外径よりも小さく形成されているからである。このように処理ユニット側搬送装置TR1は、1つのピック112により、ウエハW及びフォーカスリングFRを保持することができるようになっている。 Further, as shown in FIG. 6, the protrusion 113 can hold the focus ring FR by contacting the lower surface of the focus ring FR on the truncated cone-shaped upper surface 115. This is because, as described above, the inner diameter of the focus ring FR is formed to be smaller than the outer diameter of the wafer W. In this way, the processing unit side transfer device TR1 can hold the wafer W and the focus ring FR by one pick 112.

以上に説明したように、ピック112は、突起部113のテーパ部114でウエハWを保持し、突起部113の上面115でフォーカスリングFRを保持するので、ピック112の長さを長くすることなく、フォーカスリングFRを保持することができる。これにより、ピック112によりウエハWやフォーカスリングFRを搬送する際、ピック112の先端が他の部位(例えばFOUPの内壁面)に接触することを防止できる。なお、図5及び図6では、突起部113が3つの場合を例に挙げて説明したが、突起部113の数はこれに限定されるものではない。 As described above, the pick 112 holds the wafer W at the tapered portion 114 of the protruding portion 113 and holds the focus ring FR at the upper surface 115 of the protruding portion 113, so that the length of the pick 112 is not increased. , The focus ring FR can be held. This makes it possible to prevent the tip of the pick 112 from coming into contact with another portion (for example, the inner wall surface of the FOUP) when the wafer W or the focus ring FR is conveyed by the pick 112. In FIGS. 5 and 6, the case where the number of protrusions 113 is three has been described as an example, but the number of protrusions 113 is not limited to this.

また、処理ユニット側搬送装置TR1は、フォーカスリングFRを保持した状態で旋回する際、旋回半径が最小となるように旋回することが好ましい。これにより、ピック112に保持されたフォーカスリングFRが他の部位に接触することを防止することができる。さらに、2つのピック112、122が略同一の平面において旋回する場合、一方のピック112でウエハWを保持し、他方のピック122でフォーカスリングFRを保持した場合であっても、ウエハWとフォーカスリングFRとが接触することを防止できる。 Further, when the processing unit side transport device TR1 turns while holding the focus ring FR, it is preferable that the processing unit side transport device TR1 turns so that the turning radius is minimized. As a result, it is possible to prevent the focus ring FR held by the pick 112 from coming into contact with other parts. Further, when the two picks 112 and 122 rotate in substantially the same plane, the wafer W and the focus are held even when the wafer W is held by one pick 112 and the focus ring FR is held by the other pick 122. It is possible to prevent contact with the ring FR.

(位置検出センサ)
次に、位置検出センサの一例について、図7に基づき説明する。図7は図1の位置検出センサを説明するための図であり、図1における一点鎖線1A−1Bにおいて切断した断面の一部を示している。
(Position detection sensor)
Next, an example of the position detection sensor will be described with reference to FIG. 7. FIG. 7 is a diagram for explaining the position detection sensor of FIG. 1, and shows a part of a cross section cut along the alternate long and short dash line 1A-1B in FIG.

図7に示されるように、位置検出センサS11は、投光部310と受光部320とを有する。投光部310はトランスファモジュールTMの上壁330に設けられ、受光部320はトランスファモジュールTMの下壁340に設けられている。投光部310は、受光部320に向けてレーザ光Lを照射する。受光部320は、投光部310から照射されたレーザ光Lの受光の有無を検出する。なお、図7では、位置検出センサS11の投光部310及び受光部320を例示しているが、位置検出センサS12についても、位置検出センサS11と同様に投光部及び受光部を有している。これにより、位置検出センサS11の投光部310から受光部320へ照射されたレーザ光Lは、トランスファモジュールTMからプロセスモジュールPM1へ搬送されるウエハW又はフォーカスリングFRにより所定の時間だけ遮られる。また、位置検出センサS12の投光部から受光部へ照射されたレーザ光Lは、トランスファモジュールTMからプロセスモジュールPM1へ搬送されるウエハW又はフォーカスリングFRにより所定の時間だけ遮られる。 As shown in FIG. 7, the position detection sensor S11 has a light emitting unit 310 and a light receiving unit 320. The light emitting unit 310 is provided on the upper wall 330 of the transfer module TM, and the light receiving unit 320 is provided on the lower wall 340 of the transfer module TM. The light emitting unit 310 irradiates the laser beam L toward the light receiving unit 320. The light receiving unit 320 detects the presence or absence of light reception of the laser beam L emitted from the light projecting unit 310. Although FIG. 7 illustrates the light projecting unit 310 and the light receiving unit 320 of the position detection sensor S11, the position detection sensor S12 also has a light emitting unit and a light receiving unit like the position detection sensor S11. There is. As a result, the laser beam L emitted from the light emitting unit 310 to the light receiving unit 320 of the position detection sensor S11 is blocked by the wafer W or the focus ring FR conveyed from the transfer module TM to the process module PM1 for a predetermined time. Further, the laser beam L irradiated from the light emitting portion to the light receiving portion of the position detection sensor S12 is blocked by the wafer W or the focus ring FR conveyed from the transfer module TM to the process module PM1 for a predetermined time.

次に、ウエハW及びフォーカスリングFRの位置を補正する方法に説明する。 Next, a method of correcting the positions of the wafer W and the focus ring FR will be described.

本発明の一実施形態のウエハW及びフォーカスリングFRの位置を補正する方法では、制御部CUが、ウエハWの位置補正及びフォーカスリングFRの位置補正を同一の位置検出センサにより行う。以下、具体的に説明する。 In the method of correcting the positions of the wafer W and the focus ring FR according to the embodiment of the present invention, the control unit CU performs the position correction of the wafer W and the position correction of the focus ring FR by the same position detection sensor. Hereinafter, a specific description will be given.

まず、トランスファモジュールTMからプロセスモジュールPM1へウエハWを搬送する場合について、図8に基づき説明する。図8は、ウエハの位置を補正する方法を説明するための図である。図8(a)は、ウエハWの位置と位置検出センサの位置との関係を示している。図8(b)は、ウエハWが図8(a)における位置P11を起点としてウエハWを位置P14まで搬送したときの位置検出センサS11、S12のセンサ出力の変化を示している。なお、図8(b)において、位置P11での時刻をt11、位置P12での時刻をt12、位置P13での時刻をt13、位置P14での時刻をt14で示している。 First, a case where the wafer W is transferred from the transfer module TM to the process module PM1 will be described with reference to FIG. FIG. 8 is a diagram for explaining a method of correcting the position of the wafer. FIG. 8A shows the relationship between the position of the wafer W and the position of the position detection sensor. FIG. 8B shows changes in the sensor outputs of the position detection sensors S11 and S12 when the wafer W is conveyed from the position P11 in FIG. 8A to the position P14. In FIG. 8B, the time at the position P11 is shown by t11, the time at the position P12 is shown by t12, the time at the position P13 is shown by t13, and the time at the position P14 is shown by t14.

制御部CUは、位置検出センサS11、S12により検出されるウエハWの位置と予め定められた基準位置とに基づいて、ピック112に保持されたウエハWの基準位置からのずれ量を算出する。続いて、制御部CUは、処理ユニット側搬送装置TR1により、算出されたずれ量を補正するようにプロセスモジュールPM1の載置台3にウエハWを載置する。これにより、ピック112に保持されたウエハWの位置が基準位置からずれていた場合であっても、プロセスモジュールPM1の載置台3の所定の位置にウエハWを載置することができる。 The control unit CU calculates the amount of deviation of the wafer W held by the pick 112 from the reference position based on the position of the wafer W detected by the position detection sensors S11 and S12 and the predetermined reference position. Subsequently, the control unit CU mounts the wafer W on the mounting table 3 of the process module PM1 so as to correct the calculated deviation amount by the processing unit side transport device TR1. As a result, even if the position of the wafer W held by the pick 112 deviates from the reference position, the wafer W can be placed at a predetermined position on the mounting table 3 of the process module PM1.

ピック112に保持されたウエハWの位置は、ウエハWの外周縁部が位置検出センサS11、S12を通過することにより生じる位置検出センサS11、S12のセンサ出力の変化に基づいて算出することができる。例えば図8(a)に示されるように、位置P11から位置P14までウエハWを搬送する場合、位置検出センサS11、S12がウエハWにより遮光される位置P12から位置P13までの時間T1に基づいて算出することができる。具体的には、図8(b)に示されるように、位置P12での時刻t12及び位置P13での時刻t13を用いて、T1=t13−t12により算出することができる。なお、図8では、ウエハWにより位置検出センサS11が遮光されるときの位置と位置検出センサS12が遮光されるときの位置とが同じ場合を示しているが、これらの位置は異なっていてもよい。 The position of the wafer W held by the pick 112 can be calculated based on the change in the sensor output of the position detection sensors S11 and S12 caused by the outer peripheral edge of the wafer W passing through the position detection sensors S11 and S12. .. For example, as shown in FIG. 8A, when the wafer W is conveyed from the position P11 to the position P14, the position detection sensors S11 and S12 are shielded by the wafer W based on the time T1 from the position P12 to the position P13. Can be calculated. Specifically, as shown in FIG. 8B, it can be calculated by T1 = t13-t12 using the time t12 at the position P12 and the time t13 at the position P13. Note that FIG. 8 shows a case where the position when the position detection sensor S11 is shielded from light by the wafer W and the position when the position detection sensor S12 is shielded from light are the same, but even if these positions are different. Good.

基準位置は、例えば処理ユニット側搬送装置TR1の第1アーム111の旋回用モータ及び伸縮用モータのエンコーダ位置に基づいて算出することができる。なお、基準位置を算出する方法は、これに限定されず、各種の既存の方法を用いることができる。 The reference position can be calculated based on, for example, the encoder positions of the swivel motor and the telescopic motor of the first arm 111 of the processing unit side transfer device TR1. The method for calculating the reference position is not limited to this, and various existing methods can be used.

次に、トランスファモジュールTMからプロセスモジュールPM1へフォーカスリングFRを搬送する場合について、図9に基づき説明する。図9は、フォーカスリングの位置を補正する方法を説明するための図である。図9(a)は、フォーカスリングFRの位置と位置検出センサの位置との関係を示している。図9(b)は、フォーカスリングFRが図9(b)における位置P21を起点としてフォーカスリングFRを位置P24まで搬送したときの位置検出センサS11、S12のセンサ出力の変化を示している。なお、図9(b)において、位置P21での時刻をt21、位置P22での時刻をt22、位置P23での時刻をt23、位置P24での時刻をt24で示している。 Next, a case where the focus ring FR is conveyed from the transfer module TM to the process module PM1 will be described with reference to FIG. FIG. 9 is a diagram for explaining a method of correcting the position of the focus ring. FIG. 9A shows the relationship between the position of the focus ring FR and the position of the position detection sensor. FIG. 9B shows changes in the sensor outputs of the position detection sensors S11 and S12 when the focus ring FR conveys the focus ring FR from the position P21 in FIG. 9B to the position P24. In FIG. 9B, the time at position P21 is shown at t21, the time at position P22 is shown at t22, the time at position P23 is shown at t23, and the time at position P24 is shown at t24.

制御部CUは、位置検出センサS11、S12により検出されるフォーカスリングFRの位置と予め定められた基準位置とに基づいて、フォーカスリングFRの基準位置からのずれ量を算出する。続いて、制御部CUは、処理ユニット側搬送装置TR1により、算出されたずれ量を補正するようにプロセスモジュールPM1の載置台3にフォーカスリングFRを載置する。これにより、ピック112に保持されたフォーカスリングFRの位置が基準位置からずれていた場合であっても、プロセスモジュールPM1の載置台3の所定の位置にフォーカスリングFRを載置することができる。 The control unit CU calculates the amount of deviation of the focus ring FR from the reference position based on the position of the focus ring FR detected by the position detection sensors S11 and S12 and the predetermined reference position. Subsequently, the control unit CU mounts the focus ring FR on the mounting table 3 of the process module PM1 so as to correct the calculated deviation amount by the processing unit side transport device TR1. As a result, even if the position of the focus ring FR held by the pick 112 deviates from the reference position, the focus ring FR can be placed at a predetermined position on the mounting table 3 of the process module PM1.

ピック112に保持されたフォーカスリングFRの位置は、フォーカスリングFRの内周縁部が位置検出センサS11、S12を通過することにより生じる位置検出センサS11、S12の出力の変化に基づいて算出することができる。例えば図9(a)に示されるように、位置P21から位置P24までフォーカスリングFRを搬送する場合、位置P22から位置P23までフォーカスリングFRが移動する時間T2に基づいて算出することができる。位置P22は、位置検出センサS11、S12のセンサ出力がロー(L)レベルからハイ(H)レベルに変化する位置であり、位置P23は、位置検出センサS11、S12のセンサ出力がハイ(H)レベルからロー(L)レベルに変化する位置である。具体的には、図9(b)に示されるように、位置P22での時刻t22及び位置P23での時刻t23を用いて、T2=t23−t22により算出することができる。なお、図9では、フォーカスリングFRにより位置検出センサS11が遮光される位置と位置検出センサS12が遮光される位置とが同じ場合を示しているが、これらの位置は異なっていてもよい。 The position of the focus ring FR held by the pick 112 can be calculated based on the change in the output of the position detection sensors S11 and S12 caused by the inner peripheral edge of the focus ring FR passing through the position detection sensors S11 and S12. it can. For example, as shown in FIG. 9A, when the focus ring FR is conveyed from the position P21 to the position P24, it can be calculated based on the time T2 at which the focus ring FR moves from the position P22 to the position P23. The position P22 is a position where the sensor outputs of the position detection sensors S11 and S12 change from a low (L) level to a high (H) level, and the position P23 is a position where the sensor outputs of the position detection sensors S11 and S12 are high (H). This is the position where the level changes from the low (L) level. Specifically, as shown in FIG. 9B, it can be calculated by T2 = t23-t22 using the time t22 at the position P22 and the time t23 at the position P23. Note that FIG. 9 shows a case where the position where the position detection sensor S11 is shaded by the focus ring FR and the position where the position detection sensor S12 is shaded are the same, but these positions may be different.

また、搬送中にフォーカスリングFRが破損ないし落下した場合、図9にて示される波形を検出できない。この場合には、フォーカスリング搬送の異常と判断し、搬送処理を中断する。 Further, if the focus ring FR is damaged or dropped during transportation, the waveform shown in FIG. 9 cannot be detected. In this case, it is determined that the focus ring transport is abnormal, and the transport process is interrupted.

基準位置は、例えば処理ユニット側搬送装置TR1の第1アーム111の旋回用モータ及び伸縮用モータのエンコーダ位置に基づいて算出することができる。なお、基準位置を算出する方法は、これに限定されず、各種の既存の方法を用いることができる。 The reference position can be calculated based on, for example, the encoder positions of the swivel motor and the telescopic motor of the first arm 111 of the processing unit side transfer device TR1. The method for calculating the reference position is not limited to this, and various existing methods can be used.

以上、本発明の好ましい実施形態について説明したが、本発明は係る特定の実施形態に限定されるものではなく、特許請求の範囲内に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。 Although the preferred embodiment of the present invention has been described above, the present invention is not limited to the specific embodiment, and various modifications are made within the scope of the gist of the present invention described in the claims.・ Can be changed.

上記の実施形態では、第1のクリーニングステップS30及び第2のクリーニングステップS50において、プラズマを用いたクリーニング処理を行う場合を例に挙げて説明したが、これに限定されない。例えば、プラズマを用いずにガス衝撃力、ガス粘性力及び電磁応力を用いて処理室内部の構成部品からパーティクルを剥離させると共に処理室内部から排出するNPPC(Non Plasma Particle Cleaning)を用いた処理であってもよい(特開2005−101539号公報)。 In the above embodiment, the case where the cleaning process using plasma is performed in the first cleaning step S30 and the second cleaning step S50 has been described as an example, but the present invention is not limited thereto. For example, in a process using NPPC (Non Plasma Particle Cleaning) that separates particles from the components inside the processing chamber using gas impact force, gas viscous force, and electromagnetic stress without using plasma and discharges them from the processing chamber. It may be present (Japanese Patent Laid-Open No. 2005-101039).

3 載置台
10 処理室
112 ピック
113 突起部
FR フォーカスリング
PM プロセスモジュール
TM トランスファモジュール
TR1 処理ユニット側搬送装置
TR2 搬送ユニット側搬送装置
W ウエハ
3 Mounting table 10 Processing chamber 112 Pick 113 Protrusion FR Focus ring PM Process module TM Transfer module TR1 Processing unit side transfer device TR2 Transfer unit side transfer device W Wafer

Claims (11)

プロセスモジュールと、
前記プロセスモジュール内に設けられ、フォーカスリングを載置するフォーカスリング載置面を有する載置台と、
前記フォーカスリングを前記フォーカスリング載置面に対して昇降させる昇降ピンと、
前記フォーカスリングを搬送する搬送装置と、
制御部と、を有し、
前記制御部は、
前記プロセスモジュール内に搬入され、前記フォーカスリング載置面に位置補正されて載置された前記フォーカスリングを静電吸着して保持する工程と、
前記フォーカスリングの吸着を停止する工程と、
前記停止する工程の後に前記昇降ピンが前記フォーカスリングを前記フォーカスリング載置面から離間させる工程と、
前記プロセスモジュールを大気開放することなく、前記搬送装置が前記フォーカスリングを前記プロセスモジュール内から搬出する工程と、
を実行するように構成される処理システム。
Process module and
A mounting table provided in the process module and having a focus ring mounting surface on which the focus ring is mounted,
An elevating pin that raises and lowers the focus ring with respect to the focus ring mounting surface,
A transport device that transports the focus ring and
Has a control unit,
The control unit
A step of electrostatically attracting and holding the focus ring carried into the process module, whose position is corrected and placed on the focus ring mounting surface, and
The step of stopping the adsorption of the focus ring and
After the stop step, the elevating pin separates the focus ring from the focus ring mounting surface.
A step in which the transfer device carries out the focus ring from the inside of the process module without opening the process module to the atmosphere.
A processing system that is configured to run.
前記フォーカスリング載置面に保持された前記フォーカスリングの裏面に伝熱ガスを供給する伝熱ガス供給部をさらに有する、
請求項1に記載の処理システム。
Further having a heat transfer gas supply unit for supplying heat transfer gas to the back surface of the focus ring held on the focus ring mounting surface.
The processing system according to claim 1.
前記フォーカスリングは、前記プロセスモジュールに搬送される途中に位置が検出され、位置補正されて前記フォーカスリング載置面に載置される、
請求項1または2に記載の処理システム。
The position of the focus ring is detected during transportation to the process module, the position is corrected, and the focus ring is placed on the focus ring mounting surface.
The processing system according to claim 1 or 2.
前記フォーカスリングの位置の検出は、前記フォーカスリングの内周縁部を検出することにより行われる、
請求項3に記載の処理システム。
The position of the focus ring is detected by detecting the inner peripheral edge of the focus ring.
The processing system according to claim 3.
前記搬出する工程の前に前記プロセスモジュールの第1のクリーニングが行われる、
請求項1〜4のいずれか一項に記載の処理システム。
A first cleaning of the process module is performed prior to the unloading step.
The processing system according to any one of claims 1 to 4.
前記第1のクリーニングは、前記載置台に前記フォーカスリングが載置された状態で行われる、
請求項5に記載の処理システム。
The first cleaning is performed with the focus ring mounted on the above-mentioned stand.
The processing system according to claim 5.
前記搬出する工程の後に前記プロセスモジュールの第2のクリーニングが行われる、
請求項1〜6のいずれか一項に記載の処理システム。
A second cleaning of the process module is performed after the unloading step.
The processing system according to any one of claims 1 to 6.
前記プロセスモジュールに接続されるトランスファモジュールと、
前記トランスファモジュール内の、前記トランスファモジュールから前記プロセスモジュールへの前記フォーカスリングの搬送経路中に、前記フォーカスリングの位置を検出するセンサと、をさらに有する、
請求項1〜7のいずれか一項に記載の処理システム。
The transfer module connected to the process module and
A sensor that detects the position of the focus ring in the transfer path of the focus ring from the transfer module to the process module in the transfer module is further included.
The processing system according to any one of claims 1 to 7.
前記搬送装置が前記フォーカスリングを保持した状態で前記フォーカスリングの位置が検出される、
請求項3、4、または8に記載の処理システム。
The position of the focus ring is detected while the transport device holds the focus ring.
The processing system according to claim 3, 4, or 8.
前記プロセスモジュールは、エッチング処理に用いられる処理ガスの供給源と、成膜処理に用いられる処理ガスの供給源と、クリーニング処理に用いられる処理ガスの供給源とを有する、
請求項1〜9のいずれか一項に記載の処理システム。
The process module has a supply source of a processing gas used for an etching process, a supply source of a processing gas used for a film forming process, and a supply source of a processing gas used for a cleaning process.
The processing system according to any one of claims 1 to 9.
前記制御部は、
前記載置台に載置されている前記フォーカスリングの交換が必要であるか否かを判定する工程と、
前記フォーカスリングの交換を行うことができる状態か否かを判定する交換可否判定工程と、
をさらに実行するように構成される、請求項1〜10のいずれか一項に記載の処理システム。
The control unit
The step of determining whether or not the focus ring mounted on the above-mentioned stand needs to be replaced, and
The exchangeability determination step of determining whether or not the focus ring can be exchanged, and
The processing system according to any one of claims 1 to 10, further comprising.
JP2020098377A 2020-06-05 2020-06-05 Processing system Active JP6824461B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2020098377A JP6824461B2 (en) 2020-06-05 2020-06-05 Processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020098377A JP6824461B2 (en) 2020-06-05 2020-06-05 Processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019140926A Division JP6719629B2 (en) 2019-07-31 2019-07-31 Plasma processing system and transfer method

Publications (2)

Publication Number Publication Date
JP2020161827A true JP2020161827A (en) 2020-10-01
JP6824461B2 JP6824461B2 (en) 2021-02-03

Family

ID=72639981

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020098377A Active JP6824461B2 (en) 2020-06-05 2020-06-05 Processing system

Country Status (1)

Country Link
JP (1) JP6824461B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022163582A1 (en) * 2021-01-29 2022-08-04 東京エレクトロン株式会社 Plasma processing device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04133527U (en) * 1991-01-24 1992-12-11 旭光学工業株式会社 robot equipment
JP2002025982A (en) * 2000-07-04 2002-01-25 Tokyo Electron Ltd Method for predicting degree of consumption of consumables and thickness of deposited film
JP2005520337A (en) * 2002-03-12 2005-07-07 東京エレクトロン株式会社 Improved substrate holder for plasma processing
JP2006196691A (en) * 2005-01-13 2006-07-27 Toshiba Corp Device for manufacturing semiconductor and manufacturing method for semiconductor device
JP2011054933A (en) * 2009-08-07 2011-03-17 Tokyo Electron Ltd Substrate treatment device, method for positioning, and method for installing focus ring
JP2012216614A (en) * 2011-03-31 2012-11-08 Tokyo Electron Ltd Substrate processing apparatus
JP2015074039A (en) * 2013-10-08 2015-04-20 シンフォニアテクノロジー株式会社 Carrier device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04133527U (en) * 1991-01-24 1992-12-11 旭光学工業株式会社 robot equipment
JP2002025982A (en) * 2000-07-04 2002-01-25 Tokyo Electron Ltd Method for predicting degree of consumption of consumables and thickness of deposited film
JP2005520337A (en) * 2002-03-12 2005-07-07 東京エレクトロン株式会社 Improved substrate holder for plasma processing
JP2006196691A (en) * 2005-01-13 2006-07-27 Toshiba Corp Device for manufacturing semiconductor and manufacturing method for semiconductor device
JP2011054933A (en) * 2009-08-07 2011-03-17 Tokyo Electron Ltd Substrate treatment device, method for positioning, and method for installing focus ring
JP2012216614A (en) * 2011-03-31 2012-11-08 Tokyo Electron Ltd Substrate processing apparatus
JP2015074039A (en) * 2013-10-08 2015-04-20 シンフォニアテクノロジー株式会社 Carrier device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022163582A1 (en) * 2021-01-29 2022-08-04 東京エレクトロン株式会社 Plasma processing device

Also Published As

Publication number Publication date
JP6824461B2 (en) 2021-02-03

Similar Documents

Publication Publication Date Title
KR102459565B1 (en) Pick, transfer device and plasma processing system
US20190122870A1 (en) Focus ring replacement method and plasma processing system
JP2009152345A (en) Plasma processing apparatus and plasma processing method
JP2019176031A (en) Plasma processing apparatus and method for conveying object to be processed
US11804368B2 (en) Cleaning method and plasma processing apparatus
JP2022117671A (en) Storage container and processing system
JP2021141308A (en) Cleaning method and plasma processing apparatus
JP6824461B2 (en) Processing system
JP6719629B2 (en) Plasma processing system and transfer method
JP7008609B2 (en) Board processing device and transfer position correction method
JP7425149B2 (en) plasma treatment system
JP2019186579A (en) Plasma treatment system and focus ring exchanging method
WO2022250014A1 (en) Substrate processing system and maintenance method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200605

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20200605

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20200813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201215

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210112

R150 Certificate of patent or registration of utility model

Ref document number: 6824461

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250