JP2020161690A - Substrate processing system, substrate processing method, storage medium, and controller for substrate processing system - Google Patents

Substrate processing system, substrate processing method, storage medium, and controller for substrate processing system Download PDF

Info

Publication number
JP2020161690A
JP2020161690A JP2019060909A JP2019060909A JP2020161690A JP 2020161690 A JP2020161690 A JP 2020161690A JP 2019060909 A JP2019060909 A JP 2019060909A JP 2019060909 A JP2019060909 A JP 2019060909A JP 2020161690 A JP2020161690 A JP 2020161690A
Authority
JP
Japan
Prior art keywords
substrate
processing
unit
etching
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019060909A
Other languages
Japanese (ja)
Other versions
JP7291515B2 (en
Inventor
志村 悟
Satoru Shimura
悟 志村
聡一郎 岡田
Soichiro Okada
聡一郎 岡田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019060909A priority Critical patent/JP7291515B2/en
Publication of JP2020161690A publication Critical patent/JP2020161690A/en
Application granted granted Critical
Publication of JP7291515B2 publication Critical patent/JP7291515B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

To perform appropriate control in substrate processing performed throughout application processing, exposure processing, and etching processing to improve a yield of the substrate processing.SOLUTION: A substrate processing system performs processing on a substrate using a plurality of processing units, the substrate processing system comprising: an application processing unit for applying processing liquid on the substrate to form a process film on the substrate; an exposure processing unit for performing pattern exposure processing on the substrate on which the process film is formed; development processing unit for performing development processing on the substrate on which the exposure processing has been performed to form a pattern for etching processing on the substrate; an etching processing unit for performing the etching processing on the substrate on which the development processing has been performed; a measurement unit for measuring a shape of a peripheral part of the substrate; and a control unit. The control unit is configured to acquire shape information on the substrate measured by the measurement unit and, on the basis of the acquired shape information, output control information for changing an exposure condition for the peripheral part of the substrate of the exposure processing unit and an etching condition for the peripheral part of the substrate of the etching processing unit.SELECTED DRAWING: Figure 1

Description

本開示は、基板処理システム、基板処理方法、記憶媒体及び基板処理システムの制御装置に関する。 The present disclosure relates to a substrate processing system, a substrate processing method, a storage medium, and a control device for the substrate processing system.

特許文献1には、基板の表面の周端部について、当該基板の径方向に沿った高さの分布を取得する工程と、次いで、前記高さの分布に基づいて前記周端部の高さの落ち込みを矯正するように前記基板の表面全体に下層膜を形成する工程と、続いて、前記下層膜の表面全体にレジスト膜を形成する工程と、を備えたことを特徴とする基板処理方法が記載されている。 Patent Document 1 describes a step of acquiring a height distribution of the peripheral end portion of the surface of the substrate along the radial direction of the substrate, and then the height of the peripheral end portion based on the height distribution. A substrate processing method comprising a step of forming an underlayer film on the entire surface of the substrate so as to correct the depression of the substrate, and subsequently a step of forming a resist film on the entire surface of the underlayer film. Is described.

再公表特許2017−047355号公報Republished Patent No. 2017-0473555

本開示にかかる技術は、塗布処理、露光処理、エッチング処理を通じて行われる基板処理において、適切な制御を行なって基板処理の歩留まりを向上させる。 The technique according to the present disclosure improves the yield of the substrate processing by appropriately controlling the substrate processing performed through the coating process, the exposure process, and the etching process.

本開示の一態様は、複数の処理部で基板に処理を行う基板処理システムであって、基板に対して処理液を塗布して前記基板上に処理膜を形成する塗布処理部と、前記処理膜が形成された基板に対してパターンの露光処理を行う露光処理部と、前記露光処理された基板に対して現像処理することにより当該基板上にエッチング処理のパターンを形成する現像処理部と、前記現像処理された基板に対してエッチング処理を行うエッチング処理部と、基板の周縁部の形状を測定する測定部と、制御部と、を備え、前記制御部は、前記測定部によって測定された基板の形状情報を取得し、取得した前記形状情報に基づき、前記露光処理部における基板の周縁部の露光条件及び前記エッチング処理部における基板の周縁部のエッチング条件を変更するための制御情報を出力するように構成されている。 One aspect of the present disclosure is a substrate processing system in which a plurality of processing units process a substrate, the coating processing unit applying a processing liquid to the substrate to form a processing film on the substrate, and the processing. An exposure processing unit that performs pattern exposure processing on a substrate on which a film is formed, and a development processing unit that develops an etching processing pattern on the exposed substrate by developing the exposed substrate. It includes an etching processing unit that performs etching processing on the developed substrate, a measurement unit that measures the shape of the peripheral portion of the substrate, and a control unit, and the control unit is measured by the measurement unit. The shape information of the substrate is acquired, and based on the acquired shape information, control information for changing the exposure condition of the peripheral portion of the substrate in the exposure processing unit and the etching condition of the peripheral portion of the substrate in the etching processing unit is output. It is configured to do.

本開示によれば、塗布処理、露光処理、エッチング処理を通じて行われる基板処理において、適切な制御を行なって基板処理の歩留まりを向上させることができる。 According to the present disclosure, in the substrate processing performed through the coating process, the exposure process, and the etching process, appropriate control can be performed to improve the yield of the substrate process.

本実施形態にかかる基板処理システムの構成の概略を示す説明である。It is the description which shows the outline of the structure of the substrate processing system which concerns on this Embodiment. 図1の基板処理システムで用いた塗布現像処理装置の平面を模式的に示した説明図である。It is explanatory drawing which shows typically the plane of the coating development processing apparatus used in the substrate processing system of FIG. 図1の基板処理システムで用いた塗布現像処理装置に搭載された下層膜形成ユニットの側面断面を模式的に示した説明図である。It is explanatory drawing which shows typically the side cross section of the underlayer film forming unit mounted on the coating development processing apparatus used in the substrate processing system of FIG. 図1の基板処理システムで用いたエッチング処理装置の側面断面を模式的に示した説明図である。It is explanatory drawing which shows typically the side cross section of the etching processing apparatus used in the substrate processing system of FIG. 図1の基板処理システムで用いた塗布現像処理装置によってパターン形成処理を行なった後のウェハの周縁部のデバイス形成領域でCDを測定した結果とウェハの位置との関係を示すグラフである。It is a graph which shows the relationship between the result of measuring the CD in the device formation region of the peripheral part of a wafer after the pattern formation processing by the coating development processing apparatus used in the substrate processing system of FIG. 1 and the position of a wafer. 図1の基板処理システムで用いた塗布現像処理装置によってパターン形成処理を行なった後のウェハの周縁部の断面図である。It is sectional drawing of the peripheral part of the wafer after the pattern formation processing by the coating development processing apparatus used in the substrate processing system of FIG. 図6のウェハに対してエッチング処理の際に補正を行なってエッチング処理を実施したときのウェハの周縁部の断面図である。It is sectional drawing of the peripheral part of the wafer when the wafer of FIG. 6 is corrected at the time of the etching process and the etching process is performed. 図6のウェハに対してエッチング処理の際に補正を行なってエッチング処理を実施したときのウェハの周縁部のデバイス形成領域でCDを測定した結果とウェハの位置との関係を示すグラフである。It is a graph which shows the relationship between the result of measuring the CD in the device formation region of the peripheral part of the wafer and the position of a wafer when the wafer of FIG. 6 is corrected at the time of the etching process and the etching process is performed. 下層膜の周縁部の膜厚を増加させる補正を行った後、パターン形成処理を行なった場合のウェハの周縁部の断面図である。It is sectional drawing of the peripheral part of the wafer when the pattern formation process is performed after the correction which increases the film thickness of the peripheral part of the underlayer film. 図9に示したウェハのパターン形成後のウェハの周縁部のデバイス形成領域でCDを測定した結果とウェハの位置との関係を示すグラフである。It is a graph which shows the relationship between the result of measuring the CD in the device formation region of the peripheral part of the wafer after the pattern formation of the wafer shown in FIG. 9 and the position of a wafer. 図9に示したウェハに対して、そのままエッチング処理したときのウェハの周縁部のデバイス形成領域でCDを測定した結果とウェハの位置との関係を示すグラフである。It is a graph which shows the relationship between the result of measuring the CD in the device formation region of the peripheral part of the wafer and the position of a wafer when the wafer shown in FIG. 9 is etched as it is. 下層膜の周縁部の膜厚を増加させる補正を行って、ウェハ上レジストパターンを均一な高さにしたときのウェハの周縁部の断面図である。It is sectional drawing of the peripheral part of the wafer when the film thickness of the peripheral part of the lower layer film is corrected to make the resist pattern on a wafer uniform height. 図12に示したウェハに対して補正なしにエッチング処理したときの中心部寄りの下層膜が薄い領域の線幅の様子を示す断面図である。It is sectional drawing which shows the state of the line width of the region where the underlayer film near the center part is thin when the wafer shown in FIG. 12 is etched without correction. 図12に示したウェハに対して補正なしにエッチング処理したときの中心部寄りの下層膜が厚い領域の線幅の様子を示す断面図である。It is sectional drawing which shows the state of the line width of the region where the underlayer film near the center part is thick when the wafer shown in FIG. 12 is etched without correction. 制御テーブルの一例を示す図表である。It is a figure which shows an example of a control table.

半導体デバイスの製造プロセスでは、半導体装置の製造工程の一つであるフォトリソグラフィ工程において、基板である半導体ウェハ(以下、ウェハと記載することがある)にレジストパターンが形成される。レジストパターンが形成されたウェハは、例えば次にエッチング処理に付される。 In the semiconductor device manufacturing process, a resist pattern is formed on a semiconductor wafer (hereinafter, may be referred to as a wafer) as a substrate in a photolithography process, which is one of the semiconductor device manufacturing processes. The wafer on which the resist pattern is formed is then subjected to, for example, an etching process.

このレジストパターンを形成するために、ウェハは例えばレジストの塗布、現像を行う塗布現像装置に搬送されてレジストの塗布処理が行われた後に、露光処理装置に搬送されて、所望のパターンが露光される。 In order to form this resist pattern, for example, the wafer is conveyed to a coating and developing apparatus that coats and develops a resist, and after the resist coating treatment is performed, it is conveyed to an exposure processing apparatus to expose a desired pattern. To.

上記のウェハの表面の周端部は外方へ向かって下降する傾斜面として構成されている。また特許文献1に記載されているように、膜の形成とCMP(Chemical Mechanical Polishing)による当該膜の除去とが繰り返して行われたウェハでは、上記の傾斜面における当該傾斜面の上端の高さと、当該傾斜面の上端からウェハの外方へ所定の距離ずれた位置の高さとの差(落ち込み量)であるEdge Roll−Off(エッジロールオフ)量が大きくなる傾向がある。 The peripheral end of the surface of the wafer is configured as an inclined surface that descends outward. Further, as described in Patent Document 1, in a wafer in which the formation of a film and the removal of the film by CMP (Chemical Mechanical Polishing) are repeatedly performed, the height of the upper end of the inclined surface on the inclined surface is higher. The amount of Edge Roll-Off (edge roll-off), which is the difference (the amount of depression) from the height of the position deviated by a predetermined distance from the upper end of the inclined surface to the outside of the wafer, tends to be large.

一方で露光処理装置では、フォーカス面と露光処理が行われる領域のウェハの表面とが揃うように、当該ウェハを傾けるレベリング補正が行われるが、ウェハの周端部においてはエッジロールオフが比較的大きくなり、デフォーカス(焦点異常)となってしまう。デフォーカスが発生すると、レジストパターンの線幅であるCD(Critical Dimension)の大きさが設計値から変動してしまうので、この周縁領域における半導体製品の歩留りが低下する。 On the other hand, in the exposure processing apparatus, leveling correction for tilting the wafer is performed so that the focus surface and the surface of the wafer in the region where the exposure processing is performed are aligned, but the edge roll-off is relatively large at the peripheral end of the wafer. It becomes large and becomes defocused (abnormal focus). When defocusing occurs, the size of the CD (Critical Dimensions), which is the line width of the resist pattern, fluctuates from the design value, so that the yield of the semiconductor product in this peripheral region decreases.

特許文献1に開示された技術は、ウェハの径方向に沿った高さの分布を取得し、当該高さの分布に基づいてウェハの周端部の高さの落ち込みを矯正するように、ウェハの表面全体に下層膜を形成するようにしている。 The technique disclosed in Patent Document 1 acquires a height distribution along the radial direction of the wafer and corrects a drop in height at the peripheral end of the wafer based on the height distribution. An underlayer film is formed on the entire surface of the wafer.

しかしながら、最近の微細なパターンではかかる技術をもってしても十分ではない場合があり、この点でさらに改善が望まれていた。本開示にかかる技術は、塗布処理、露光処理、エッチング処理を通じて行われる基板処理において、従来よりもさらに適切な制御を行なって、特にウェハの周縁部のデバイス形成領域の歩留まりを向上させる。 However, recent fine patterns may not be sufficient even with such a technique, and further improvement has been desired in this respect. The technique according to the present disclosure performs more appropriate control than before in the substrate treatment performed through the coating treatment, the exposure treatment, and the etching treatment, and particularly improves the yield of the device forming region in the peripheral portion of the wafer.

以下、本実施形態にかかる基板処理システムについて、図面を参照しながら説明する。なお、本明細書において、実質的に同一の機能構成を有する要素においては、同一の符号を付することにより重複説明を省略する。 Hereinafter, the substrate processing system according to this embodiment will be described with reference to the drawings. In this specification, elements having substantially the same functional configuration are designated by the same reference numerals, so that duplicate description will be omitted.

<基板処理システム>
図1は、本実施形態にかかる基板処理システム1の概略を示す説明図である。この基板処理システム1は、基板としてのウェハに対して、処理膜、例えばレジスト膜の下側に位置する下層膜を形成する塗布処理部10と、露光処理後のウェハに対してエッチング処理のパターンを形成する現像処理部20とを搭載した塗布現像処理装置2と、レジスト膜形成後にパターンの露光を行なう露光処理部としての露光処理装置3と、塗布現像処理装置2においてエッチング処理のパターンが形成されたウェハに対してエッチング処理を行なうエッチング処理部としてのエッチング処理装置4とを有している。
<Board processing system>
FIG. 1 is an explanatory diagram showing an outline of the substrate processing system 1 according to the present embodiment. In this substrate processing system 1, a coating processing unit 10 that forms a processing film, for example, a lower layer film located under a resist film on a wafer as a substrate, and an etching processing pattern on the wafer after exposure processing. The etching processing pattern is formed in the coating development processing device 2 equipped with the development processing unit 20 for forming the resist film, the exposure processing device 3 as the exposure processing unit for exposing the pattern after the resist film is formed, and the coating development processing device 2. It has an etching processing apparatus 4 as an etching processing unit that performs an etching process on the formed wafer.

またこの基板処理システム1は、ウェハの形状、たとえばウェハの周縁部の形状、例えば高さを測定する測定部5を有している。測定部5は、図1に示したように、塗布現像処理装置2、露光処理装置3、エッチング処理装置4とは独立した測定装置して設置してもよく、また塗布現像処理装置2に搭載された検査装置(例えば膜厚測定装置やウェハのエッジ部分を監視するエッジカメラ)であってもよい。また測定部5は、露光処理装置3に設けられているレべリングセンサであってもよい。レべリングセンサは、たとえば前記したレベリング補正を行う前に、露光処理の対象であるウェハの形状を測定することに用いられている。 Further, the substrate processing system 1 has a measuring unit 5 for measuring the shape of the wafer, for example, the shape of the peripheral portion of the wafer, for example, the height. As shown in FIG. 1, the measuring unit 5 may be installed as a measuring device independent of the coating and developing processing apparatus 2, the exposure processing apparatus 3, and the etching processing apparatus 4, and may be mounted on the coating and developing processing apparatus 2. It may be an inspection device (for example, a film thickness measuring device or an edge camera that monitors an edge portion of a wafer). Further, the measuring unit 5 may be a leveling sensor provided in the exposure processing device 3. The leveling sensor is used to measure the shape of a wafer to be exposed, for example, before performing the leveling correction described above.

そして基板処理システム1は、測定部5から出力されたウェハの形状情報を取得し、取得した形状情報に基づき、塗布現像処理装置2、露光処理装置3、エッチング処理装置4に対して、処理条件を変更するための制御情報を出力する制御部としての制御装置6を有している。 Then, the substrate processing system 1 acquires the shape information of the wafer output from the measuring unit 5, and based on the acquired shape information, the processing conditions are applied to the coating development processing device 2, the exposure processing device 3, and the etching processing device 4. It has a control device 6 as a control unit that outputs control information for changing the.

本実施の形態では、制御装置6は、塗布現像処理装置2に対しては、レジスト膜の下層の処理膜(下層膜)、例えばSOC膜やSOG膜の周縁部の膜厚を調整する制御情報を塗布処理部10に出力することが可能である。また制御装置6は、露光処理装置3に対しては、ウェハの周縁部の露光条件を変更するための制御情報、たとえばドーズ量の調整やフォーカス点の制御を行なうための制御情報を出力することが可能である。さらにまた制御装置6は、エッチング処理装置4に対して、ウェハの周縁部のエッチングの際のエッチングレートを制御することが可能である。すなわち制御装置6は、測定部5からの形状情報、露光処理装置3での露光条件の一方または双方に基づいた制御信号を、エッチング処理前に出力することができる。 In the present embodiment, the control device 6 adjusts the film thickness of the treatment film (lower layer film) under the resist film, for example, the film thickness of the peripheral portion of the SOC film or the SOG film, with respect to the coating development processing device 2. Can be output to the coating processing unit 10. Further, the control device 6 outputs to the exposure processing device 3 control information for changing the exposure condition of the peripheral portion of the wafer, for example, control information for adjusting the dose amount and controlling the focus point. Is possible. Furthermore, the control device 6 can control the etching rate at the time of etching the peripheral portion of the wafer with respect to the etching processing device 4. That is, the control device 6 can output the shape information from the measuring unit 5 and the control signal based on one or both of the exposure conditions in the exposure processing device 3 before the etching process.

<塗布現像処理装置>
本実施の形態で採用した塗布現像処理装置2は、例えば図2に示した構成を有している。すなわち、塗布現像処理装置2は、複数枚のウェハWを収容したカセットCが搬入出されるカセットステーション30と、ウェハWに所定の処理を施す複数の各種処理ユニットを備えた処理ステーション31と、を有する。そして、塗布現像処理装置2は、カセットステーション30と、処理ステーション31と、処理ステーション31に隣接する前記した露光処理装置3との間でウェハWの受け渡しを行うインターフェイスステーション32と、を一体に接続した構成を有している。
<Applying and developing processing equipment>
The coating and developing processing apparatus 2 adopted in the present embodiment has, for example, the configuration shown in FIG. That is, the coating and developing processing apparatus 2 includes a cassette station 30 into which a cassette C accommodating a plurality of wafers W is carried in and out, and a processing station 31 provided with a plurality of various processing units for performing predetermined processing on the wafer W. Have. Then, the coating and developing processing apparatus 2 integrally connects the cassette station 30, the processing station 31, and the interface station 32 that transfers the wafer W between the processing station 31 and the exposure processing apparatus 3 adjacent to the processing station 31. It has the above-mentioned configuration.

処理ステーション31には、各種ユニットを備えた複数例えば4つのブロックG1、G2、G3、G4が設けられている。第1のブロックG1には、複数の液処理ユニット、例えば現像処理ユニット、SOC膜やSOG膜を形成する下層膜形成ユニット、レジスト膜形成ユニットが設けられている。現像処理ユニットは、前記した現像処理部20を構成する。下層膜形成ユニットは、前記した塗布処理部10を構成する。 The processing station 31 is provided with a plurality of, for example, four blocks G1, G2, G3, and G4 having various units. The first block G1 is provided with a plurality of liquid treatment units, for example, a development treatment unit, a lower layer film forming unit for forming an SOC film or an SOG film, and a resist film forming unit. The development processing unit constitutes the development processing unit 20 described above. The underlayer film forming unit constitutes the above-mentioned coating treatment unit 10.

第2のブロックG2には、たとえば熱処理ユニットが設けられている。熱処理ユニットは、ウェハWの加熱や冷却といった熱処理を行う。第3のブロックG3、第4のブロックG4には、ウェハWの受け渡しユニットが、複数設けられている。そしてこれら各ユニット間や、第3のブロックG3と第4のブロックG4と各ユニット間のウェハWの搬送は、搬送装置34、35によって行われる。またカセットCと第3のブロックG3の受け渡しユニットとの間のウェハWの搬送は、搬送装置36によって行われ、インターフェイスステーション32の受け渡しユニット37と第4のブロックG4の受け渡しユニットとの間のウェハWの搬送は、搬送装置38によって行われる。そして搬送装置38は、露光処理装置3との間でウェハWの搬入出を行なう。 The second block G2 is provided with, for example, a heat treatment unit. The heat treatment unit performs heat treatment such as heating and cooling of the wafer W. A plurality of wafer W transfer units are provided in the third block G3 and the fourth block G4. Then, the transfer of the wafer W between each of these units and between the third block G3 and the fourth block G4 and each unit is performed by the transfer devices 34 and 35. Further, the wafer W is transferred between the cassette C and the transfer unit of the third block G3 by the transfer device 36, and the wafer between the transfer unit 37 of the interface station 32 and the transfer unit of the fourth block G4. The transport of W is performed by the transport device 38. Then, the transfer device 38 carries in and out the wafer W to and from the exposure processing device 3.

以上の構成を有する塗布現像処理装置2では、ウェハWに対する下地膜の塗布、レジスト膜の塗布、露光処理後の現像処理、並びに必要な熱処理が行なわれる。 In the coating development processing apparatus 2 having the above configuration, the coating of the base film, the coating of the resist film, the development treatment after the exposure treatment, and the necessary heat treatment are performed on the wafer W.

<下層膜形成ユニット>
下層膜形成ユニットは、例えば図3に示した構成を有している。すなわち、この下層膜形成ユニット40は、内部を閉鎖可能な処理容器41を有している。処理容器41の側面には、ウェハWの搬入出口(図示せず)が形成されている。処理容器41内の中央部には、ウェハWを保持して回転させるスピンチャック42が設けられている。スピンチャック42は水平な上面を有し、当該上面には、例えばウェハWを吸引する吸引口(図示せず)が設けられている。この吸引口からの吸引により、ウェハWをスピンチャック42上に吸着保持できる。
<Lower layer film forming unit>
The underlayer film forming unit has, for example, the configuration shown in FIG. That is, the underlayer film forming unit 40 has a processing container 41 that can be closed inside. A wafer W loading / unloading port (not shown) is formed on the side surface of the processing container 41. A spin chuck 42 for holding and rotating the wafer W is provided in the central portion of the processing container 41. The spin chuck 42 has a horizontal upper surface, and the upper surface is provided with, for example, a suction port (not shown) for sucking the wafer W. By suction from this suction port, the wafer W can be sucked and held on the spin chuck 42.

スピンチャック42は、例えばモータなどを備えたチャック駆動機構43を有し、チャック駆動機構43により所定の速度に回転できる。またチャック駆動機構43には、シリンダなどの昇降駆動源(図示せず)が設けられており、スピンチャック42は上下動可能である。 The spin chuck 42 has a chuck drive mechanism 43 provided with, for example, a motor, and can be rotated to a predetermined speed by the chuck drive mechanism 43. Further, the chuck drive mechanism 43 is provided with a lifting drive source (not shown) such as a cylinder, and the spin chuck 42 can move up and down.

スピンチャック42の周囲には、ウェハWから飛散又は落下する液体を受け止め、回収するカップ44が設けられている。カップ44の下面には、回収した液体を処理容器41外に排出する排出管45と、カップ44内の雰囲気を処理容器41外に排気する排気管46が接続されている。排気管46には、排気装置47が接続されている。 A cup 44 is provided around the spin chuck 42 to receive and collect the liquid scattered or dropped from the wafer W. An exhaust pipe 45 for discharging the collected liquid to the outside of the processing container 41 and an exhaust pipe 46 for exhausting the atmosphere inside the cup 44 to the outside of the processing container 41 are connected to the lower surface of the cup 44. An exhaust device 47 is connected to the exhaust pipe 46.

スピンチャック42上のウェハW上の表面に下層膜を塗布するための塗布ノズル48は、処理容器41内を所定方向に移動自在なアームに支持されている。そして塗布ノズル48は、下層膜を形成するための液体原料の供給源49に接続されている。 The coating nozzle 48 for coating the underlayer film on the surface of the wafer W on the spin chuck 42 is supported by an arm that can move in a predetermined direction in the processing container 41. The coating nozzle 48 is connected to a supply source 49 of a liquid raw material for forming the underlayer film.

以上の構成を有する下層膜形成ユニット40によれば、いわゆるスピンコーティング法によって、ウェハWの表面に所望の厚さの下層膜を形成することができる。なおここでいう下層膜とは、レジスト膜の下層に形成される処理膜をいう。 According to the underlayer film forming unit 40 having the above structure, an underlayer film having a desired thickness can be formed on the surface of the wafer W by a so-called spin coating method. The lower layer film referred to here means a treated film formed under the resist film.

<露光処理装置>
露光処理装置3は、表面にレジスト膜が形成されたウェハWに対して、所定のパターンの露光処理を行なうように構成されている。
<Exposure processing equipment>
The exposure processing apparatus 3 is configured to perform an exposure process of a predetermined pattern on the wafer W on which the resist film is formed on the surface.

<エッチング処理装置>
エッチング処理装置4は、例えば図4に示した構成を有している。すなわちこのエッチング処理装置4は、たとえばプラズマエッチング装置として構成されている。このエッチング処理装置4は、その内部においてウェハWにプラズマ処理を施すための、気密な処理容器50を備えている。
<Etching processing equipment>
The etching processing apparatus 4 has, for example, the configuration shown in FIG. That is, the etching processing apparatus 4 is configured as, for example, a plasma etching apparatus. The etching processing apparatus 4 is provided with an airtight processing container 50 for performing plasma processing on the wafer W inside.

この処理容器50の底部の中央部には載置台51が設けられている。載置台51の内部には昇降ピン(図示せず)が設けられており、外部の搬送アーム(図示せず)との間でウェハWの受け渡しが行われるように構成されている。 A mounting table 51 is provided at the center of the bottom of the processing container 50. An elevating pin (not shown) is provided inside the mounting table 51, and the wafer W is transferred to and from an external transport arm (not shown).

載置台51は下部電極を兼用しており、高周波電源52に接続されている。この高周波電源52は、プラズマ中のイオンを引き込むためのバイアスを載置台51、すなわち下部電極に印加するためのバイアス電源である。また載置台51の内部には、ウェハWを加熱するためのヒータ53が設けられている。このヒータ53は、電源54からの電力の供給によって発熱し、また電力量によって加熱温度を調整できる。 The mounting table 51 also serves as a lower electrode and is connected to the high frequency power supply 52. The high frequency power supply 52 is a bias power supply for applying a bias for drawing ions in the plasma to the mounting table 51, that is, the lower electrode. A heater 53 for heating the wafer W is provided inside the mounting table 51. The heater 53 generates heat by supplying electric power from the power source 54, and the heating temperature can be adjusted by the amount of electric power.

載置台51の周縁部には、環状のフォーカスリング55が設けられている。エッチング処理に付されるウェハWは、このフォーカスリング55内に載置される。フォーカスリング55はプラズマの状態を調整するためのリング部材をなすものであり、ウェハ周辺のプラズマの拡散を防止し、反応性イオンを効果的にウェハに入射させる機能を有する。なお載置されたウェハWは、載置台51上の静電チャック(図示せず)によって吸着、保持される。 An annular focus ring 55 is provided on the peripheral edge of the mounting table 51. The wafer W to be subjected to the etching process is placed in the focus ring 55. The focus ring 55 forms a ring member for adjusting the state of plasma, and has a function of preventing diffusion of plasma around the wafer and effectively incident reactive ions onto the wafer. The mounted wafer W is attracted and held by an electrostatic chuck (not shown) on the mounting table 51.

処理容器50の底部には、排気管56が設けられている。排気管56は例えばターボ分子ポンプなどの排気装置57に接続されており、処理容器50内を所定の減圧雰囲気にすることが可能である。 An exhaust pipe 56 is provided at the bottom of the processing container 50. The exhaust pipe 56 is connected to an exhaust device 57 such as a turbo molecular pump, and the inside of the processing container 50 can have a predetermined decompression atmosphere.

処理容器50の天井部には、絶縁部材を介して載置台51に対向するようにシャワーヘッド58が設けられている。シャワーヘッド58は供給路59を介して処理ガス供給源60に接続されている。シャワーヘッド58の内部にはバッファ空間61が形成され。バッファ空間61の下面側には、多数の供給口62が形成されている。これによって処理ガス供給源60からバッファ空間61に供給された処理ガスは、供給口62を介して載置台51上のウェハWに対して均一に供給される。シャワーヘッド58は上部電極を兼用しており、プラズマ生成用の高周波電源63に接続されている。 A shower head 58 is provided on the ceiling of the processing container 50 so as to face the mounting table 51 via an insulating member. The shower head 58 is connected to the processing gas supply source 60 via the supply path 59. A buffer space 61 is formed inside the shower head 58. A large number of supply ports 62 are formed on the lower surface side of the buffer space 61. As a result, the processing gas supplied from the processing gas supply source 60 to the buffer space 61 is uniformly supplied to the wafer W on the mounting table 51 via the supply port 62. The shower head 58 also serves as an upper electrode and is connected to a high frequency power supply 63 for plasma generation.

かかる構成を有するエッチング処理装置4によれば、表面にレジストパターンが形成されたウェハWに対して、所定のプラズマエッチング処理を行なうことができる。 According to the etching processing apparatus 4 having such a configuration, a predetermined plasma etching processing can be performed on the wafer W on which the resist pattern is formed on the surface.

<制御装置>
制御装置6は、例えばコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、基板処理システム1におけるこれらの塗布現像処理装置2、露光処理装置3、エッチング処理装置4、及び測定部5を制御するプログラムが格納されている。また制御装置6には、後述する制御例のテーブル(制御テーブル)が格納されており、たとえば入力された測定部5からの形状情報から、当該制御テーブルの制御例を選択し、塗布現像処理装置2、露光処理装置3、エッチング処理装置4のいずれか、あるいは複数に対して制御信号を出力するようになっている。なお、上記プログラムは、コンピュータに読み取り可能な記憶媒体に記録されていたものであって、当該記憶媒体から制御装置6にインストールされたものであってもよい。
<Control device>
The control device 6 is, for example, a computer and has a program storage unit (not shown). The program storage unit stores programs that control these coating development processing devices 2, an exposure processing device 3, an etching processing device 4, and a measuring unit 5 in the substrate processing system 1. Further, the control device 6 stores a table (control table) of a control example described later. For example, a control example of the control table is selected from the input shape information from the measurement unit 5, and a coating development processing device is used. 2. A control signal is output to any one or a plurality of the exposure processing device 3 and the etching processing device 4. The program may be recorded on a storage medium that can be read by a computer, and may be installed on the control device 6 from the storage medium.

<制御例1>
次に基板処理システム1による基板処理について説明する。まず図5に示したグラフは図6に示したような周縁部のエッジロールオフ量の大きいウェハWに対して、そのまま露光処理してパターンを形成した時の、ウェハの外周位置と、設計CDと実際のCDとの差分の関係を示している。すなわち、横軸はウェハの位置を示し、30(mm)とあるのは、ウェハの外周端部から中心方向に30mmの地点を表している。また縦軸は、設計上のCDの値と、実際のパターンのCDの値との差分を示し、0(nm)とあるのは設計上のCDの値と、パターン形成後のCDの値とが一致していることを表している。そして図5のグラフは、ウェハWの周縁の複数のデバイス形成領域でパターン形成後のCDを20か所で測定してプロットし、これを近似曲線で表したものである。
<Control example 1>
Next, the substrate processing by the substrate processing system 1 will be described. First, the graph shown in FIG. 5 shows the outer peripheral position of the wafer and the design CD when a pattern is formed by directly exposing the wafer W having a large edge roll-off amount at the peripheral edge as shown in FIG. The relationship between the difference between the actual CD and the actual CD is shown. That is, the horizontal axis indicates the position of the wafer, and 30 (mm) indicates a point 30 mm in the central direction from the outer peripheral end portion of the wafer. The vertical axis shows the difference between the design CD value and the actual pattern CD value, and 0 (nm) is the design CD value and the pattern-formed CD value. Indicates that they match. The graph of FIG. 5 is obtained by measuring and plotting the CD after pattern formation at a plurality of device forming regions on the periphery of the wafer W at 20 points and expressing this by an approximate curve.

なお図6は、塗布現像処理装置2においてレジストパターンが形成された後のウェハWの断面を示し、Low−k膜以下の下層の基板構成は省略し、Low−k膜の上に形成されたTiN膜71、シリコン酸化膜72、SOC膜73、SOG膜74、レジスト膜7を描図している。図6中、右端はウェハWの周縁部である。図示のように、このウェハWは、周縁部でのエッジロールオフが大きくなっている。 Note that FIG. 6 shows a cross section of the wafer W after the resist pattern was formed in the coating and developing processing apparatus 2, and the substrate configuration of the lower layer below the Low-k film was omitted, and the wafer W was formed on the Low-k film. The TiN film 71, the silicon oxide film 72, the SOC film 73, the SOG film 74, and the resist film 7 are drawn. In FIG. 6, the right end is the peripheral edge of the wafer W. As shown in the figure, this wafer W has a large edge roll-off at the peripheral edge portion.

このようなエッジロールオフが大きいウェハでは、微細化に伴ってフォーカスの許容範囲が厳格になっている露光処理装置3のレべリング補正では不十分であることが分かった。すなわち図6に示したウェハWを露光処理装置3のレべリング補正の後に露光処理し、次いで現像処理後にエッチング処理すると、図5に示したように、ウェハWの周縁部でCDの値が小さくなっていることが確認できる。そのため、ウェハWの周辺領域でのデバイスの歩留まりが低下する。 It has been found that the leveling correction of the exposure processing apparatus 3 whose focus tolerance becomes stricter with miniaturization is insufficient for such a wafer having a large edge roll-off. That is, when the wafer W shown in FIG. 6 is exposed after the leveling correction of the exposure processing apparatus 3, and then the etching process is performed after the development process, the value of CD is obtained at the peripheral edge of the wafer W as shown in FIG. It can be confirmed that it is getting smaller. Therefore, the yield of the device in the peripheral region of the wafer W is lowered.

そのため、例えば次のような制御装置6による制御が行なわれる。すなわち、図6に示したウェハWをそのままエッチング処理すると、周縁部でエッチングレートが大きくなり、CDの値が低下したものと推察される。したがって、かかる場合には、ウェハWの周縁部でのエッチングレートを抑えるように制御する情報を制御信号として、エッチング処理装置4に出力する。 Therefore, for example, the following control by the control device 6 is performed. That is, if the wafer W shown in FIG. 6 is etched as it is, it is presumed that the etching rate increases at the peripheral edge portion and the CD value decreases. Therefore, in such a case, information for controlling the etching rate at the peripheral edge of the wafer W is output as a control signal to the etching processing apparatus 4.

これを図4に示したエッチング処理装置4に即して具体的にいえば、ウェハWの周縁部でのエッチングレートを抑えるために、たとえばフォーカスリング55の高さを低くする、ヒータ53の温度を低くしてフォーカスリング55の温度を下げたり、ウェハWの周縁部の温度を下げることが行なわれる。その他、処理ガス供給源60からの流量を調整して、ウェハWに供給する処理ガスの流量、特にウェハWの周縁部に供給する処理ガスの流量を減ずることも有効である。これらの制御は1、または2以上、組み合わせて行ってもよい。このような制御により、ウェハWの周縁部でのエッチングレートを低くすることができる。 Specifically, according to the etching processing apparatus 4 shown in FIG. 4, the temperature of the heater 53, for example, lowering the height of the focus ring 55 in order to suppress the etching rate at the peripheral portion of the wafer W. The temperature of the focus ring 55 is lowered by lowering the temperature of the wafer W, and the temperature of the peripheral portion of the wafer W is lowered. In addition, it is also effective to adjust the flow rate from the processing gas supply source 60 to reduce the flow rate of the processing gas supplied to the wafer W, particularly the flow rate of the processing gas supplied to the peripheral portion of the wafer W. These controls may be performed in combination of 1, or 2 or more. By such control, the etching rate at the peripheral portion of the wafer W can be lowered.

前記したエッチング処理装置4に対する補正を行なった結果、エッチング処理後のウエハWの断面は、図7に示したようになった。そしてエッチング処理後のウェハWについて、図5のグラフと同じく、周縁部のデバイス形成領域でCDを測定し、設計との差分を算出しこれを近似曲線で表した結果を、図8のグラフに示した。 As a result of performing the correction for the etching processing apparatus 4 described above, the cross section of the wafer W after the etching processing is as shown in FIG. Then, for the wafer W after the etching process, as in the graph of FIG. 5, the CD was measured in the device formation region at the peripheral portion, the difference from the design was calculated, and the result of expressing this by an approximate curve is shown in the graph of FIG. Indicated.

この図8のグラフから分かるように、ウェハWの周縁部から10mm前後の位置で若干CDが高くなっているが、図5の場合と比べると全体的には大きく改善されており、特に周縁部ではほぼ設計値と同じ値になっている。したがって、ウェハWの周縁部のデバイス形成領域での歩留まりが向上することが期待できる。 As can be seen from the graph of FIG. 8, the CD is slightly higher at a position about 10 mm from the peripheral edge of the wafer W, but it is greatly improved as a whole as compared with the case of FIG. 5, especially the peripheral edge. Then, it is almost the same value as the design value. Therefore, it can be expected that the yield in the device forming region of the peripheral portion of the wafer W will be improved.

<制御例2>
次に他の制御例について説明する。すなわち、図6に示したように周縁部でのエッジロールオフが大きくなったウェハWに対して、塗布現像処理装置2の塗布処理部20に対して、周縁部の膜厚を厚くする制御を行なうようにしてもよい。かかる場合、例えばSOC膜73、あるいはSOG膜74の周縁部の膜厚を厚くするための制御情報を、塗布現像処理装置2の塗布処理部20に対して出力する。もちろん双方の処理膜の膜厚を制御するようにしてもよいが、1の処理膜のみを制御する方がより正確な制御を行なえる。
<Control example 2>
Next, another control example will be described. That is, as shown in FIG. 6, for the wafer W in which the edge roll-off at the peripheral portion is large, the coating processing portion 20 of the coating development processing apparatus 2 is controlled to increase the film thickness of the peripheral portion. You may do it. In such a case, for example, control information for increasing the film thickness of the peripheral portion of the SOC film 73 or the SOG film 74 is output to the coating processing unit 20 of the coating development processing apparatus 2. Of course, the film thicknesses of both treated films may be controlled, but it is more accurate to control only one treated film.

この場合、積層する処理膜の膜厚が厚いほど制御がしやすい。したがって、たとえば第1の処理膜であるSOC膜73を形成するにあたり、周縁部の膜厚を厚くする塗布処理の制御を行なう。これを図3に示した下層膜形成ユニット40に即して説明すると、例えばスピンチャック42の回転数の制御、排気装置47の排気量の制御、カップ44の形状、高さ位置の変更等が行われる。例えば排気流量を多くすると周縁部の膜厚を厚くすることができる。 In this case, the thicker the film thickness of the treated film to be laminated, the easier it is to control. Therefore, for example, when forming the SOC film 73, which is the first treated film, the coating process for increasing the film thickness of the peripheral portion is controlled. Explaining this in line with the lower layer film forming unit 40 shown in FIG. 3, for example, control of the rotation speed of the spin chuck 42, control of the exhaust amount of the exhaust device 47, change of the shape of the cup 44, change of the height position, etc. Will be done. For example, if the exhaust flow rate is increased, the film thickness of the peripheral portion can be increased.

上記した下層膜形成ユニット40の制御の他に、塗布レシピそのものの変更、例えば塗布前のプリウェット時の溶剤の供給、処理液の流量、その他下層膜形成ユニット40内の温湿度の調整等を行なってもよい。さらにその他に、塗布処理後のベーキングの温度、時間等の制御を行なってもよい。これらの制御は、1、または2以上を組み合わせてもよい。 In addition to the above-mentioned control of the underlayer film forming unit 40, changes in the coating recipe itself, for example, supply of solvent at the time of pre-wetting before application, flow rate of the treatment liquid, adjustment of temperature and humidity in the underlayer film forming unit 40, etc. You may do it. In addition, the baking temperature, time, etc. after the coating treatment may be controlled. These controls may be one or a combination of two or more.

このような下層膜形成ユニット40に対する補正を行なって塗布処理した後、パターンを形成した後のウェハWの断面は、図9に示したようになった。SOC膜73の塗布処理を補正して周縁部を厚くした部分73aによって、レジスト膜75下層については、周縁部でのエッジロールオフが解消できた。したがって、レジスト膜75のパターンの線幅は高さも揃っており、また線幅もほぼ均一になっている。 The cross section of the wafer W after the pattern is formed after the underlayer film forming unit 40 is corrected and applied, is as shown in FIG. The edge roll-off at the peripheral portion of the lower layer of the resist film 75 could be eliminated by the portion 73a in which the coating treatment of the SOC film 73 was corrected to thicken the peripheral portion. Therefore, the line width of the pattern of the resist film 75 is uniform in height, and the line width is also substantially uniform.

かかる補正後のウェハWにおける周縁のデバイス形成領域でエッチング処理前のCDを測定し、これを近似曲線で表した結果が、図10のグラフである。 The graph of FIG. 10 shows the result of measuring the CD before the etching process in the device forming region on the peripheral edge of the corrected wafer W and expressing it with an approximate curve.

この図10のグラフから分かるように、ウェハWの周縁部から5mmまではCDの値が少し落ちているが、図5の場合と比べると大きく改善されている。 As can be seen from the graph of FIG. 10, the value of CD drops a little from the peripheral edge of the wafer W to 5 mm, but it is greatly improved as compared with the case of FIG.

しかしながら、このSOC膜73の膜厚を補正した後のウェハWに対してエッチング処理すると、ウェハWの周縁部のデバイス形成領域におけるエッチング処理後のCDを近似曲線で表した結果は、図11のグラフに示したようになった。この結果から分かるように、エッチング処理前のCDは周縁部の落ち込みが改善しているものの、エッチング処理後のCDは、それに対応しての改善はしていない。 However, when the wafer W after correcting the film thickness of the SOC film 73 is etched, the result of expressing the CD after the etching treatment in the device forming region of the peripheral portion of the wafer W by an approximate curve is shown in FIG. It became as shown in the graph. As can be seen from this result, the CD before the etching treatment has an improved drop in the peripheral portion, but the CD after the etching treatment has not been improved correspondingly.

この原因は、次のように考えられる。すなわち、図12に示したように、レジスト膜75の下側のSOG膜74の膜厚は平坦であるものの、その下側のエッチングされるSOC膜73自体の膜厚は、中心寄りの領域の膜厚(a)より、周縁部寄りの領域の膜厚(b)の方が厚くなっている。そのため、そのままエッチング処理すると、図13に示したように、中心寄りの領域の膜厚(a)の方のレジスト膜のパターンがオーバーエッチングされて線幅が太くなり、逆に周縁部寄りの領域の膜厚(b)の方がエッチング量が少なく、その結果図14に示したように、中心寄りの領域よりも線幅が細くなったことによる。 The cause of this is considered as follows. That is, as shown in FIG. 12, although the film thickness of the SOG film 74 on the lower side of the resist film 75 is flat, the film thickness of the SOC film 73 itself to be etched on the lower side is in the region near the center. The film thickness (b) in the region closer to the peripheral edge is thicker than the film thickness (a). Therefore, if the etching process is performed as it is, as shown in FIG. 13, the pattern of the resist film having the film thickness (a) in the region near the center is over-etched and the line width becomes thicker, and conversely, the region near the peripheral edge portion. This is because the film thickness (b) of No. 1 has a smaller etching amount, and as a result, as shown in FIG. 14, the line width is narrower than that of the region near the center.

したがって、たとえば下層膜の膜厚を平坦にしただけでは、下層膜の厚さ如何によってはエッチング処理後のCDを均一化できないケースもあることが分かった。それを改善するために、既述したエッチング処理装置4に対する制御も併せておこなうことが有効である。 Therefore, it has been found that, for example, simply by flattening the film thickness of the underlayer film, it may not be possible to make the CD after the etching treatment uniform depending on the thickness of the underlayer film. In order to improve this, it is effective to also control the etching processing apparatus 4 described above.

一方で、前記したSOC膜73における図13の中心寄りの領域の膜厚(a)と周縁部寄りの領域の膜厚(b)が異なっていても、SOC膜73の下側のシリコン酸化膜72とSOC膜73のエッチングレートが同じであれば、そのような事態は防止できる。したがって、レジスト膜75(第3の処理膜)の下層膜の膜厚を調整する場合には、当該調整にかかる下層膜の処理膜(第2の処理膜)の下側の処理膜(第1の処理膜)あるいは基板下地と、エッチングレートが同じ処理膜を塗布、成膜して、これを膜厚調整することで、エッチング処理後のCDを均一化することができる。 On the other hand, even if the film thickness (a) of the region near the center of FIG. 13 and the film thickness (b) of the region near the peripheral edge of the SOC film 73 are different, the silicon oxide film on the lower side of the SOC film 73 If the etching rates of 72 and the SOC film 73 are the same, such a situation can be prevented. Therefore, when adjusting the thickness of the lower layer film of the resist film 75 (third treated film), the treated film (first) below the treated film (second treated film) of the lower layer film to be subjected to the adjustment. The CD after the etching treatment can be made uniform by applying a treatment film having the same etching rate as that of the base material of the substrate or the substrate, and adjusting the film thickness.

<制御例3>
ところで、周縁部のエッジロールオフは、その大きさのみならず、ウェハWの径方向のどの地点から落ち込みが始まっているかは、前記した補正の選択に影響する。そのため、予め、これらの組み合わせのケースによって、前記した制御対象を選択、組み合わせることで好適な補正を実現できる。
<Control example 3>
By the way, not only the size of the edge roll-off of the peripheral edge portion but also the point in the radial direction of the wafer W where the drop starts affects the selection of the correction described above. Therefore, a suitable correction can be realized by selecting and combining the above-mentioned control targets in advance according to the case of these combinations.

図15は、その時の制御パターンの制御テーブルを示している。図中、特徴AはウェハWの径方向のどの地点から落ち込みが始まっているかを表すものであり、特徴Bは落ち込み量(エッジロールオフ量)を表している。 FIG. 15 shows a control table of the control pattern at that time. In the figure, feature A represents from which point in the radial direction of the wafer W the drop starts, and feature B represents the amount of drop (edge roll-off amount).

例えば特徴Aの「落ち込みの径方向の幅」が「広い」、「狭い」というのは、ウェハWの端部から中心に向けての径方向において、塗布膜の落ち込みが始まっている地点の端部からの距離が長い場合を「広い」と表示し、逆に端部に近い場合を「狭い」と表示している。そのしきい値は、条件等によって任意に設定できるが、例えば端部から10mmの位置を分岐点として設定できる。 For example, the "diametrical width of the dip" of feature A is "wide" and "narrow" at the end of the point where the dip of the coating film starts in the radial direction from the end to the center of the wafer W. When the distance from the part is long, it is displayed as "wide", and when it is close to the end, it is displayed as "narrow". The threshold value can be arbitrarily set depending on conditions and the like, but for example, a position 10 mm from the end can be set as a branch point.

また特徴Bの「落ち込み深さ」が「深い」、「浅い」というのは、落ち込み量の深さを表し、そのしきい値は、条件等によって任意に設定できるが、例えば落ち込み量が「深い」というのは、落ち込み量が例えば40nmを境として、それ以上落ち込んでいる場合を「深い」と表示、それに達しない場合を「浅い」と表示している。 Further, the "deepness" and "shallow" of the feature B indicate the depth of the amount of depression, and the threshold value can be arbitrarily set depending on the conditions, for example, the amount of depression is "deep". "" Means that, for example, when the amount of depression is 40 nm as a boundary, the case where the amount of depression is further depressed is displayed as "deep", and the case where the amount of depression does not reach that value is displayed as "shallow".

また図15の実行する補正の種別の欄で、「塗布補正」とあるのは、塗布現像処理装置2の塗布処理部10における塗布処理の際の膜厚補正を意味し、「エッチング補正」とあるのは、エッチング処理装置4における既述のウェハ周縁部におけるエッチングレートの補正を意味し、「露光補正」とあるのは、露光処理装置3におけるドーズ量、フォーカスのコントロールの補正を意味している。また図15の欄における「◎」は非常に必要、「○」は必要、「△」はあまり必要ではない、という評価を示している。 Further, in the column of the type of correction to be executed in FIG. 15, "coating correction" means film thickness correction at the time of coating processing in the coating processing unit 10 of the coating development processing apparatus 2, and is referred to as "etching correction". There is a correction of the etching rate at the peripheral portion of the wafer described above in the etching processing apparatus 4, and "exposure compensation" means a correction of the dose amount and the focus control in the exposure processing apparatus 3. There is. Further, in the column of FIG. 15, "◎" indicates that it is extremely necessary, "○" is necessary, and "Δ" is not so necessary.

この図15の制御テーブルによれば、ケースaの場合には、落ち込み量が大きくまた周縁部から離れた位置から落ち込みが始まっているため、「塗布補正」によって膜の厚みを増加させる補正が容易である。また落ち込み量が大きい場合には、1層のみならず、2層の処理膜の厚みを補正するようにしてもよい。ただし、塗布膜の厚み補正をするので、併せてエッチング補正をすることが有用である。またエッチング補正による影響を抑えるため、さらに露光補正も併せて行うことが有用である。 According to the control table of FIG. 15, in the case of case a, since the amount of depression is large and the depression starts from a position away from the peripheral edge portion, it is easy to correct to increase the thickness of the film by "coating correction". Is. When the amount of depression is large, the thickness of the treated film of not only one layer but also two layers may be corrected. However, since the thickness of the coating film is corrected, it is useful to perform etching correction at the same time. Further, in order to suppress the influence of etching correction, it is useful to perform exposure correction at the same time.

ケースbの場合には、落ち込み量は浅く周縁部から離れた位置から落ち込みが始まっているため、「塗布補正」の必要性はあまりない。したがってエッチング補正をすることが有用である。またエッチング補正による影響を抑えるため、さらに露光補正も併せて行うことが有用である。 In the case of case b, since the amount of depression is shallow and the depression starts from a position away from the peripheral edge, there is not much need for "coating correction". Therefore, it is useful to perform etching correction. Further, in order to suppress the influence of etching correction, it is useful to perform exposure correction at the same time.

ケースcの場合には、落ち込み量は深いが周縁部に近い位置から落ち込みが始まっているため、露光処理装置3による補正は困難である。したがって、周縁部付近での膜厚を増加させる補正を塗布処理部10に対して行う。ただし、膜厚が増加するので、併せてエッチング補正をすることが有用である。そしてエッチング補正による影響を抑えるため、さらに露光補正も併せて行うことが有用である。 In the case of case c, although the amount of dip is deep, the dip starts from a position close to the peripheral edge, so that it is difficult to correct by the exposure processing apparatus 3. Therefore, the coating processing unit 10 is corrected to increase the film thickness in the vicinity of the peripheral edge portion. However, since the film thickness increases, it is useful to perform etching correction at the same time. In order to suppress the influence of etching correction, it is useful to also perform exposure correction.

ケースdの場合には、落ち込み量は浅く、また周縁部に近い位置から落ち込みが始まっているため、全体的に補正の必要性は低い。そのため、塗布処理部10による膜厚補正は必要性が低い。但し、エッチング補正及びそれに伴う露光補正は必要である。 In the case of case d, the amount of dip is shallow, and the dip starts from a position close to the peripheral edge, so that the need for correction is low as a whole. Therefore, it is less necessary to correct the film thickness by the coating processing unit 10. However, etching correction and exposure correction associated therewith are necessary.

以上のような制御テーブルを、制御装置6内に格納しておき、測定部5で得られた形状情報に基づいて、ケースa〜dのいずれに該当するかを判定する。そして判定結果に基づいて、上記したケースa〜dに適した補正を行なうための制御信号を、制御装置6が、塗布現像処理装置2、エッチング処理装置4、露光処理装置3に対して出力し、それに基づいて各処理装置は補正を行う。これによって、自動的にウェハの周縁部近傍のデバイス形成領域におけるパターンの線幅の補正を行なうことができ、従来よりもウェハ周辺部のデバイスの歩留まりを向上させることが可能である。 The control table as described above is stored in the control device 6, and it is determined which of the cases a to d corresponds to based on the shape information obtained by the measuring unit 5. Then, based on the determination result, the control device 6 outputs a control signal for performing the correction suitable for the above cases a to d to the coating development processing device 2, the etching processing device 4, and the exposure processing device 3. , Each processing device makes a correction based on it. As a result, the line width of the pattern in the device forming region near the peripheral edge of the wafer can be automatically corrected, and the yield of the device in the peripheral portion of the wafer can be improved as compared with the conventional case.

上記したケースa〜dは、特徴Aの「落ち込みの径方向の幅」と特徴Bの「落ち込み深さ」に基づいて、各々2つの場合に分けて4つのケースを想定したものであったが、たとえば各々の程度をそれ以上、たとえば3つに分類し、6つのケースを想定して、制御テーブルを作成してもよい。これによってより適切かつ微細な制御を行なうことができる。
さらにまた特徴A、Bに限らず、他の特徴、たとえば落ち込み幅の周方向の均一性についても形状情報に基づいて評価、分類し、「均一性あり」、「均一性なし」という場合を制御―ブルに採用してもよい。
The above-mentioned cases a to d were based on the "diametrical width of the depression" of the feature A and the "depth of the depression" of the feature B, and were divided into two cases and four cases were assumed. For example, each degree may be further classified into, for example, three, and a control table may be created assuming six cases. This makes it possible to perform more appropriate and fine control.
Furthermore, not only features A and B, but also other features, such as the uniformity of the dip width in the circumferential direction, are evaluated and classified based on the shape information, and the cases of "uniformity" and "non-uniformity" are controlled. -May be adopted for bulls.

さらにまた、既述したように、概してエッジロールオフの補正については、エッチング処理装置4>露光処理装置3>塗布現像処理装置2の順で補正量を大きく確保できるという観点からすれば、例えば次のような制御例も提案できる。 Furthermore, as described above, with regard to the correction of edge roll-off, from the viewpoint that a large amount of correction can be secured in the order of etching processing device 4> exposure processing device 3> coating development processing device 2, for example, the following A control example such as is also proposed.

すなわち、まず予めこれら塗布現像処理装置2、エッチング処理装置4、露光処理装置3がカバーするウェハWの周縁部の落ち込み量の絶対値のしきい値を定めておく。例えばしきい値を2点α、βとする(α<β)。そしてウェハWの周縁部の落ち込み量の絶対量を測定部5で測定し、その測定結果Dに基づいて、次のような制御を行う。
(1)α>D≧0の場合には、露光処理装置3に制御情報を出力する。
(2)β>D≧αの場合には、エッチング処理装置4と露光処理装置3に制御情報を出力する。
(3)D≧βの場合には、塗布現像処理装置2、エッチング処理装置4及び露光処理装置3に制御情報を出力する。
このような制御を行うことで、フォトリソ工程におけるこれら3つの処理装置での補正を自動的に行って、ウェハWの周辺領域でのデバイスの歩留まりを向上させることができる。
That is, first, the threshold value of the absolute value of the amount of depression of the peripheral portion of the wafer W covered by the coating development processing device 2, the etching processing device 4, and the exposure processing device 3 is determined in advance. For example, let the threshold values be two points α and β (α <β). Then, the absolute amount of the drop amount of the peripheral portion of the wafer W is measured by the measuring unit 5, and the following control is performed based on the measurement result D.
(1) When α> D ≧ 0, control information is output to the exposure processing device 3.
(2) When β> D ≧ α, control information is output to the etching processing device 4 and the exposure processing device 3.
(3) When D ≧ β, the control information is output to the coating development processing device 2, the etching processing device 4, and the exposure processing device 3.
By performing such control, correction by these three processing devices in the photolithography process can be automatically performed, and the yield of the device in the peripheral region of the wafer W can be improved.

また前記制御信号に基づく制御は、塗布現像処理装置2、露光処理装置3に対しては、フードバック制御を行なうものであってよく、露光処理装置3、エッチング処理装置4に対してはフィードフォワード制御を行なうものであってよい。 Further, the control based on the control signal may perform hoodback control for the coating development processing device 2 and the exposure processing device 3, and feed forward for the exposure processing device 3 and the etching processing device 4. It may be the one that controls.

<制御頻度>
上記した各制御例の実施のタイミングは、例えば処理するウェハごとに行ってもよく、あるいはロット単位で行ってもよい。
<Control frequency>
The timing of execution of each of the above-mentioned control examples may be, for example, for each wafer to be processed, or may be performed for each lot.

今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。 It should be considered that the embodiments disclosed this time are exemplary in all respects and not restrictive. The above embodiments may be omitted, replaced, or modified in various forms without departing from the scope of the appended claims and their gist.

なお、以下のような構成も本開示の技術的範囲に属する。
(1)複数の処理部で基板に処理を行う基板処理システムであって、
基板に対して処理液を塗布して前記基板上に処理膜を形成する塗布処理部と、
前記処理膜が形成された基板に対してパターンの露光処理を行う露光処理部と、
前記露光処理された基板に対して現像処理することにより当該基板上にエッチング処理のパターンを形成する現像処理部と、
前記現像処理された基板に対してエッチング処理を行うエッチング処理部と、
基板の周縁部の形状を測定する測定部と、
制御部と、を備え、
前記制御部は、前記測定部によって測定された基板の形状情報を取得し、取得した前記形状情報に基づき、前記露光処理部における基板の周縁部の露光条件及び前記エッチング処理部における基板の周縁部のエッチング条件を変更するための制御情報を出力するように構成されている、基板処理システム。
(2)前記制御部は、取得した前記形状情報に基づき、さらに前記塗布処理部において形成される処理膜の周縁部の膜厚を調整するための制御情報を出力するように構成されている、(1)に記載の基板処理システム。
(3)前記処理膜は、異なる種類の処理液が塗布されて形成された、下層から順に第1処理膜、第2処理膜及び第3処理膜を含み、
前記制御部は、前記第1処理膜または第2処理膜の膜厚のうちの、少なくとも一方の膜厚を調整するための制御情報を出力するように構成されている、(2)に記載の基板処理システム。
(4)前記塗布処理部で塗布される前記処理膜の下側に位置する他の処理膜または基板下地と、エッチングレートが同じ処理膜の膜厚を調整するための制御情報を出力するように構成されている、(2)に記載の基板処理システム。
(5)形成された前記エッチング処理のパターンの線幅を測定し、当該線幅の測定結果に基づいて、さらに、前記エッチング処理部における基板周縁部のエッチング条件を変更するための制御情報を出力する、(1)〜(4)のいずれかに記載の基板処理システム。
(6)前記測定部は、塗布処理後に膜厚を測定する膜厚測定装置である、(1)〜(5)のいずれかに記載の基板処理システム。
(7)複数の処理部を備えた基板処理システムで基板を処理する基板処理方法であって、
前記基板処理システムは、
基板に対して処理液を塗布して前記基板上に処理膜を形成する塗布処理部と、
前記処理膜が形成された基板に対してパターンの露光処理を行う露光処理部と、
前記露光処理された基板に対して現像処理することにより当該基板上にエッチング処理のパターンを形成する現像処理部と、
前記現像処理された基板に対してエッチング処理を行うエッチング処理部と、
基板の周縁部の形状を測定する測定部と、を備えている。
そして前記測定部によって測定された基板の形状情報を取得し、取得した前記形状情報に基づき、前記露光処理部における基板の周縁部の露光条件及び前記エッチング処理部における基板の周縁部のエッチング条件を変更して、基板を処理する基板処理方法。
(8)(7)に記載の基板処理方法を、前記基板処理システムで実行させるように制御部を制御するプログラムを格納したコンピュータ読み取り可能な記憶媒体。
(9)基板に対して処理液を塗布して前記基板上に処理膜を形成する塗布処理部と、前記処理膜が形成された基板に対してパターンの露光処理を行う露光処理部と、前記露光処理された基板に対して現像処理することにより当該基板上にエッチング処理のパターンを形成する現像処理部と、前記現像処理された基板に対してエッチング処理を行うエッチング処理部と、基板の周縁部の形状を測定する測定部と、を備える基板処理システムの制御装置であって、
前記測定部によって測定された基板の形状情報を取得し、取得した前記形状情報に基づき、前記露光処理部における基板の周縁部の露光条件及び前記エッチング処理部における基板の周縁部のエッチング条件を変更するための制御情報を出力するように構成されている、基板処理システムの制御装置。
The following configurations also belong to the technical scope of the present disclosure.
(1) A substrate processing system in which a substrate is processed by a plurality of processing units.
A coating treatment unit that applies a treatment liquid to a substrate to form a treatment film on the substrate,
An exposure processing unit that performs pattern exposure processing on the substrate on which the processing film is formed, and an exposure processing unit.
A developing unit that forms an etching pattern on the exposed substrate by developing the exposed substrate, and a developing unit.
An etching processing unit that performs etching processing on the developed substrate,
A measuring unit that measures the shape of the peripheral edge of the substrate,
With a control unit
The control unit acquires the shape information of the substrate measured by the measuring unit, and based on the acquired shape information, the exposure conditions of the peripheral edge of the substrate in the exposure processing unit and the peripheral edge of the substrate in the etching processing unit. A substrate processing system that is configured to output control information for changing the etching conditions of.
(2) The control unit is configured to output control information for adjusting the film thickness of the peripheral portion of the processing film formed in the coating processing unit based on the acquired shape information. The substrate processing system according to (1).
(3) The treated membrane contains a first treated membrane, a second treated membrane, and a third treated membrane in this order from the lower layer, which are formed by applying different types of treatment liquids.
The control unit is configured to output control information for adjusting the film thickness of at least one of the first treated film and the second treated film, according to (2). Board processing system.
(4) Control information for adjusting the film thickness of the treatment film having the same etching rate as that of another treatment film or substrate base located below the treatment film coated by the coating treatment unit is output. The substrate processing system according to (2), which is configured.
(5) The line width of the formed pattern of the etching process is measured, and based on the measurement result of the line width, control information for changing the etching conditions of the peripheral portion of the substrate in the etching process section is output. The substrate processing system according to any one of (1) to (4).
(6) The substrate processing system according to any one of (1) to (5), wherein the measuring unit is a film thickness measuring device that measures a film thickness after a coating process.
(7) A substrate processing method for processing a substrate in a substrate processing system including a plurality of processing units.
The substrate processing system is
A coating treatment unit that applies a treatment liquid to a substrate to form a treatment film on the substrate,
An exposure processing unit that performs pattern exposure processing on the substrate on which the processing film is formed, and an exposure processing unit.
A developing unit that forms an etching pattern on the exposed substrate by developing the exposed substrate, and a developing unit.
An etching processing unit that performs etching processing on the developed substrate,
It is provided with a measuring unit for measuring the shape of the peripheral portion of the substrate.
Then, the shape information of the substrate measured by the measuring unit is acquired, and based on the acquired shape information, the exposure conditions of the peripheral portion of the substrate in the exposure processing unit and the etching conditions of the peripheral portion of the substrate in the etching processing unit are determined. A board processing method that changes and processes the board.
(8) A computer-readable storage medium containing a program that controls a control unit so that the substrate processing method described in (7) is executed by the substrate processing system.
(9) A coating processing unit that applies a processing liquid to a substrate to form a processing film on the substrate, an exposure processing unit that performs pattern exposure processing on the substrate on which the processing film is formed, and the above. A development processing unit that forms an etching processing pattern on the exposed substrate by developing the substrate, an etching processing unit that performs an etching treatment on the developed substrate, and a peripheral edge of the substrate. A control device for a substrate processing system including a measuring unit for measuring the shape of the unit.
The shape information of the substrate measured by the measuring unit is acquired, and based on the acquired shape information, the exposure conditions of the peripheral portion of the substrate in the exposure processing unit and the etching conditions of the peripheral portion of the substrate in the etching processing unit are changed. A control device for a board processing system that is configured to output control information for

1 基板処理システム
2 塗布現像処理装置
3 露光処理装置
4 エッチング処理装置
5 測定部
6 制御装置
10 塗布処理部
20 現像処理部
40 下層膜形成ユニット
41 処理容器
42 スピンチャック
43 チャック駆動機構
44 カップ
46 排気管
47 排気装置
50 処理容器
51 載置台
52 高周波電源
53 ヒータ
55 フォーカスリング
56 排気管
57 排気装置
59 供給路
58 シャワーヘッド
60 処理ガス供給系源
W ウェハ
1 Substrate processing system 2 Coating development processing equipment 3 Exposure processing equipment 4 Etching processing equipment 5 Measuring unit 6 Control device 10 Coating processing unit 20 Development processing unit 40 Underlayer film forming unit 41 Processing container 42 Spin chuck 43 Chuck drive mechanism 44 Cup 46 Exhaust Pipe 47 Exhaust device 50 Processing container 51 Mounting stand 52 High frequency power supply 53 Heater 55 Focus ring 56 Exhaust pipe 57 Exhaust device 59 Supply path 58 Shower head 60 Processing gas supply system source W wafer

Claims (9)

複数の処理部で基板に処理を行う基板処理システムであって、
基板に対して処理液を塗布して前記基板上に処理膜を形成する塗布処理部と、
前記処理膜が形成された基板に対してパターンの露光処理を行う露光処理部と、
前記露光処理された基板に対して現像処理することにより当該基板上にエッチング処理のパターンを形成する現像処理部と、
前記現像処理された基板に対してエッチング処理を行うエッチング処理部と、
基板の周縁部の形状を測定する測定部と、
制御部と、を備え、
前記制御部は、前記測定部によって測定された基板の形状情報を取得し、取得した前記形状情報に基づき、前記露光処理部における基板の周縁部の露光条件及び前記エッチング処理部における基板の周縁部のエッチング条件を変更するための制御情報を出力するように構成されている、基板処理システム。
A board processing system that processes a board with multiple processing units.
A coating treatment unit that applies a treatment liquid to a substrate to form a treatment film on the substrate,
An exposure processing unit that performs pattern exposure processing on the substrate on which the processing film is formed, and an exposure processing unit.
A developing unit that forms an etching pattern on the exposed substrate by developing the exposed substrate, and a developing unit.
An etching processing unit that performs etching processing on the developed substrate,
A measuring unit that measures the shape of the peripheral edge of the substrate,
With a control unit
The control unit acquires the shape information of the substrate measured by the measuring unit, and based on the acquired shape information, the exposure conditions of the peripheral edge of the substrate in the exposure processing unit and the peripheral edge of the substrate in the etching processing unit. A substrate processing system that is configured to output control information for changing the etching conditions of.
前記制御部は、取得した前記形状情報に基づき、さらに前記塗布処理部において形成される処理膜の周縁部の膜厚を調整するための制御情報を出力するように構成されている、請求項1に記載の基板処理システム。 The control unit is configured to output control information for adjusting the film thickness of the peripheral portion of the processing film formed in the coating processing unit based on the acquired shape information. The substrate processing system described in. 前記処理膜は、異なる種類の処理液が塗布されて形成された、下層から順に第1処理膜、第2処理膜及び第3処理膜を含み、
前記制御部は、前記第1処理膜または第2処理膜の膜厚のうちの、少なくとも一方の膜厚を調整するための制御情報を出力するように構成されている、請求項2に記載の基板処理システム。
The treated membrane contains a first treated membrane, a second treated membrane, and a third treated membrane in this order from the lower layer, which are formed by applying different types of treatment liquids.
The second aspect of the present invention, wherein the control unit is configured to output control information for adjusting the film thickness of at least one of the first treated film and the second treated film. Board processing system.
前記塗布処理部で塗布される前記処理膜の下側に位置する他の処理膜または基板下地と、エッチングレートが同じ処理膜の膜厚を調整するための制御情報を出力するように構成されている、請求項2に記載の基板処理システム。 It is configured to output control information for adjusting the film thickness of the treatment film having the same etching rate as that of another treatment film or substrate base located below the treatment film coated by the coating treatment unit. The substrate processing system according to claim 2. 形成された前記エッチング処理のパターンの線幅を測定し、当該線幅の測定結果に基づいて、さらに、前記エッチング処理部における基板の周縁部のエッチング条件を変更するための制御情報を出力する、請求項1〜4のいずれか一項に記載の基板処理システム。 The line width of the formed pattern of the etching process is measured, and based on the measurement result of the line width, control information for changing the etching conditions of the peripheral edge of the substrate in the etching process is output. The substrate processing system according to any one of claims 1 to 4. 前記測定部は、塗布処理後に膜厚を測定する膜厚測定装置である、請求項1〜5のいずれか一項に記載の基板処理システム。 The substrate processing system according to any one of claims 1 to 5, wherein the measuring unit is a film thickness measuring device that measures a film thickness after a coating process. 複数の処理部を備えた基板処理システムで基板を処理する基板処理方法であって、
前記基板処理システムは、
基板に対して処理液を塗布して前記基板上に処理膜を形成する塗布処理部と、
前記処理膜が形成された基板に対してパターンの露光処理を行う露光処理部と、
前記露光処理された基板に対して現像処理することにより当該基板上にエッチング処理のパターンを形成する現像処理部と、
前記現像処理された基板に対してエッチング処理を行うエッチング処理部と、
基板の周縁部の形状を測定する測定部と、を備え、
前記測定部によって測定された基板の形状情報を取得し、取得した前記形状情報に基づき、前記露光処理部における基板の周縁部の露光条件及び前記エッチング処理部における基板の周縁部のエッチング条件を変更して、基板を処理する基板処理方法。
It is a substrate processing method that processes a substrate in a substrate processing system equipped with a plurality of processing units.
The substrate processing system is
A coating treatment unit that applies a treatment liquid to a substrate to form a treatment film on the substrate,
An exposure processing unit that performs pattern exposure processing on the substrate on which the processing film is formed, and an exposure processing unit.
A developing unit that forms an etching pattern on the exposed substrate by developing the exposed substrate, and a developing unit.
An etching processing unit that performs etching processing on the developed substrate,
A measuring unit for measuring the shape of the peripheral edge of the substrate is provided.
The shape information of the substrate measured by the measuring unit is acquired, and based on the acquired shape information, the exposure conditions of the peripheral portion of the substrate in the exposure processing unit and the etching conditions of the peripheral portion of the substrate in the etching processing unit are changed. A substrate processing method for processing a substrate.
請求項7に記載の基板処理方法を、前記基板処理システムで実行させるように制御部を制御するプログラムを格納したコンピュータ読み取り可能な記憶媒体。 A computer-readable storage medium containing a program for controlling a control unit so that the substrate processing method according to claim 7 is executed by the substrate processing system. 基板に対して処理液を塗布して前記基板上に処理膜を形成する塗布処理部と、前記処理膜が形成された基板に対してパターンの露光処理を行う露光処理部と、前記露光処理された基板に対して現像処理することにより当該基板上にエッチング処理のパターンを形成する現像処理部と、前記現像処理された基板に対してエッチング処理を行うエッチング処理部と、基板の周縁部の形状を測定する測定部と、を備える基板処理システムの制御装置であって、
前記測定部によって測定された基板の形状情報を取得し、取得した前記形状情報に基づき、前記露光処理部における基板の周縁部の露光条件及び前記エッチング処理部における基板の周縁部のエッチング条件を変更するための制御情報を出力するように構成されている、基板処理システムの制御装置。
A coating processing unit that applies a processing liquid to a substrate to form a processing film on the substrate, an exposure processing unit that performs pattern exposure processing on the substrate on which the processing film is formed, and the exposure processing The shape of the development processing unit that forms an etching processing pattern on the substrate by developing the substrate, the etching processing unit that performs the etching treatment on the developed substrate, and the peripheral portion of the substrate. It is a control device of a substrate processing system including a measuring unit for measuring
The shape information of the substrate measured by the measuring unit is acquired, and based on the acquired shape information, the exposure conditions of the peripheral portion of the substrate in the exposure processing unit and the etching conditions of the peripheral portion of the substrate in the etching processing unit are changed. A control device for a board processing system that is configured to output control information for
JP2019060909A 2019-03-27 2019-03-27 SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING METHOD, STORAGE MEDIUM, AND CONTROL DEVICE FOR SUBSTRATE PROCESSING SYSTEM Active JP7291515B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2019060909A JP7291515B2 (en) 2019-03-27 2019-03-27 SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING METHOD, STORAGE MEDIUM, AND CONTROL DEVICE FOR SUBSTRATE PROCESSING SYSTEM

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019060909A JP7291515B2 (en) 2019-03-27 2019-03-27 SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING METHOD, STORAGE MEDIUM, AND CONTROL DEVICE FOR SUBSTRATE PROCESSING SYSTEM

Publications (2)

Publication Number Publication Date
JP2020161690A true JP2020161690A (en) 2020-10-01
JP7291515B2 JP7291515B2 (en) 2023-06-15

Family

ID=72639893

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019060909A Active JP7291515B2 (en) 2019-03-27 2019-03-27 SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING METHOD, STORAGE MEDIUM, AND CONTROL DEVICE FOR SUBSTRATE PROCESSING SYSTEM

Country Status (1)

Country Link
JP (1) JP7291515B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117410168A (en) * 2023-12-13 2024-01-16 江西兆驰半导体有限公司 Patterned sapphire substrate and preparation method thereof

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10189598A (en) * 1996-12-25 1998-07-21 Sony Corp Manufacturing method of semiconductor device
JP2002141274A (en) * 2000-11-06 2002-05-17 Tokyo Electron Ltd Measuring apparatus for film thickness and its method
JP2002231596A (en) * 2001-02-01 2002-08-16 Hitachi Ltd Method and system of manufacturing semiconductor device
JP2004022676A (en) * 2002-06-13 2004-01-22 Shin Etsu Handotai Co Ltd Working method and plasma etching equipment of semiconductor wafer
JP2006173579A (en) * 2004-11-16 2006-06-29 Tokyo Electron Ltd Exposure condition setting method, substrate processing unit and computer program
JP2006202833A (en) * 2005-01-18 2006-08-03 Tokyo Electron Ltd Method and apparatus of setting gas, etching device and substrate processing system
JP2008187135A (en) * 2007-01-31 2008-08-14 Nikon Corp Detection apparatus, exposure equipment, method for manufacturing device, position controller, position control method, program, and recording medium
JP2010056144A (en) * 2008-08-26 2010-03-11 Fujitsu Microelectronics Ltd Exposure device, exposing method, and semiconductor device manufacturing method
JP2011086965A (en) * 2003-06-20 2011-04-28 Tokyo Electron Ltd Processing method and processing system
WO2017047355A1 (en) * 2015-09-15 2017-03-23 東京エレクトロン株式会社 Substrate processing device, substrate processing method, and storage medium
JP2017098546A (en) * 2015-11-13 2017-06-01 キヤノン株式会社 Method of inversion gradation patterning

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10189598A (en) * 1996-12-25 1998-07-21 Sony Corp Manufacturing method of semiconductor device
JP2002141274A (en) * 2000-11-06 2002-05-17 Tokyo Electron Ltd Measuring apparatus for film thickness and its method
JP2002231596A (en) * 2001-02-01 2002-08-16 Hitachi Ltd Method and system of manufacturing semiconductor device
JP2004022676A (en) * 2002-06-13 2004-01-22 Shin Etsu Handotai Co Ltd Working method and plasma etching equipment of semiconductor wafer
JP2011086965A (en) * 2003-06-20 2011-04-28 Tokyo Electron Ltd Processing method and processing system
JP2006173579A (en) * 2004-11-16 2006-06-29 Tokyo Electron Ltd Exposure condition setting method, substrate processing unit and computer program
JP2006202833A (en) * 2005-01-18 2006-08-03 Tokyo Electron Ltd Method and apparatus of setting gas, etching device and substrate processing system
JP2008187135A (en) * 2007-01-31 2008-08-14 Nikon Corp Detection apparatus, exposure equipment, method for manufacturing device, position controller, position control method, program, and recording medium
JP2010056144A (en) * 2008-08-26 2010-03-11 Fujitsu Microelectronics Ltd Exposure device, exposing method, and semiconductor device manufacturing method
WO2017047355A1 (en) * 2015-09-15 2017-03-23 東京エレクトロン株式会社 Substrate processing device, substrate processing method, and storage medium
JP2017098546A (en) * 2015-11-13 2017-06-01 キヤノン株式会社 Method of inversion gradation patterning

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117410168A (en) * 2023-12-13 2024-01-16 江西兆驰半导体有限公司 Patterned sapphire substrate and preparation method thereof
CN117410168B (en) * 2023-12-13 2024-03-29 江西兆驰半导体有限公司 Patterned sapphire substrate and preparation method thereof

Also Published As

Publication number Publication date
JP7291515B2 (en) 2023-06-15

Similar Documents

Publication Publication Date Title
JP5065082B2 (en) Substrate processing method, program, computer storage medium, and substrate processing system
JP2021010016A (en) Process kit for edge critical dimension uniformity control
JP6540813B2 (en) Substrate processing apparatus, substrate processing method and storage medium
JP2001291660A (en) Method and equipment of film forming
US11610298B2 (en) Method for determining an abnormality and substrate processing system
JP7291515B2 (en) SUBSTRATE PROCESSING SYSTEM, SUBSTRATE PROCESSING METHOD, STORAGE MEDIUM, AND CONTROL DEVICE FOR SUBSTRATE PROCESSING SYSTEM
JP6299624B2 (en) Coating film forming method, coating film forming apparatus, and storage medium
KR101364890B1 (en) Coating apparatus, coating method, coating and developing apparatus and computer readable recording medium
JP7043777B2 (en) Coating film forming device
JP2019021747A (en) Substrate position adjustment method, storage medium, and substrate processing system
JP6775036B2 (en) Film formation system, film formation method and computer storage medium
JP5276912B2 (en) Coating processing method, program, computer storage medium, and coating processing apparatus
JP2005353812A (en) Device and method for plasma processing
KR20160117125A (en) Semiconductor manufacturing method, substrate processing system, substrate processing apparatus and recording medium
JP2011096894A (en) Method and apparatus for manufacturing semiconductor device
KR20190041644A (en) Driving method of semiconductor manufacturing apparatus
JP2019004108A (en) Deposition method, storage medium, and deposition system
JP6133347B2 (en) Semiconductor device manufacturing method, substrate processing system, and program
JP4319201B2 (en) Substrate processing method, program, and substrate processing system
US20240201606A1 (en) Imprint apparatus, pattern forming method, and method of manufacturing semiconductor device
US20220359243A1 (en) Substrate processing apparatus, substrate processing method and storage medium
WO2021124929A1 (en) Substrate processing method and substrate processing system
TWI687972B (en) Critical methodology in vacuum chambers to determine gap and leveling between wafer and hardware components
KR20230123679A (en) Assembly for supporting substrate and apparatus for processing having the same
KR20210085973A (en) Apparatus and method for treating substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20221122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230131

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230605

R150 Certificate of patent or registration of utility model

Ref document number: 7291515

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150