JP2019523449A - ターゲットの測定方法、基板、計測装置およびリソグラフィ装置 - Google Patents

ターゲットの測定方法、基板、計測装置およびリソグラフィ装置 Download PDF

Info

Publication number
JP2019523449A
JP2019523449A JP2019503249A JP2019503249A JP2019523449A JP 2019523449 A JP2019523449 A JP 2019523449A JP 2019503249 A JP2019503249 A JP 2019503249A JP 2019503249 A JP2019503249 A JP 2019503249A JP 2019523449 A JP2019523449 A JP 2019523449A
Authority
JP
Japan
Prior art keywords
target
diffraction
overlay
substructures
target structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019503249A
Other languages
English (en)
Other versions
JP6716779B2 (ja
Inventor
ムーン、ユークリッド、エバリー
ボーフ、アリー、ジェフリー デン
ボーフ、アリー、ジェフリー デン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2019523449A publication Critical patent/JP2019523449A/ja
Application granted granted Critical
Publication of JP6716779B2 publication Critical patent/JP6716779B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7026Focusing

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

【解決手段】ターゲットの測定方法、関連する基板、計測装置、およびリソグラフィ装置が開示されている。一構成では、ターゲットは層状構造を含む。層状構造は、第1の層に第1のターゲット構造を有し、第2の層に第2のターゲット構造を有する。本方法は、ターゲットを測定放射で照明することを含む。複数の所定の回折次数の間の干渉によって形成された散乱放射が検出される。所定の回折次数は、第1のターゲット構造からの測定放射の回折によって生成され、続いて第2のターゲット構造から回折される。リソグラフィプロセスの特性は、所定の回折次数間の干渉によって形成される検出された散乱放射を使用して計算される。【選択図】図12

Description

[関連出願へのクロスリファレンス]
本出願は、2016年7月21日に出願された米国特許出願第62/365,142号、2016年9月14日に出願された米国特許出願第62/394,457号、および2016年9月27日に出願された米国特許出願第62/400,360号の利益を主張し、その全体が参照により本書に援用される。
[技術分野]
本発明は、例えばリソグラフィ技術によるデバイス製造に利用可能な計測のための方法および装置に関する。
リソグラフィ装置は、所望のパターンを基板の目標部分に与える機械である。リソグラフィ装置は、例えば集積回路(IC)の製造に用いることができる。その場合、マスクまたはレチクルとも称されるパターニングデバイスがICの個々の層に対応する回路パターンを生成するために使用されうる。このパターンは、基板(例えばシリコンウエハ)上の(例えばダイの一部、一つのダイ又はいくつかのダイを備える)目標部分に転写できる。パターンの転写は、基板上に設けられる放射感受性材料(レジスト)の層への結像を典型的に介する。一般に、単一の基板は、連続的にパターン化される隣接する目標部分のネットワークを含むであろう。リソグラフィプロセスでは、例えばプロセス制御および検証のために、生成した構造の測定を行うことがしばしば望ましい。このような測定を行うための様々なツールが知られており、これには、限界寸法(CD)を測定するためにしばしば使用される走査型電子顕微鏡、およびオーバーレイ、デバイス内の2つの層のアライメントの正確さの尺度を測定するための専用ツールが含まれる。オーバーレイは、2つの層の間の位置ずれの程度に関して説明することができ、例えば、1nmの測定されたオーバーレイを参照することは、2つの層が1nmだけ位置ずれしている状況を説明することができる。
最近、リソグラフィ分野に用いるための様々な形態の散乱計が開発されている。この装置は、放射のビームをターゲットに向け、散乱された放射の一以上の特性(例えば、単一角度での波長の関数としての反射強度、一以上の波長での反射角度の関数としての強度、反射角度の関数としての偏光)を測定して「スペクトル」を取得する。「スペクトル」から注目するターゲットの特性を決定できる。注目する特性の決定は、様々な技術を用いて実行されてよく、例えば、厳密結合波解析や有限要素法といった反復手法によるターゲットの再構成、ライブラリ検索、主成分分析などである。
従来のスキャトロメータによって使用されるターゲットは比較的大きく、例えば40μm×40μmの格子であり、測定ビームは格子よりも小さいスポットを生成する(すなわち格子はアンダーフィルされている)。無限遠と見なすことができるので、これはターゲットの数学的再構成を単純化する。しかし、例えばスクライブレーンではなく製品フィーチャ中に配置できるように、ターゲットのサイズを例えば10μm×10μm以下に縮小するために、格子が測定スポットよりも小さくされる(すなわち、格子がオーバーフィルされる)計測法が提案されている。典型的には、このようなターゲットは、ゼロ次回折(正反射に対応する)が遮断され、高次のみが処理される暗視野スキャトロメトリを使用して測定される。暗視野計測の例は、国際特許出願WO2009/078708号およびWO2009/106279号に見出すことができ、これらの文献は参照によりその全体が本明細書に組み込まれる。この技術のさらなる発展は、特許公報US20110027704A、US20110043791AおよびUS20120242970Aに記載されている。これらすべての出願の内容もまた、参照により本明細書に組み込まれる。回折次数の暗視野検出を用いた回折ベースのオーバーレイは、より小さいターゲット上でのオーバーレイ測定を可能にする。これらのターゲットは照明スポットよりも小さくすることができ、ウェハ上の製品構造によって囲まれることがある。ターゲットは、1つの画像において測定することができる複数の格子を含むことができる。
既知の計測技術では、オーバーレイ測定結果は、オーバーレイターゲットを回転させるか、照明モードまたはイメージングモードを変更して−1次および+1次の回折次数強度を別々に取得しながら、ある条件下でオーバーレイターゲットを2回測定することによって得られる。所与のオーバーレイターゲットに対する強度の非対称性、これらの回折次数強度の比較は、ターゲットの非対称性、すなわちターゲット内の非対称性の測定を提供する。 オーバーレイターゲットにおけるこの非対称性は、オーバーレイエラー(2つの層の望ましくない位置合わせ不良)の指標として使用することができる。
半導体デバイスの製造プロセスの変更は、オーバーレイエラー測定のロバスト性または信頼性を低下させる可能性があることが分かっている。
本発明の目的は、オーバーレイエラーなどのリソグラフィ特性の測定値のロバスト性または信頼性を向上させることである。
ある態様によれば、リソグラフィプロセスによって形成されたターゲットを測定する方法が提供される。ターゲットは、第1の層に第1のターゲット構造を有し、第2の層に第2のターゲット構造を有する層状構造を備える。本方法は、測定放射でターゲットを照明することと、複数の所定の回折次数間の干渉により形成される散乱放射を検出することであって、所定の回折次数は、第1のターゲット構造からの測定放射の回折によって生成され、続いて第2のターゲット構造から回折される、ことと、所定の回折次数間の干渉によって形成される検出された散乱放射を使用してリソグラフィプロセスの特性を計算することと、を備える。
別の態様によれば、リソグラフィプロセスによって形成されたターゲットを含む基板が提供される。ターゲットは、第1の層に第1のターゲット構造を、第2の層に第2のターゲット構造を有する層状構造を含む。第1のターゲット構造および第2のターゲット構造は、ターゲットが測定放射で照射されたときにターゲットから散乱された放射の検出を可能とするよう構成される。検出された散乱放射は、複数の所定の回折次数の間の干渉によって形成される。所定の回折次数は、第1のターゲット構造からの測定放射の回折によって生成され、続いて第2のターゲット構造から回折される。
本発明のさらなる特徴および利点は、本発明の様々な実施形態の構造および動作とともに、添付の図面を参照しながら本書に詳述される。本発明は、本書に記載される特定の実施形態に限定されないことに留意される。このような実施形態は、例示を目的としてのみ本書に示される。追加の実施形態は、当業者であれば、本書に含まれる教示に基づいて明らかとなるであろう。
本発明の実施形態は、以下の添付図面を参照しながら、例示を目的としてのみ説明されるであろう。
本発明の一実施形態に係るリソグラフィ装置を示す図である。 本発明の一実施形態に係るリソグラフィセルまたはクラスタを示す図である。 第1ペアの照明アパーチャを用いてターゲットを測定する際に用いられる暗視野スキャトロメータの概略図であり、図3(b)は、所定方向の照明に対するターゲット格子の回折スペクトルの詳細図であり、図3(c)は、回折に基づくオーバレイ測定用のスキャトロメータを用いて別の照明モードを提供する第2ペアの照明アパーチャを示す図であり、図3(d)は、第1ペアおよび第2ペアのアパーチャを組み合わせた第3ペアの照明アパーチャを示す図である。 既知の多重格子ターゲットの形状および基板上の測定スポットの輪郭を示す図である。 図3のスキャトロメータで得られる図4のターゲットの画像を概略的に示す図である。 図3のスキャトロメータを使用し、本発明の実施形態を形成するのに適したオーバーレイ測定方法のステップを示すフローチャートである。 既知の方法でオーバーレイターゲットによる回折から生じる主な回折モードの一部を示す図である。 本明細書に開示されるターゲットを設計する際に使用可能な例示的なターゲット設計方法の異なる態様のフローチャートを示す図である。 例示的なターゲットを通る例示的な光線の軌跡を示す斜視図である。 異なる角度から見た図9の構成の斜視図である。 さらに異なる角度から見た図9の構成の斜視図である。 図9の構成の側断面図である。 ターゲット内の例示的な第2のターゲット構造の上面図である。 図13のターゲットにおける例示的な第1のターゲット構造の上面図である。 2つのペアの重なり合うターゲットサブ構造から形成される検出された干渉縞を示す。 関心領域における干渉縞についての位置(横軸)に対する信号強度(縦軸)のプロットを示す図である。 それぞれ、図16の干渉縞の周波数スペクトルおよび位相スペクトルを示す図である。 2つの異なるオーバーレイ値における異なる波長の測定放射線についての位相の測定値のプロットを示す図である。 5つの異なるオーバーレイ値での異なるターゲット厚さ(層厚)に対する位相の測定値のプロットを示す図である。 それぞれ、さらなる例示的な第2のターゲット構造およびさらなる例示的な第1のターゲット構造を示す上面図である。 同じピッチを有する第1周期成分を有する1ペアの重なり合ったターゲットサブ構造を含むターゲットを通る例示的な光線の軌跡を示す図である。 図21に示す重なり合ったターゲット部構造からの回折のフーリエ空間表現を示す図である。 図21に示すタイプの重なり合ったターゲットサブ構造の4つの異なってバイアスされたペアからの散乱から生じる4つの強度サブ領域を示す図である。 図21に示すタイプの重なり合ったターゲットサブ構造の4つの異なってバイアスされたペアの平面図である。 図24に示す平面X−Xに垂直な側断面図である。 図24に示す平面Y−Yに垂直な側断面図である。 オーバーレイオフセットに対する予測強度変動のプロットであり、図21に示すタイプの重なり合ったターゲットサブ構造の4つの異なってバイアスされたペアに対応する4つの予測強度値を示す図である。 異なるピッチを有する第1周期成分を有する1ペアの重なり合ったターゲットサブ構造を含むターゲットを通る例示的な光線の軌跡を示す図である。 図28に示す重なっているターゲットサブ構造からの回折のフーリエ空間表現を示す図である。 図29に示されたタイプの2ペアの重なり合ったターゲットサブ構造の平面図である。 図30に示す平面X−Xに垂直な側断面図である。 異なる層内に異なるピッチを有する4つのターゲット構造を含むターゲットの側断面図である。 共通のピッチおよび異なるオーバーレイバイアスを有するターゲットサブ構造体のペアを使用してオーバーレイエラーを測定する方法と、異なるピッチを有するターゲットサブ構造のペアを使用してオーバーレイエラーを測定する方法との間の対応を示す図である。 矩形要素を有するチェッカーボードパターンを示す図である。 傾斜したチェッカーボードパターンを示す図である。 さらなる例示的なターゲット構造のペアを示す図である。 さらなる例示的なターゲット構造のペアを示す図である。 さらなる例示的なターゲット構造のペアを示す図である。 さらなる例示的なターゲット構造のペアを示す図である。
本発明の実施形態を詳細に記述する前に、本発明の実施形態が実装されうる環境の例を示すことが有益である。
図1は、リソグラフィ装置LAを概略的に示す。この装置は、放射ビームB(例えばUV放射またはDUV放射)を調整するよう構成される照明光学システム(イルミネータ)ILと、パターニングデバイス(例えばマスク)MAを支持するよう構築され、特定のパラメータにしたがってパターニングデバイスを正確に位置決めするよう構成される第1位置決め装置PMに接続されるパターニングデバイスサポートまたはサポート構造(例えばマスクテーブル)MTと;基板(例えばレジストコートされたウェハ)Wを保持するよう構築され、特定のパラメータにしたがって基板を正確に位置決めするよう構成される第2位置決め装置PWに接続される基板テーブル(例えばウェハテーブル)WTと;パターニングデバイスMAにより放射ビームBに付与されたパターンを基板Wの(例えば一以上のダイを含む)目標部分Cに投影するよう構成される投影システム(例えば屈折型投影レンズシステム)PSと、を含む。
照明光学システムは、放射を方向付け、放射を成形し、または放射を制御するための屈折型、反射型、磁気型、電磁気型、静電型あるいは他の形式の光学素子といった各種光学素子またはこれらの任意の組み合わせを含んでもよい。
パターニングデバイスサポートは、パターニングデバイスの向き、リソグラフィ装置のデザイン、および、例えばパターニングデバイスが真空環境で保持されるか否かといった他の条件に応じた方法でパターニングデバイスを保持する。パターニングデバイスサポートは、機械式、真空式、静電式または他の固定技術を用いてパターニングデバイスを保持できる。パターニングデバイスサポートは、フレームまたはテーブルであってよく、例えば必要に応じて固定式または可動式であってよい。パターニングデバイスサポートは、例えば投影システムに対して、パターニングデバイスが所望の位置にあることを確実にしてよい。本書での「レチクル」または「マスク」の用語の使用は、より一般的な用語である「パターニングデバイス」と同義であるとみなされてよい。
本書での「パターニングデバイス」の用語は、放射ビームの断面にパターンを付して例えば基板の目標部分にパターンを生成するために使用可能な任意のデバイスを参照するものとして広く解釈されるべきである。放射ビームに付されるパターンは、例えばパターン位相シフトフィーチャまたはいわゆるアシストフィーチャを含む場合、基板の目標部分における所望のパターンに完全に対応しなくてもよいことに留意されるべきである。たいていの場合、放射ビームに付されるパターンは、目標部分に生成される集積回路などのデバイスの特定の機能層に対応するであろう。
パターニングデバイスは、透過型であっても反射型であってもよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクはリソグラフィの分野では周知であり、バイナリマスクやレベンソン型位相シフトマスク、ハーフトーン型位相シフトマスク、さらに各種のハイブリッド型マスクが含まれる。プログラマブルミラーアレイの一例は、マトリックス状に配列される小型のミラーを採用し、各ミラーは入射する放射ビームを異なる方向に反射するように個別に傾斜できる。傾斜されるミラーは、ミラーマトリックスにより反射される放射ビームにパターンを付与する。
図示されるように、装置は透過型である(例えば透過型マスクを用いる)。代わりに、装置が反射型であってもよい(例えば上述のような形式のプログラマブルミラーアレイを用いるか、反射型マスクを用いる)。
リソグラフィ装置は、投影システムと基板の間の隙間を埋めるように、基板の少なくとも一部が比較的高屈折率を有する液体(例えば水)により覆われる形式の装置であってもよい。液浸液は、リソグラフィ装置の他の隙間、例えばパターニングデバイスと投影システムの間に適用されてもよい。液浸技術は、投影システムの開口数を増やすための技術として周知である。本書で用いられる「液浸」の用語は、基板などの構造が流体中に水没しなければならないこと意味するのではなく、むしろ露光中に投影システムPSと基板Wの間に流体が配置されることを意味するのみである。
図1を参照すると、イルミネータILは、放射源SOからの放射ビームを受ける。ソースおよびリソグラフィ装置は、ソースがエキシマレーザの場合、別体であってもよい。この場合、ソースがリソグラフィ装置の一部を形成するとみなされず、放射ビームがソースSOからイルミネータILに向けて、例えば適切な方向付けミラーおよび/またはビームエキスパンダを含むビームデリバリシステムBDの助けを借りて通過する。別の場合、例えばソースが水銀ランプの場合、ソースがリソグラフィ装置の一体的部分であってもよい。ソースSOおよびイルミネータILは、必要に応じてビームデリバリシステムBDとともに、放射システムと称されてもよい。
イルミネータILは、放射ビームの角度強度分布を調整するためのアジャスタADを含んでもよい。一般に、イルミネータの瞳面における強度分布の少なくとも外側半径範囲および/または内側半径範囲(通常それぞれσアウタ、σインナと呼ばれる)を調整できる。また、イルミネータILは、インテグレータINやコンデンサCOなどの様々な他の要素を含んでもよい。イルミネータは、ビーム断面における所望の均一性及び強度分布を有するように放射ビームを調整するために用いられてもよい。
放射ビームBは、パターニングデバイスサポート(例えばマスクテーブルMT)に保持されるパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスによりパターン化される。パターニングデバイス(例えばマスク)MAの通過後、放射ビームBはビームを基板Wの目標部分Cに合焦させる投影光学システムPSを通過し、それにより、パターンの像をターゲット部分C上に投影する。第2位置決め装置PWおよび位置センサIF(例えば干渉計デバイス、リニアエンコーダ、2Dエンコーダまたは静電容量センサ)の助けを借りて、放射ビームBの経路上に異なる目標部分Cが位置するように基板テーブルWTが正確に移動されることができる。同様に、第1位置決め装置PMおよび別の位置センサ(図1には明示されていない)は、例えば、マスクライブラリからの機械検索後またはスキャン中に、放射ビームBの経路に対してパターニングデバイス(例えばマスク)MAを正確に位置決めするために用いることができる。
パターニングデバイス(例えばマスク)MAおよび基板Wは、マスクアライメントマークM,Mおよび基板アライメントマークP,Pを用いて位置決めされうる。基板アライメントマークは図示されるように専用の目標部分を占めているが、目標部分の間のスペースに位置してもよい(これらはスクライブラインアライメントマークとして知られる)。同様に、パターニングデバイス(例えばマスク)MA上に二以上のダイが設けられる場合には、マスクアライメントマークがダイの間に位置してもよい。小さなアライメントマークがダイの内側のデバイスフィーチャ内に含まれていてもよく、この場合には、マーカが可能な限り小さく、かつ、隣接するフィーチャとは異なる結像または処理条件を必要としないことが望ましい。アライメントマーカを検出すアライメントシステムは、別途後述する。
図示の装置は、例えばステップモードまたはスキャンモードを含む様々なモードで使用することができる。リソグラフィ装置の構成および動作は当業者には周知であり、本発明を理解するためにさらに説明する必要はない。
図2に示されるように、リソグラフィ装置LAは、リソグラフィシステムの一部を形成し、リソグラフィセルLCまたはリソセルまたはクラスタとも称される。リソグラフィセルLCは、基板上での露光前および露光後プロセスを実行するための装置を含んでもよい。従来、これらは、レジスト層を堆積させるスピンコート装置SC、露光されたレジストを現像する現像装置DE、冷却プレートCH、およびベークプレートBKを含む。基板ハンドラまたはロボットROは、基板を入力/出力ポートI/O1,I/O2から取り出し、それらを異なるプロセス装置間で基板を移動させ、その後リソグラフィ装置のローディングベイLBに基板を運ぶ。これら装置(しばしば集合的にトラックと称される)は、トラック制御ユニットTCUの制御下にあり、TCU自体は監視制御システムSCSにより制御され、SCSはリソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、異なる装置をスループットおよびプロセス効率を最大化させるように動作させることができる。
計測装置を図3(a)に示す。ターゲットTおよびターゲットを照射するために使用される測定放射の回折光線が、図3(b)により詳細に示されている。図示されている計測装置は、暗視野計測装置として知られているタイプのものである。計測装置は、独立式の装置であってもよいし、リソグラフィ装置LA(例えば、測定ステーションにて)またはリソグラフィセルLCのいずれかに組み込まれてもよい。装置を通じて複数の分岐を有する光軸は、破線Oで示される。この装置において、光源11(例えば、キセノンランプ)により出力される光は、レンズ12,14および対物レンズ16を備える光学システムにより、ビームスプリッタ15を介して基板W上に向けられる。これらレンズは、4F配置の二重シーケンスで構成される。検出器上に基板の像を与えるのであれば、異なるレンズ配置を用いることもでき、同時に、このレンズ配置は、空間周波数フィルタリング用の中間瞳面の利用を可能にする。したがって、放射が基板に入射する位置での角度範囲は、基板面での空間スペクトルを示し、本書で(共役)瞳面と称される面内の空間強度分布を定義することにより選択できる。具体的には、レンズ12と14の間であって対物レンズ瞳面の逆投影像である面内に適切な形状のアパーチャプレート13を挿入することによりこれを実現できる。図示される例では、符号13N,13Sのアパーチャプレート13が異なる形状を有し、異なる照明モードの選択を可能にする。第1照明モードにおいて、アパーチャプレート13Nは、説明のみを目的として「北」と指定された方向からの軸外を提供する。第2照明モードにおいて、アパーチャプレート13Sは、同様であるが「南」と名付けられた反対方向からの照明を提供するために用いられる。所望の照明モード外のいずれの不要な光も所望の測定信号に干渉することから、瞳面の残りは暗闇であることが望ましい。
図3(b)に示されるように、ターゲットTは、対物レンズ16の光軸Oに直交するよう基板Wに配置される。基板Wは、サポート(図示せず)により支持されてよい。軸Oからずれた角度からターゲットTに入射する測定放射Iの光線は、ゼロ次の光線(実線0)および二つの1次光線(一点破線+1および二点破線−1)を生じさせる。はみ出る小さなターゲットの場合、これらの光線は、計測ターゲットTおよび他のフィーチャを含む基板の領域をカバーする多数の平行光線の一つにすぎないことを忘れてはならない。プレート13のアパーチャは(有効な光量を認めるのに必要な)有限の幅を有するため、実際には入射光線Iがある角度範囲を占め、回折光線0および+1/−1は多少拡がるであろう。小さいターゲットの点像分布関数によれば、+1および−1の各次数は、ある角度範囲にわたってさらに拡がり、図示されるような単一の理想的な光線とならないであろう。ターゲットの格子ピッチおよび照明角度は、1次光線が中心光軸の近くにアライメントされて対物レンズに入射するように設計または調整されることができることに留意する。図3(a)および図3(b)Bに示される光線は、図面において純粋にこれらが容易に識別可能となるように、多少軸外しとなるよう示されている。
基板W上のターゲットTにより回折される少なくとも0および+1の次数は、対物レンズ16により収集され、ビームスプリッタ15を通って戻るように方向付けられる。図3(a)に戻ると、北(N)および南(S)の符号が付された径方向に反対のアパーチャを指定することにより、第1および第2照明モードの双方が示される。測定放射の入射光線Iが光軸の北側からである場合、つまり、アパーチャプレート13Nを用いて第1照明モードが適用される場合、+1(N)の符号が付された+1の回折光線が対物レンズ16に入射する。反対に、アパーチャプレート13Sを用いて第2照明モードが適用される場合、(−1(S)の符号が付された)−1の回折光線が対物レンズ16に入射するものとなる。
第2ビームスプリッタ17は、回折ビームを二つの測定路に分割する。第1測定路において、光学システム18は、ゼロ次および1次の回折ビームを用いて第1センサ19(例えばCCDまたはCMOSセンサ)上でターゲットの回折スペクトル(瞳面像)を形成する。各回折次数がセンサ上の異なる点でぶつかるため、画像処理は、次数を比較および対比できる。センサ19に撮像される瞳面像は、計測装置のピント調整および/または1次回折ビームの強度測定の規格化に用いることができる。瞳面像は、再構成などの多くの測定の目的のために用いることもできる。
第2測定路において、光学システム20,22は、センサ23(例えばCCDまたはCMOSセンサ)上にターゲットTの像を形成する。第2測定路において、瞳面に共役となる面内に開口絞り21が設けられる。開口絞り21は、ゼロ次の回折ビームを遮るように機能し、センサ23上に形成されるターゲットの画像が−1または+1次のビームからのみ形成されるようにする。センサ19および23の撮像画像は、画像を処理する処理部PUに出力される。PUの機能は、実行すべき測定の具体的な形式に依存するであろう。なお、本書に用いられる「画像」の用語は広義である。仮に−1次および+1次の一方しか存在しなければ、グレーティングラインの画像自体は形成されないであろう。
図3に示されるアパーチャプレート13および視野絞り21の具体的形状は、純粋に例にすぎない。本発明の別の実施形態において、ターゲットの軸上照明が用いられ、実質的に一方の1次回折光のみをセンサに向けて通過させるために軸外アパーチャを持つ開口絞りが用いられる。さらに別の実施形態において、1次ビームの代わりに又は1次ビームに加えて、2次、3次、さらに高次のビーム(図3に不図示)を測定に用いることができる。
これら異なる形式の測定に適用可能な測定放射を作るため、アパーチャプレート13は、所望のパターンを所定の位置にもたらすように回転するディスクの周りに形成される多数のアパーチャパターンを備えてもよい。なお、アパーチャプレート13Nまたは13Sは、一方向(設定に応じてXまたはY)に方向付けられた格子の測定にのみ用いることができる。直交する格子の測定のため、90°または270°のターゲットの回転が実行されてもよい。異なるアパーチャプレートが図3(c)および(d)に示されている。 これらの使用、および装置の他の多数の変形形態および用途は、上記の従前に発行された特許出願公開に記載されている。
図4は、既知のプラクティスに従って基板上に形成されたオーバーレイターゲットまたは複合オーバーレイターゲットを示す。この例におけるオーバーレイターゲットは、それらが全て計測装置の計測放射照明ビームによって形成される測定スポット31内にあるように互いに密接に配置された4つのサブオーバーレイターゲット(例えば、格子)32−35を含む。したがって、4つのサブオーバーレイターゲットは、すべて同時に照射され、センサ19および23上に同時に結像される。オーバーレイ測定専用の例では、格子32−35は、それ自体、基板W上に形成される半導体の異なる層にパターニングされた格子を重ね合わせることによって形成される複合格子である。格子32−35は、複合格子の異なる部分が形成されている層間のオーバレイの測定を容易にするために、異なるバイアスをかけられたオーバレイオフセットを有することができる。オーバーレイバイアスの意味は、図7を参照して以下に説明される。格子32−35はまた、入射する放射をX方向およびY方向に回折するように、図示のようにそれらの向きが異なってもよい。一例では、格子32および34は、それぞれオフセット+d、−dを有するX方向格子である。格子33および35は、それぞれオフセット+dおよび−dを有するY方向格子である。これらの格子の別々の画像は、センサ23によって捕捉された画像において識別することができる。これはオーバーレイターゲットの一例にすぎない。オーバーレイターゲットは、4つより多い、または少ない数の格子、または単一の格子のみを含むことができる。
図5は、図3の装置内の図4のオーバーレイターゲットを使用し、図3(d)のアパーチャプレート13NWまたは13SEを用いるときに、センサ23上に形成され、センサ23により検出されうる画像例を示す。瞳面イメージセンサ19は異なる個別の格子32−35を分解できないが、イメージセンサ23であればできる。黒い四角は、センサ上の画像の視野を示し、この範囲内の円形領域41に対応する箇所に基板上の照明されたスポット31が結像する。この範囲内では、矩形領域42−45が小さいオーバーレイターゲット格子32−35の像を表す。仮にオーバーレイ格子が製品領域に位置していれば、この画像の視野の周辺に製品フィーチャも視認しうる。画像処理制御部PUは、格子32−35の個別画像42−45を識別するためのパターン認識を用いてこれらの画像を処理する。このようにして、センサフレーム内の特定の場所に極めて正確に画像がアライメントされる必要がなくなり、測定装置全体としてのスループットが大きく改善される。
いったんオーバーレイターゲットの個別画像が識別されると、例えば、識別された領域内で選択されたピクセルの強度値を平均化または合計することにより、それら個別画像の強度を測定できる。画像の強度および/または他の特性は互いに比較できる。これらの結果は、リソグラフィ工程の異なるパラメータ測定のために組み合わせることができる。オーバレイ性能はこのようなパラメータの重要な一例である。
図6は、例えば国際公開第2011/012624号パンフレットに記載されている方法を使用して、コンポーネントオーバーレイターゲット32−35を含む2つの層の間のオーバーレイエラー(すなわち、望ましくなく且つ意図的でないオーバーレイミスアライメント)がどのように測定されるかを示す。そのような方法は、マイクロ回折ベースのオーバーレイ(μDBO)と呼ばれることがある。この測定は、+1次および−1次暗視野画像におけるそれらの強度(他の対応するより高次の強度、例えば+2次および−2次の強度)を比較することにより明らかにされるように、オーバーレイターゲット非対称性を通して行われる。ステップS1において、基板、例えば半導体ウェハは、図2のリソグラフィセルのようなリソグラフィ装置を通して1回以上処理されて、格子32−35を含むオーバーレイターゲットを形成する。S2において、例えば図3の計測装置を使用して、オーバーレイターゲット32−35の画像は、1次回折ビームのうちの1つのみ(例えば−1)を使用して取得される。ステップS3において、照明モードを変更することによって、または結像モードを変更することによって、あるいは計測装置の視野内で基板Wを180°回転させることによって、他の1次回折ビーム(+1)を使用するオーバーレイターゲットの第2画像が得られる。その結果、+1次の回折放射が第2の画像に捕捉される。
各画像に1次回折放射の半分しか含まないことによって、ここで言及される「画像」は従来の暗視野顕微鏡検査画像ではないことに留意されたい。オーバーレイターゲットの個々のオーバーレイターゲットラインは分解されない。各オーバーレイターゲットは、単に特定の強度レベルの領域により表される。ステップS4において、各コンポーネントオーバーレイターゲットの画像内で関心領域(ROI)が識別され、そこから強度レベルが測定される。
個々のオーバーレイターゲットごとにROIを識別し、その強度を測定した後、オーバーレイターゲットの非対称性、したがってオーバーレイエラーを決定することができる。これはステップS5において(例えばプロセッサPUによって)各オーバーレイターゲット32−35に関して+1次と−1次について得られた強度値を比較してそれらの強度の非対称性、例えばそれらの強度の差を識別することにより行われる。「差」という用語は、減算のみを指すことを意図していない。差は比率の形で計算されてもよい。ステップS6において、多数のオーバーレイターゲットについて測定された強度の非対称性は、それらのオーバーレイターゲットの任意の既知の課せられたオーバーレイバイアスの知識と共に、オーバーレイターゲットTの近傍におけるリソグラフィプロセスの1つ以上の性能パラメータを計算するために用いられる。本明細書に記載の用途では、2つ以上の異なる測定レシピを用いた測定が含まれる。非常に興味深いパフォーマンスパラメータはオーバーレイである。
図7は、重なり合う(オーバーラップする)周期構造を含むオーバーレイターゲットの典型的な回折構成を示す。重なり合う周期構造は、第1の周期構造(または第1の格子)および第2の周期構造(または第2の格子)を含む。図示の特定の例では、第1層に第1(下部)格子700、第2層に第2(上部)格子710があり、全て基板705上に形成されている。第1格子700と第2格子710の間には層材料740があり、これは(この概略例では)第2の層構造がエッチングされる材料を含み得る。測定放射線720が第2の格子710に入射し、その結果、ゼロ以外(例えば、1次)の回折次数730を形成する回折が生じる。さらに、測定放射線720の一部(0次)は、第2の格子710および層材料740を通過して、第1の格子700に入射する。そこでは再び、ゼロ以外(例えば1次)の回折次数750を形成する回折がある。第2の格子710からのゼロ以外の回折次数730および第1の格子700からのゼロ以外の回折次数750は、最終的には(例えば遠視野で)干渉して、センサ(例えば図3(a)に示す装置のセンサ19またはセンサ23)によって捕捉され得るオーバーレイ信号を形成する。この図は、オーバーレイ信号を生成する関連原理を説明するためだけに提供されており、簡単にするために、全ての回折モードを示すわけではない(例えば、透過回折モードは示されていない)ことに留意されたい。既に説明したように、第1の格子700と第2の格子710との間には意図的なオフセット(図示せず)があり得る。
D4Cのような計測ターゲット設計プラットフォームが、計測(オーバーレイ)ターゲットを設計する際に使用されてもよい。D4Cを使用すると、D4Cプログラムの作成者の介入なしに、ユーザが計測ターゲットを設計するために必要なすべての手順を実行できるようになる。適切なグラフィックユーザインタフェース(GUI)が、D4Cプログラムの機能を設定し、実行し、検討しそして使用するために利用可能にされる。通常、計測ターゲット設計は実際のデバイス製造領域ではなくシミュレーション領域にほとんど限定されているため、製造ツールとの特別なインターフェースは必要ない。
マルチフィジックス3Dモデリングソフトウェアなどの従来のターゲット設計ツールは、通常、純粋にグラフィカルな面積要素または体積要素を使用して幾何学的構造を「描く」または「構築する」。これらのグラフィック要素には、マルチフィジックスパラメータ特性が割り当てられています。D4C法と従来の方法との根本的な違いは、リソグラフィプロセス自体が計測ターゲットの3D構造のレンダリングを駆動するため、設計者がモデルを要素ごとに構築する必要がないことである。
図8(a)は、D4C法の主な段階を列挙するフローチャートを示す。段階1110において、リソグラフィプロセスで使用される材料が選択される。材料は、適切なGUIを介してD4Cと適合する材料ライブラリから選択することができる。段階1120では、各プロセスステップに入り、全プロセスシーケンスについてコンピュータシミュレーションモデルを構築することによってリソグラフィプロセスが定義される。段階1130において、計測ターゲットが定義される、すなわちターゲットに含まれる様々なフィーチャの寸法および他の特性がD4Cプログラムに入力される。例えば、格子が構造に含まれる場合、格子要素の数、個々の格子要素の幅、2つの格子要素間の間隔などを定義しなければならない。段階1140において、3D形状が作成される。このステップでは、マルチレイヤターゲット設計に関連する情報、たとえば異なるレイヤ間の相対シフトなどがある場合も考慮される。この機能により、多層ターゲット設計が可能になる。段階1150において、設計されたターゲットの最終形状が視覚化される。以下により詳細に説明されるように、最終設計が視覚化されるだけでなく、設計者がリソグラフィプロセスの様々なステップを適用するとき、設計者は、プロセス誘起効果(process-induced effect)のために3D形状がどのように形成され変化するかを視覚化することができる。例えば、レジストパターニング後の3D形状は、レジスト除去およびエッチング後の3D形状とは異なる。
本開示の重要な態様は、ターゲット設計者が、モデル化およびシミュレーション中にそれらの知見および制御を容易にする方法の段階を視覚化できるようにすることである。D4Cソフトウェアには、「ビューア」と呼ばれるさまざまな視覚化ツールが組み込まれている。例えば、図8(b)に示されるように、設計者は、定義されたリソグラフィプロセスおよびターゲットに応じて材料プロット1160を見ることができる(そしてまたランタイム推定プロットを得ることもできる)。リソグラフィモデルが作成されると、設計者はモデルビューアツール1175を介してモデルパラメータを見ることができる。設計レイアウトビューアツール1180を用いて設計レイアウトを見ることができる(例えば、GDSファイルの視覚的レンダリング)。レジストプロファイルビューアツール1185を使用して、レジスト内のパターンプロファイルを見ることができる。ジオメトリビューアツール1190は、ウェハ上の3D構造を見るために使用され得る。瞳ビューアツール1195を使用して、計測ツール上でシミュレート応答を見ることができる。当業者であれば、これらの閲覧ツールが設計およびシミュレーション中の設計者の理解を高めるために利用可能であることを理解するであろう。これらのツールのうちの1つまたは複数は、D4Cソフトウェアのいくつかの実施形態には存在しなくてもよく、いくつかの他の実施形態には追加の閲覧ツールが存在してもよい。
D4Cによって、設計者は、数千または数百万ものデザインを設計することが可能になる。これらの設計のすべてが必要なオーバーレイ信号を生成するわけではない。オーバーレイ信号を生成するそのようなターゲット設計の1つまたはサブセットを決定するために、D4C方法は多くの設計を評価し視覚化することを可能にする。したがって、どのターゲットが必要とされるオーバーレイ信号を生成するか(そしてこれらのどれが最良のオーバーレイ応答を提供するか、および/またはプロセス変動などに対して最もロバストであるか)を識別することが可能である。
図8(c)は、リソグラフィプロセスの実際のシミュレーションのために選択された計測ターゲットの数を減らすことによって、D4Cプロセスがシミュレーションプロセス全体の効率をどのように向上させるかを表すフローチャートを示す。前述のように、D4Cを使用すると、設計者は数千から数百万ものデザインを設計できる。これらの設計の全てがプロセスステップにおける変動に対してロバストであるとは限らない。プロセス変動に耐えることができるターゲット設計のサブセットを選択するために、ブロック1152に示すように、リソグラファーは、定義されたリソグラフィプロセスの1つ以上のステップを意図的に摂動させることができる。摂動の導入は、それが元々定義されていた方法に関して全体のプロセスシーケンスを変更する。したがって、摂動プロセスシーケンスを適用すること(ブロック1154)は、設計ターゲットの3D幾何学形状も変更する。リソグラファーは、元の設計ターゲット内のゼロ以外のオルタネーションを示す摂動を選択するだけであり、選択されたプロセス摂動のサブセットを作成する(ブロック1156)。次いで、リソグラフィプロセスは、このプロセス摂動のサブセットを用いてシミュレートされる(ブロック1158)。以下に説明される実施形態は、計測ターゲットおよび計測装置を使用したリソグラフィ特性の測定方法に関する。
光計測は、ターゲットから散乱された光を使用してリソグラフィプロセスに関する情報を提供する。測定は、スキャトロメータなどの光学機器で行われる。スキャトロメータが測定するのに適しているという情報は、例えばオーバーレイである。これは、2つの重なっている格子と平行な平面内における、2つの重なっている格子の間の相対距離である。
回折に基づくオーバーレイ測定では、オーバーレイは、1次の正および負の回折次数に対する光強度の差から抽出される。回折光からオーバレイを測定するのに適した公知のスキャトロメータの例は、US2006033921A1、US2010201963A1、US2006066855A1、US2014192338、US2011069292A1、US20110027704A、US20110043791A、US2011102753A1、US20120044470A、US20120123581A、US20130258310A、US20130271740A、WO2016083076A1及び62/320780に記載されているものスキャトロメータを含む。これらすべての出願の内容は、参照により本明細書に具体的かつ完全に組み込まれる。
さらに、最適でロバストな結果をもたらし、ひいては正確なオーバーレイ測定をもたらす計測方法を使用できることが望ましい。
オーバーレイを測定するための計測用途が直面する問題の1つは、2つの重なり合う格子から回折される強度のバランスを乱す強度摂動である。さらに、現在の測定方法では、オーバーレイに起因する強度変動と、厚さまたは散乱光の波長の変化に起因する強度変動とを区別するための選択肢はほとんどない。摂動のもう一つの原因は、ターゲットの有限性によるもので、エッジ効果などの強い信号で現れる。さらに、結像光学系の収差も強度摂動の原因となる。スキャトロメトリを用いてオーバーレイを測定する現在知られている方法では、信号のオーバーレイ感度は、層厚変動に敏感である。これもまた、この特許出願に開示されている実施形態によって解決される課題である。
本明細書に開示されている実施形態の目的は、オーバーレイなどのリソグラフィ特性の正確かつロバストな測定方法を提供することである。さらに、本明細書に開示される実施形態の目的は、オーバーレイなどのリソグラフィ特性の正確でロバストな測定方法を提供することであり、測定されたオーバーレイは、スタックの厚さ、例えば2つの重なり合う格子間の距離などの厚さとは無関係である。さらに、本明細書に開示される実施形態の目的は、オーバーレイなどのリソグラフィ特性の正確かつロバストな測定方法を提供することであり、測定されたオーバーレイは、計測ターゲットを照射するために使用される光の波長とは無関係である。
上述の欠点に対処するために、図9−図12のターゲット60のように、2つの重なり合う格子を含むターゲット60が提案される。この例におけるターゲット60は、ピッチPを有するラインによって形成された上部格子(以下で参照されるような第2のターゲット構造92の例)および上部格子のピッチPと平行な方向にピッチPを有し、ピッチPおよびPに垂直な方向にピッチPを有するチェス盤(チェッカーボードとも呼ばれる)格子(以下で参照されるような第1のターゲット構造91の例)を含む。可視または赤外または近赤外または紫外またはEUVスペクトルの光で照射されると、光線は図9−図12に示されるように経路をたどる。法線入射光(図11および図12のように見たとき)は、下部格子(第1のターゲット構造91)によって回折され、結果として生じる回折次数+1および−1は、上部格子(第2のターゲット構造92)によって回折または散乱される。上部格子によって回折され、それらの間に2*θの角度を有する2つの光線(78A、78B)は干渉し、干渉縞を形成する。干渉縞は、カメラまたはフォトダイオード(または複数のフォトダイオード)などの光強度センサによって検出され、周期的な振動パターンを有する画像を形成する。縞の周期PはピッチPおよびPのみの関数である。角度θ、θおよび縞の周期Pは以下の式で与えられる。ここで、mは整数である。
好ましいターゲットの一例を図13および図14に示す。図13は、上部格子の一例を示す。図14は、下部格子の一例を示す。図13および図14のターゲットからの縞模様を図15に示す。オーバーレイ(上部格子と下部格子との間の相対的なシフト)は、周期的な干渉縞のシフトから抽出される。既知の信号処理技術を用いて、周期信号の位相φが抽出され、周期信号は、式3に示されるように、PおよびPによって決定される縞の周波数に等しい周波数を有する。例示的な信号処理手順のステップが図16および17に示されている。図16は、重なり合う格子の2つの隣接するペアから生じる関心領域80内の干渉縞に関する位置(横軸)に対する信号強度(縦軸)のプロットを示す。オーバーレイエラーによる周期的な干渉縞のシフトは、対応する位相シフトφを提供する。位相シフトφは、図17に示すように干渉縞の空間フーリエ解析から決定することができる。図17(a)は干渉縞の周波数スペクトルを示す。図17(b)は、干渉縞の位相スペクトルを示す。周波数スペクトルのピークは縞の周期Pによって決定される。ピークに対応する位相(破線の矢印で示す)は、干渉縞のシフト、したがってオーバーレイエラーに関する情報を提供する。
上部格子としてのチェス盤パターンおよび下部格子としてのライン/スペースパターンを有するターゲット60は、同様の原理で機能する。
図18および図19は、ターゲット60を照射するために使用される波長の関数として(図18)およびスタックの厚さの関数として(図19)、干渉縞によって与えられる周波数を有する周期信号の位相などのパラメータの依存性を示す。式4に従って位相に正比例するオーバーレイは、波長またはスタックの厚さには依存しない。
一実施形態に係る代替的な設計が図20に示されている。図20(a)は、上部格子を示し、図20(b)は下部格子を示している。単一のターゲットは、上層と下層の両方に二次元格子を備えている。上部ターゲットは、X方向とY方向の両方にピッチP(例えば、500nm)を有する2D格子からなる。下部ターゲットは、XとYの両方にピッチP(例えば、450nm)を有するチェッカーボードである。この場合、P=Pである。干渉縞からなる基準は、上下に反転したPおよびPを使用して、示された、または隣接して配置されたターゲットを囲むであろう。位相基準には、固定の周期的にセグメント化された回折格子を使用することもできる。
照射方向は、どのオーバーレイ感度が現在表示されているかを決定する。Xオーバーレイ測定の場合、ターゲットは主にY方向から照射される。Yオーバーレイ測定では、ターゲットは主にX方向から照射される。照明方向およびXYオーバーレイ条件に応じて、異なる一組の干渉縞が生成される。
このターゲット設計の利点は、XおよびYターゲットを複製しないことにより、ターゲットの設置面積を減少させることである。別の利点は、全格子領域が特定の方向での測定に使用できること、すなわち全領域が干渉縞によって覆われ、設計の柔軟性、より大きな縞変位倍率(fringe displacement magnification)によるオーバーレイ感度、およびより大きな一組の縞にわたる平均化による雑音低減が向上することである。
本発明の利点は、波長およびスタック層の厚さとは無関係にオーバーレイ信号を測定することである。この利点は、+1次回折と−1次回折の等しい経路長に基づいている。本発明のさらなる利点は、位相シフトが照明源に面しているターゲットエッジと直交しているので、エッジ効果に対して鈍感であることである。
図21−図27は、さらに他の実施形態を示している。図21は、光(測定放射72)で照明された等しいピッチPを有する格子を有するターゲット60を示す。そのようなターゲット60の具体例は、図24−図26に示されており、以下にさらに詳細に説明されている。そのようなターゲット60の画像は、回折型スキャトロメータで光を照射されると、図23の141〜144と符号が付された正方形(強度サブ領域と呼ばれることがある)に似ていることがある。正方形141〜144は、例えば−P/8±d、P/8±dで上部格子と下部格子との間に相対シフト(オーバーレイバイアス)を有するターゲットを照明するときに得られる。Pは、上部格子および下部格子の等しいピッチであり、dは任意のバイアス(所定の定数)である。そのようなターゲット60は、例えば、重なり合うターゲットサブ構造151〜158の複数のペア61〜64を含むことができる。オーバーレイは、各画像141〜144における強度に比例する値またはそれらの関係から抽出することができる。図23の複合信号78の強度は、式5のような式によって与えられる(以下でさらに詳細に説明する)。
コンポーネント信号78の強度は、図27に示すように、上部格子と下部格子との間のオーバーレイ差Xの関数として変化する。IA−Dに示される4つの強度の各々は、ゼロオーバーレイエラーを伴う、上述の4つのオーバーレイバイアス(−P/8±d、P/8±d)のうちの異なるものに対応する。オーバーレイエラーは曲線をさらに右または左にシフトする。シフトは、強度IA−Dの変化から計算することができる。
図22に示される例(以下でさらに詳細に説明される)のような回折光線のフーリエ解析では、1次の正と負の回折次数の間の干渉光は、使用される波長および格子間の距離などのスタックの厚さとは無関係になる。異なる照明アパーチャを使用することは、回折パターンの様々なフーリエ成分の位置を制御する方法であり、したがって、回折された正および負の次数のみに基づいて信号の検出可能性を高める。そのような次数はオーバーレイに対して非常に敏感だからである。
一実施形態によれば、ターゲット60を測定する方法が提供される。ターゲットはリソグラフィプロセスによって形成される。そのような方法の具体例は、図9−図27を参照して上述されている。方法、および方法の変形は、以下にさらに詳細に説明される。
例えば図12に示すように、ターゲット60は層状構造を含む。第1の層81には第1のターゲット構造91(周期構造)が設けられている。第2の層82には第2のターゲット構造92(周期構造)が設けられている。第1のターゲット構造91と第2のターゲット構造92との間には、層材料85がある。層材料85は、第2のターゲット構造92を形成する構造がエッチングされる材料を含んでよい(または含まなくてもよい)。積層構造が基板87上に形成されている。
ターゲット60は、測定放射線72で照射される。この方法は、複数の所定の(異なる)回折次数74A、74Bの間の干渉によって形成された散乱放射線を検出することを含む。ターゲットを形成するために使用されるオーバーレイエラーなどのリソグラフィプロセスの特性は、検出された散乱放射を使用して計算される。
干渉する所定の回折次数74A、74Bは、第1のターゲット構造91からの測定放射線72の回折によって生成される。一実施形態では、干渉する所定の回折次数74A、74Bは、2つの等しい反対の回折次数を含む、またはそれから成る。図12の特定の例では、干渉する所定の回折次数は、−1次回折(負の1次)および+1次回折(正の1次)(すなわち、等しく且つ反対の1次回折)を含む。他の実施形態では、他の所定の回折次数が、干渉によって形成される検出された散乱放射に寄与してもよい(例えば、0次または高次)。
干渉する所定の回折次数74A、74Bは、最初、比較的大きな角度θ1でターゲット構造91から発散する。その後の第2のターゲット構造92による回折は、干渉する所定の回折次数74A、74Bを互いに近づける(光線78Aおよび78Bによって示されるように、これらは非常に小さい角度θ2で発散する)。光線78Aは光線74Aから発生した−1次回折であり、光線78Bは光線74Bから発生した+1次回折である。この方法は、光線78Aおよび78Bを使用して干渉パターン(または干渉によって引き起こされる均一強度の領域)を形成し、干渉パターン(または干渉によって引き起こされる均一強度の領域)を使用してオーバーレイエラーを測定する。所定の回折次数間の干渉の検出は、第2のターゲット構造92からの後続の回折によって可能になる。この後続の回折は、それらが検出システムの対物レンズ16によって効率的かつ同時に受け取られ、且つ干渉パターン(または干渉によって生じる一様な強度の領域)がオーバーレイエラーに敏感になるのに十分に近い所定の回折次数をもたらす。例えば、図3(a)に示されるタイプの計測装置が使用される場合、干渉縞は第2の測定分岐によって測定されてもよい。
層状構造を通る干渉する所定の回折次数の経路長は等しい。したがって、経路長効果(例えば、より長い経路長に対するより大きい減衰)によって引き起こされる検出された散乱放射の強度変動は回避される。測定はまた、ターゲット60の厚さ(例えば、スタックの厚さとも呼ばれることがある、第1のターゲット構造91と第2のターゲット構造92との間の間隔)とは無関係である。測定は、薄いターゲット60および厚いターゲット60に対して有効である。以下に詳細に説明するように、検出された干渉パターン(または干渉によって引き起こされる均一強度の領域)もまた放射の波長とは無関係である。これは、測定放射がターゲット60を通って伝播する際の測定放射のスペクトルの変化または差によって生じるエラーを低減または回避する。
所定の回折次数の間の干渉によって形成される検出された散乱放射は、第1のターゲット構造91と第2のターゲット構造92との間のオーバーレイエラーの関数として変動する。第1のターゲット構造91および第2のターゲット構造92が、オーバーレイエラーの関数として検出された散乱放射の変動をもたらすように構成され得る複数の方法がある。具体例は上述されている。さらなる具体例を以下に説明する。
一実施形態では、干渉する所定の回折次数74A、74Bは、第1のターゲット構造91からの反射による回折によって生成される。第2のターゲット構造92からの生成された回折次数74A、74Bの後続の回折は、第2のターゲット構造92を透過する回折を含む。図12は、このタイプの実施形態を示す。他の実施形態では、代替的な形状が使用されてもよい。例えば、他の実施形態では、所定の回折次数74A、74Bは、第1のターゲット構造91を透過する回折によって生成される。加えてまたは代えて、後続の回折は、第2のターゲット構造92からの反射による回折を含む。
一実施形態では、干渉する所定の回折次数は、第1のターゲット構造を透過する回折によって生成され、第2のターゲット構造からの所定の回折次数の後続の回折は、第2のターゲット構造からの反射による回折を含む。そのような実施形態は、図12に示されるものと同様の光線78Aおよび78Bを提供するように使用され得るが、この場合、第1のターゲット構造は、第2のターゲット構造の下ではなく上にある必要がある。したがって、そのような実施形態では、図12の「91」と符号が付されたターゲット構造は第2のターゲット構造に対応し、「92」と符号が付されたターゲット構造は第1のターゲット構造に対応するであろう。測定放射72は、(図12のように直進するのではなく)最初に「92」と符号が付されたターゲット構造から回折し、次に(光線78Aおよび78Bとして「92」と符号が付されたターゲット構造を直進する前に)「91」と符号が付されたターゲット構造から2回目の(反射による)回折をする。
したがって、測定放射線72は、上部ターゲット構造および下部ターゲット構造からいずれかの順序で二重に回折する。二重回折は、異なる回折次数を一緒にして、(特性強度および/または特性周波数および位相の干渉縞を有する)特徴的な干渉を生じさせる。この干渉は、波長およびスタック厚さに影響されない。実際には、2つの一連の回折は同時に起こり、そして強化する。単一の回折または3回の回折から生じる回折次数は、著しく異なる角度にあり、観察される干渉(例えば、強度および/または干渉縞)には寄与しない。
一実施形態では、ターゲット60は、3つ以上のペア61〜64の重なり合うターゲットサブ構造151〜158を含む。そのようなターゲット60の例は、図21−図27を参照して簡単に上述した。例示的なターゲット60の構造を図24−図26に示す。そのような実施形態における重なり合うターゲットサブ構造151〜158の各ペア61〜64は、第1のターゲットサブ構造151〜154を含む。第1のターゲットサブ構造151〜154は、第1のターゲット構造91内(すなわち、第1の層81内)に設けられている。そのような実施形態における重なり合うターゲットサブ構造151〜158の各ペア61〜64は、第2のターゲットサブ構造155〜158をさらに含む。第2のターゲットサブ構造155〜158は、第2のターゲット構造92内(すなわち、第2の層82内)に設けられている。図24−図26の例では、4つのペアが設けられている。第1ペア61は、第1ターゲットサブ構造151と第2ターゲットサブ構造155とを含む。第2ペア62は、第1ターゲットサブ構造152と第2ターゲットサブ構造156とを含む。第3ペア63は、第1ターゲットサブ構造153と第2ターゲットサブ構造157とを含む。第4ペア64は、第1ターゲットサブ構造154と第2ターゲットサブ構造158とを含む。
重なり合うターゲットサブ構造体151〜158の各ペア61〜64内の第1のターゲットサブ構造体151〜154および第2のターゲットサブ構造体155〜158のそれぞれは、同じピッチおよび向き(オリエンテーション)を有する第1周期成分(例えば、ライングレーティングまたはチェッカーボードパターン)を含む。図21−図27の実施形態では、第1周期成分は、少なくとも一方向に沿ってピッチPを有する格子(例えば、ピッチPを有するライングレーティングまたはピッチPを有するチェッカーボードパターン)を含む。重なり合うターゲットサブ構造151〜158の各ペア61〜64には、異なるオーバーレイバイアスが与えられる。以下に説明するように、重なり合うターゲットサブ構造151〜158のペア61〜64に異なるオーバーレイバイアスを与えることにより、オーバーレイエラーを高い信頼性および/または高い精度で得ることが可能になる。
図22は、図21に示す重なり合ったターゲットサブ構造151、155のペア61からの回折のフーリエ空間表現である。当業者は、同じ原理が重複するターゲットサブ構造152〜154、156〜158の他のペア62〜64のそれぞれに適用されることを理解するであろう。
グラフ101は、ターゲットサブ構造155の予測回折パターン(フーリエ変換)を表す。グラフ102は、ターゲットサブ構造151の予測回折パターン(フーリエ変換)を表す。グラフ103は、ターゲットサブ構造155とターゲットサブ構造151との組み合わせから形成された組み合わせ構造からの回折から生じる予測回折パターン(フーリエ変換)を表す。組み合わせ構造は、ターゲットサブ構造155をターゲットサブ構造151と重ね合わせる(または乗算する)ことによって形成される。したがって、図22に示すように、グラフ103の回折パターンは、グラフ101の回折パターンとグラフ102の回折パターンとの畳み込みによって得ることができる。
グラフ101の回折パターンは、関連するフーリエ係数A−1、AおよびAを有する局所的ピークによってそれぞれ表される−1次、0次および+1次回折次数を含む。この例におけるターゲットサブ構造155は単純なライングレーティング(第1周期成分)からなるので、全てのピークは水平軸に沿って整列している。したがって、唯一の空間的周期性はライングレーティングのピッチによって表され、それは今度はピークA−1およびAの水平軸に沿った間隔によって表される(2*kに等しい、ここでk=2π/P)。
ターゲットサブ構造151が第1周期成分と第2周期成分の両方を含むので、グラフ102の回折パターンはより多くのピークを含む。第1周期成分は、ターゲットサブ構造155のライングレーティングと平行であり、同じピッチPを有する。第2周期成分は、第1周期成分と垂直である(例えば、チェッカーボードパターン)。第1周期成分と第2周期成分との組み合わせからの回折から生じるピークは、フーリエ係数B0,0を有する0次ピークと、フーリエ係数B−1,1、B1,1、B1,−1およびB−1,−1を有する1次ピークとを含む。ピークB−1,1とB1,1との間、およびピークB1,−1とB−1,−1との間の間隔は、第1周期成分のピッチPによって決定される。ピークB−1,1とB−1,−1との間およびB1,1とB1,−1との間の間隔は、第2周期成分のピッチによって決定され、それは図示の特定の例でもPであるが、任意の他の値とすることもできる。
グラフ101および102の回折パターンの畳み込みは、グラフ102のピークB0,0、B−1,1、B1,1、B1,−1およびB−1,−1のそれぞれの位置でグラフ101の3つのピークA−1、AおよびAを効果的に重ね合わせる。2つのターゲットサブ構造151および155のそれぞれにおける第1周期成分のピッチPが同一であるため、グラフ103の回折パターンの1次回折ピークは、異なる所定の回折次数から生成された重なり合うピークから形成される。フーリエ空間における重なり合うピークの局所的な性質は、所定の回折次数間の干渉によって形成された散乱放射が名目上同じ角度で(または小さな角度範囲内で)ターゲット60から出力されることを示している。グラフ103の縦軸に沿った各1次ピークは、ターゲットサブ構造151からの+1次回折に続くターゲットサブ構造155からの−1次回折に対応するピーク(A−1,1またはA−1,−1)と、ターゲットサブ構造151からの−1次回折に続くターゲットサブ構造155からの+1次回折に対応するピーク(A−11,1またはA−11,−1)のオーバーラップから形成される。したがって、互いに干渉する所定の回折次数は、ペア61の第1周期成分からの回折に関して定義される(したがって、この場合、所定の回折次数は、2つのターゲットサブ構造151および155のそれぞれにおいて周期Pを有する第1周期成分に関して、+1および−1の回折次数である)。グラフ103の重なり合うピークによって生成される散乱放射の強度I0,1およびI0,−1は、上記の式5で提供される式によって与えられる。計測学において、例えば、図3を参照して上述したようにアパーチャプレート13を使用して適切な照明モードを選択することによって、フーリエ空間における選択された領域に対応する散乱放射を選択的に測定する方法はよく知られている。したがって、I0,1およびI0,−1を測定することができる。
強度I0,1およびI0,−1のそれぞれは、各ペア61〜64の重なり合うターゲットサブ構造151〜158の第1周期成分間のオーバーレイオフセットの関数として変化する。オーバーレイオフセットによる強度変動の一例が図27に示されており、上述されている。変動は、Iの平均強度で少なくともほぼ正弦波であると予想される。一実施形態では、オーバーレイエラーは、異なるオーバーレイバイアスで強度を測定することによって右または左への曲線の位置の変化を測定することによって検出される。
一実施形態では、異なるオーバーレイバイアスは、等しく且つ反対のオーバーレイバイアスの1つ以上のペアを含む。そのようなオーバーレイバイアスは、オーバーレイオフセットの関数として強度変動の対称的なサンプリングを提供し、それは信号中の高調波の存在に対してそれほど敏感ではないと予想される。一実施形態では、異なるオーバーレイバイアスは、以下の4つのバイアスを含む:−P/8−d、P/8+d、−P/8+dおよびP/8−d、ここで、Pは第1周期成分のピッチであり、dは所定の定数である。このタイプの例は、図21−図27を参照して上述した。図27から分かるように、これら4つのオーバーレイバイアスから生じる強度IA−Dは、原点に関して名目上対称的に分布している。さらに、(P/8で)公称曲線の最も急勾配の部分の両側の限られた範囲内にオーバーレイバイアスを配置することによって、強度IA−Dのすべてが名目上、比較的急勾配を有する領域に配置されることになる。オーバーレイエラーによる曲線の位置のいかなる変化も、それ故、測定された強度IA−Dの比較的急速な変化をもたらし、それによって高感度を好む。
バイアスが−P/8−d、P/8+d、−P/8+dおよびP/8−dで与えられる場合、4つの強度IA−Dは、以下の式によりオーバーレイエラーOVに関係する。
これらの4つの式は、3つの未知数しか含んでいないので、OVを求めるために解くことができる。
図21−図27を参照して上述したタイプの実施形態では、所定の回折次数間の干渉によって形成される検出散乱放射は、(図23に示すように)複数の強度サブ領域141〜144を含む。各強度サブ領域141〜144は、ターゲットサブ構造151〜158の3つ以上のペア61〜64の異なる各ペアから回折された測定放射によって形成される。図23の特定の例では、4つの正方形の強度サブ領域141〜144が、図24〜図26に示されている正方形アレイターゲット60によって提供されている。各強度サブ領域141〜144は、干渉によって形成されるが、どの時点においても単一の強度値しか得られない。強度サブ領域141〜144は、いかなる干渉生成空間構造を有する干渉パターン(例えば、干渉縞パターン)も個々には含まない。この空間構造の欠如は、検出された強度を生成するために干渉しているピークのフーリエ空間における高度のオーバーラップの結果である。空間構造を有するパターンを検出するのではなく、空間的に均一な強度の単一の絶対値を検出することが望ましい。オーバーレイを測定する既存の方法(図3−図6を参照して上述)は、単一の強度の絶対値の測定にも依存し、したがって、本方法を実行するために特に効率的に適合させることができるからである。
代替の実施形態では、空間構造を有する干渉パターンが形成され、その空間構造はオーバーレイを抽出するために使用される。そのような方法の例は、図28−図31を参照して以下に説明される。
このような方法では、重なり合っているターゲットサブ構造151〜154少なくとも1つのペア61、62を含むターゲット60が提供される。そのようなターゲット60の例を図30および図31に示す。重なり合うターゲットサブ構造151〜154の各ペア61、62は、第1のターゲット構造91(すなわち、第1の層81)内に第1のターゲットサブ構造151、152を含み、第2のターゲット構造92(すなわち、第2の層82)内に第2のターゲットサブ構造153、154を含む。重なり合うターゲットサブ構造体151〜154の各ペア61、62における第1のターゲットサブ構造体151、152および第2のターゲットサブ構造体153、154は、同じ向きおよび異なるピッチP、Pを有する第1周期成分を含む。 後述するように、異なるピッチP、Pは、空間構造を有する異なる所定の回折次数間の干渉パターンを提供する。一実施形態では、所定の回折次数間の干渉によって形成される検出散乱放射は、ターゲットサブ構造151〜154の各ペア61、62によって形成された干渉縞(フリンジパターン)を含む。一実施形態では、干渉縞は、第1のターゲット構造91と第2のターゲット構造92との間のオーバーレイエラーの変動が各干渉縞内の縞(フリンジ)の位置シフト(すなわち位相の変化)を引き起こすようなものである。したがって、干渉縞の位相を抽出することによってオーバーレイエラーを得ることができる。
図29は、図28に示す重なり合ったターゲットサブ構造151、153のペア61からの回折のフーリエ空間表現である。当業者であれば、同じ原理が重なり合ったターゲットサブ構造152、154の他のペア62にも当てはまることを理解するであろう。
図28に示される重なり合うターゲットサブ構造体151、153のペア61は、下方のターゲットサブ構造151のピッチPが上方のターゲットサブ構造153のピッチPと異なることを除いては、図21に示される重なり合うターゲットサブ構造体151、155のペア61と同じである。さらに、ターゲットサブ構造151は、ピッチP(PまたはPまたは任意の他の値に等しくてよい)を有する第2周期成分を含む。
グラフ201は、ターゲットサブ構造153の予測回折パターン(フーリエ変換)を表す。グラフ202は、ターゲットサブ構造151の予測回折パターン(フーリエ変換)を表す。グラフ203は、ターゲットサブ構造153とターゲットサブ構造151との組み合わせから形成された組み合わせ構造からの回折から生じる予測回折パターン(フーリエ変換)を表す。組み合わせ構造は、ターゲットサブ構造153をターゲットサブ構造151と重ね合わせる(または乗算する)ことによって形成される。したがって、図29に示すように、グラフ203の回折パターンは、グラフ201の回折パターンとグラフ202の回折パターンとの畳み込みによって得ることができる。
グラフ201の回折パターンは、−1と+1のピーク間の間隔が2*kによって与えられることを除いて、図22のグラフ101の回折パターンと同じである。ここで、k=2π/Pである。
グラフ202の回折パターンは、水平方向に沿った−1と+1のピーク間の間隔が2*kによって与えられることを除いて、図22の回折パターン102と同じである。ここで、k=2π/Pである。そして、垂直方向に沿った−1と+1のピーク間の間隔は、2*k3によって与えられる。ここで、k=2π/Pである。
グラフ201および202の回折パターンの畳み込みは、グラフ202のピークB0,0、B−1,1、B1,1、B1,−1およびB−1,−1のそれぞれの位置でグラフ201の3つのピークA−1、AおよびAを効果的に重ね合わせる。2つのターゲットサブ構造151および153のそれぞれにおける第1周期成分の異なるピッチPおよびPにより、図22のグラフ103の重なり合う1次ピーク(所定の回折次数に対応する)の領域内に2つの明確なピークを含む回折パターンが形成される。明確なピークは、示されるように、2π/P−2π/Pで互いに分離されている。これらの所定の回折次数からのピークは、フーリエ空間内で互いに近くに位置しており、したがって効率的に抽出することができ、所定の回折次数が互いに干渉する強度パターンを形成するために使用することができる。グラフ203の所定の回折次数からのピークのペアのそれぞれは、ターゲットサブ構造151からの+1次回折に続くターゲットサブ構造153からの−1次回折に対応するピーク(A−1,1またはA−1,−1)と、ターゲットサブ構造151からの−1次回折に続くターゲットサブ構造153からの+1次回折に対応するピーク(A−11,1またはA−11,−1)を含む。したがって、互いに干渉する所定の回折次数は、ペア61の第1周期成分からの回折に関して定義される(この場合、ペア61の第1周期成分からの回折に関する+1および−1の回折次数)。グラフ203内のこれらのピークのペアのそれぞれの強度I0,1およびI0,−1は、式5の一般化された形で与えられ、これらは式6とラベル付けされ、以下のように与えられる。
式6は、強度I0,1およびI0,−1が、
に比例するピッチ(モアレ周期とも呼ばれる)およびオーバーレイオフセットXに比例する位相を有する空間周期項をさらに含むという点で式5と異なる。空間周期項は、強度I0,1およびI0,−1によって形成される干渉縞のピッチおよび位相を定義する。オーバーレイエラーは、干渉縞の位相をシフトさせる。したがって、位相の測定はオーバーレイエラーを測定するために使用することができる。位相のオーバーレイエラーに対する感度は、PおよびPを適切に選択することによって所望のように変えることができる。
空間構造を有する干渉パターン(例えば、干渉縞)を生成することは、(図17に示されるように)空間周波数領域におけるフィルタリングを可能にする。オーバーレイに関連しない検出された放射強度への寄与は、取り除くことができる。除外することができる強度寄与因子の例には、ターゲットエッジピーク、非対称照明、および隣接するデバイスまたは他の構造からの散乱光が含まれる。したがって、干渉縞の位相を高い精度と信頼性で抽出することができる。
干渉縞の位相は、+πから−πまでの位相範囲にわたってオーバーレイエラーに対して線形に変化するのが有利である。この線形変化は、較正を容易にし、そして均一な感度を提供する。
その一例が図32に示されている実施形態では、ターゲット60は、位相基準として作用するための基準構造R1をさらに含む。基準構造R1は、干渉縞と同じ周期性を有する放射パターンを提供する。基準構造R1は、第1のターゲット構造91と第2のターゲット構造92との間のオーバーレイエラーの関数として、基準パターンR1からの放射パターン内の縞の位置シフトが実質的にないように設けられている。例えば、基準構造R1は、完全にターゲットの単一層内に形成されてもよい。したがって、干渉縞と基準構造R1からの放射パターンとの間の相対的なシフトを使用して、オーバーレイエラーを得ることができる。図32の特定の例では、ターゲット60は、4つのターゲット構造91〜94を含むが、(例えば図30および図31のように)2つのターゲット構造のみ、または他の数のターゲット構造を含むターゲット60に原理を適用できることを理解されたい。
位相基準を提供することに対する代替的または追加のアプローチは、オーバーレイエラーの関数として互いに対して反対方向に移動する縞(フリンジ)を生成するターゲット60を提供することである。この種の例示的なターゲット60を図30および図31に示す。ターゲット60は、少なくとも第1ペアの重なり合うターゲットサブ構造151および153と、第2ペアの重なり合うターゲットサブ構造152および154とを含む。重なり合っている第1サブ構造体151、153の第1ペア61において、第1ターゲットサブ構造151の第1周期成分は第1ピッチPを有し、第2ターゲットサブ構造153の第1周期成分は第2ピッチPを有する。重なり合うターゲットサブ構造152および154の第2ペア62において、第1のターゲットサブ構造152の第1周期成分は第2のピッチPを有し、第2のターゲットサブ構造154の第1周期成分は第1のピッチPを有する。式6を精査すると、このようにPとPを交換することは、重なり合うターゲットサブ構造151および153の第1ペア61から干渉縞を生成するターゲット60をもたらす。この干渉縞は、オーバーレイエラーの関数として、重なり合うターゲットサブ構造152および154の第2ペア62からの干渉縞とは逆方向に移動する。図13および図14は、このタイプのターゲット60のパターン例を示している。図13は、ターゲットサブ構造153(右)および154(左)に適したパターンを示す。図14は、ターゲットサブ構造151(右)および152(左)に適したパターンを示す。図15は、干渉縞の例を示す。右側の干渉縞は、重なり合うターゲットサブ構造151および153の第1ペア161に対応する。左側の干渉縞は、重なり合うターゲットサブ構造152および154の第2ペア62に対応する。
図33は、(例えば図21−27を参照して上述したように)共通ピッチおよび異なるオーバーレイバイアスを有するターゲットサブ構造のペアを使用してオーバーレイエラーを測定する方法とオーバーレイを測定する方法と、(例えば、図28−図32を参照して上述したように)異なるピッチを有するターゲットサブ構造の1つのペアを使用してオーバーレイエラーを測定する方法との対応を示す。図33(a)は、ピッチPを有する例示的な第1のターゲット構造91およびピッチPを有する例示的な第2のターゲット構造92の一部を示す。ピッチの違いを利用して、上述のように干渉縞を作り出すことができる。オーバーレイエラーは、干渉縞の空間位相の変化から抽出することができる。同じピッチPを有するが異なるオーバーレイバイアスを有する複数ペアのターゲットサブ構造を使用する代替的なアプローチは、異なるピッチを有するターゲット構造を使用して生成される干渉縞を効果的にサンプリングすると見なすことができる。図33(b)は、図33(a)のアレンジメントから抽出された例示的なセグメント401〜405を示す。各セグメント401〜405は、セグメント内の第1のターゲット構造91の部分と第2のターゲット構造92の部分との間で異なる平均シフトを有することになる。次に、図33(c)に示すように、各セグメント401〜405は、同じピッチおよびセグメント401〜405の平均シフトに等しいオーバーレイバイアスを有するターゲットサブ構造411〜415の各ペアによって近似することができる。したがって、例えば、ペア411はセグメント401の平均シフトに等しいオーバーレイバイアスを有し、ペア412はセグメント402の平均シフトに等しいオーバーレイバイアスを有する、などである。したがって、結果として生じる図33(c)の複数ペアのサブ構造411〜415は、図33(a)のフルアレンジメントの近似を提供する。図33(c)の複数ペアのターゲットサブ構造411〜415に対応する強度値の測定は、図33(a)のアレンジメントによって直接形成された干渉縞の強度の変動を効果的にサンプリングする。このようにして干渉縞の位相のシフトを検出することができる。したがって、位相のシフトに比例したオーバーレイエラーも検出することができる。
一実施形態では、例えば図32に示すように、ターゲット60は、層状構造の1つまたは複数のさらなる層83、84内にそれぞれ、1つまたは複数のさらなるターゲット構造93、94を備える。したがって、上述の実施形態の第1のターゲット構造91および第2のターゲット構造92(それぞれ層81および82内)に加えて、さらなるターゲット構造93、94が設けられる。そのような実施形態では、ターゲット60は、層状構造の層の複数の異なる各ペアのそれぞれにおいて、少なくとも1ペアの重なり合うターゲットサブ構造を含む。層状構造の層の異なる各ペアにある重なり合うサブ構造のペアのそれぞれは、ピッチの差が異なる第1周期成分を含み、それによって、層状構造の層の異なるペアのそれぞれに対して異なる空間周波数を有する干渉縞を提供する。図32の例では、ターゲット構造91〜94はそれぞれピッチP〜Pを有する第1周期成分を含む。ターゲット構造の異なるペアは、異なるピッチ差を有する:例えば、P−P≠P−P≠P−Pなど。したがって、異なるペアから生じる干渉縞は異なる空間周波数を有し、したがって解像することができる。異なる周波数は、異なるフリンジ周波数が、異なる情報、例えば異なるフリンジ周波数ごとに別々のオーバーレイ値、を符号化することを可能にする。したがって、ターゲット内の異なるペアの層に関するオーバーレイエラーは、ターゲット上の1つの同じ領域の単一の照明を介して同時に得ることができる。したがって、複数の異なるターゲットおよび/または複数の異なる測定ステップなしで、詳細なオーバーレイ測定が可能である。
図示の実施形態では、複数の基準構造R1〜R3は、考慮されているターゲット構造のペアのそれぞれに対して位相基準を提供する。基準構造R1は、(例えば、ターゲット構造91および92によって形成された縞と同じピッチを有する縞を形成することによって)ターゲット構造91および92によって形成された縞(フリンジ)に対する位相基準として作用する。基準構造R2は、(たとえば、ターゲット構造91および93によって形成された縞と同じピッチを有する縞を形成することによって)ターゲット構造91および93によって形成された縞に関して位相基準として作用する。基準構造R3は、(例えば、ターゲット構造91および93によって形成された縞と同じピッチを有する縞を形成することによって)ターゲット構造91および93によって形成された縞に関して位相基準として作用する。必要に応じて、より少ないまたは追加の基準構造を提供することができる。
上述のいずれの実施形態においても、ターゲットサブ構造の各ペアは、第1周期成分に対して異なる方向に配向された第2周期成分を有する少なくとも1つのターゲットサブ構造を含み得る(すなわち、各ペアのいずれかまたは両方のターゲット部分構造は、それぞれそのような第2周期成分を含む)。例えば、第2周期成分は、第1周期成分に対して垂直に配向されてもよい。第2周期成分は、干渉縞の位相および周波数を制御するフーリエ成分とは無関係に、0次散乱放射からの干渉する所定の回折次数を瞳面(フーリエ空間)において分離するように作用し、それによって、所定の回折次数間の干渉によって形成される散乱放射を検出できる精度を改善する。0次放射からのコンタミネーションは低減される。さらに、第2周期成分は、所定の回折次数間の干渉によって形成された散乱放射がターゲット60を離れる角度を変える(例えば図9−11参照)。したがって、第2周期成分は、角度が計測システムの検出システムに適切であることを確実にするように(例えば、散乱放射が検出システムの対物レンズ16の瞳に入るように、および/または放射が検出システムの検出アレイ上の特定の位置に向けられることを可能とするように)構成できる。したがって、第2周期成分は、(a)1つの平面内で対称的な二重回折次数と、(b)別の、典型的には直交する平面内の0次からの選択された情報搬送次数との分離を同時に可能にする。
第1周期成分に対して異なる方向に配向された第2周期成分を有するターゲットサブ構造は、以下のうちの1つ以上を含む様々な形態を取り得る:正方形要素または長方形要素から形成されるチェッカーボードパターン、チェッカーボードパターンの面に垂直な軸周りに所定の角度だけ回転された正方形要素または長方形要素から形成される傾斜チェッカーボードパターン、および二次元格子。
正方形要素から形成された例示的なチェッカーボードパターンは、例えば、図14および図20(b)に示される。長方形(非正方形)の要素から形成されたチェッカーボードパターンの例を図34に示す。傾斜したチェッカーボードパターンの例を図35示す。チェッカーボードパターンは、回折パターン中の比較的低レベルの望ましくない高調波のために、特に効果的に機能することがわかった。傾斜チェッカーボードパターンは、チェッカーボードの要素間のコーナーからコーナーへの接触(corner-to-corner contact)を回避することが望ましい場合には、通常のチェッカーボードパターンよりも優先され得る。しかしながら、他のパターンも使用可能である。例えば、図20(a)に示すように、全ての正方形要素がXとYの両方に沿って整列しているチェッカーボードパターンの変形を使用することができる。別の実施形態では、上部格子がピッチPを有し、下部格子がピッチPを有する1ペアのターゲットサブ構造が設けられる。下部格子上のグレーティングラインは、上部格子に対して傾斜角θで設けられている。下部格子は複数の格子セグメントを含む。第1組の格子セグメントは+θで回転したグレーティングラインを含む。第2組の格子セグメントは、−θで回転したグレーティングラインを含む。代替的に又は追加的に、異なる組からのセグメントは、互いに対して散在して、等しく且つ反対方向に回転した格子セグメントの周期的パターンを形成することができる。回転したセグメントは、チェッカーボードと同じ角度であるが個々の構造要素のコーナーからコーナーへの接触なしに回折を生じる。さらなる代替的な実施形態では、チェッカーボードパターンは、丸みを帯びたエッジまたは不均等な矩形スペース比(unequal rectangle-space ratio)で形成される。
典型的な二次元格子の一例が、例えば図20(a)に示されている。
特定のターゲット構造のさらなる例が図36−図39に示されている。これらの各図において、(a)に示すパターンは第2のターゲット構造92(上部ターゲット)に対応し、(b)に示すパターンは第1のターゲット構造91(下部ターゲット)に対応する。しかしパターンは逆にすることができる。
図36(a)は、Y方向(図の垂直方向)に沿って16ミクロン以内およびX方向(図の水平方向)に沿って32ミクロン以内のフットプリントを有する第2のターゲット構造92を示す。これらは単に例示的な寸法である。ターゲットサブ構造301および303は、ピッチ(P)=450nmのライングレーティングである。ターゲットサブ構造302および304は、ピッチ(P)=500nmのライングレーティングである。
図36(b)は、図36(a)の第2のターゲット構造92と共にターゲットサブ構造のペアを形成するように構成された第1のターゲット構造91を示す。ターゲットサブ構造311および313(それぞれターゲットサブ構造301および303と対をなす)は、ターゲットサブ構造301および303のピッチPに平行なピッチ(P)=500nm、および垂直方向にピッチ(P)=500nmを有するチェッカーボードパターンを含む。ターゲットサブ構造312および314(それぞれターゲットサブ構造302および304と対をなす)は、ターゲットサブ構造302および304のピッチに平行なピッチ(P)=450nm、および垂直方向にピッチ(P)=500nmを有するチェッカーボードパターンを含む。したがって、この例ではP=450nmおよびP=500nmである。ターゲットサブ構造301、302、311、および312は、図中の垂直方向のオーバーレイエラーに対する感度を提供する。ターゲットサブ構造303、304、313、および314は、図中の水平方向のオーバーレイエラーに対する感度を提供する。
図37は、P=600nm、P=700nmおよびP=700nmであることを除いて図36と同じである第2のターゲット構造92および第1のターゲット構造91を示す。図36および図37におけるP、PおよびPの値は単に例示的なものである。
図38(a)は、Y方向(図の垂直方向)に沿って16ミクロン以内およびX方向(図の水平方向)に沿って32ミクロン以内のフットプリント(単に例示的)を有する第2のターゲット構造92を示す。ターゲットサブ構造301Aおよび301Bは、ピッチ(P)=450nmの平行周期成分を有する格子を含む。ターゲットサブ構造体303Aおよび303Bはまた、ピッチ(P)=450nm(301Aおよび301Bに垂直)の平行周期成分を有する格子を含む。外部格子301Aおよび303Aは、垂直方向にピッチ(P)=500nmの周期性をさらに含む。
ターゲットサブ構造302Aおよび302Bは、ピッチ(P)=500nmの平行周期成分を有する格子を含む。ターゲットサブ構造304Aおよび304Bは、ピッチ(P)=500nm(302Aおよび302Bに垂直)の平行周期成分を有する格子も含む。外側格子302Aおよび304Aは、垂直方向にピッチ(P)=500nmの周期性をさらに含む。
外側格子301A、302A、303Aおよび304Aの二次元構造は、内側格子301B、302B、303Bおよび304Bの2倍の縞(フリンジ)周期を作り出す。縞周期を2倍にすることは、位相アンビギュイティ(phase ambiguity)を減らすために望ましい可能性がある。例えば、オーバーレイが内側の縞の組(内側格子によって生成される)にπ位相シフトを生じさせる場合、外側の縞の組(外側格子によって生成される)はπ/2位相シフトを有することになる。それにより、明確な測定の範囲が広がる。
図38(b)は、図38(a)の第2のターゲット構造92と共にターゲットサブ構造のペアを形成するように構成された第1のターゲット構造91を示す。ターゲットサブ構造311および313は、ターゲットサブ構造301A、301B、303Aおよび303Bのピッチ(P)と平行なピッチ(P)=500nm、および垂直方向のピッチ(P)=500nmを有するチェッカーボードパターンを含む。ターゲットサブ構造312および314は、ターゲットサブ構造302A、302B、304Aおよび304Bのピッチ(P)に平行なピッチ(P)=450nm、および垂直方向にピッチ(P)=500nmを有するチェッカーボードパターンを含む。
図39(a)は、Y方向(図の垂直方向)に沿って16ミクロン以内およびX方向(図の水平方向)に沿って32ミクロン以内の(単に例示的な)フットプリントを有する第2のターゲット構造92を示す。ターゲットサブ構造321〜324は、同じピッチ(P)=500nmであるが異なるオーバーレイバイアスを有するライングレーティングを含む。オーバーレイバイアスは、−P/8−d、P/8+d、−P/8+dおよびP/8−dで与えられる。ここで、d=20nmで、−82.5nm、−42.5nm、42.5nmおよび82.5nmのオーバーレイバイアスが得られる。ターゲットサブ構造321〜324は、(第1のターゲット構造91と組み合わせて)Y方向のオーバーレイエラーに対する感度を提供する。ターゲットサブ構造325〜328は、ターゲットサブ構造321〜324に対して垂直に配向されているが、それ以外はターゲットサブ構造321〜324と同じである。したがって、ターゲットサブ構造325〜328は、(第1のターゲット構造91と組み合わせて)X方向のオーバーレイエラーに対する感度を提供する。
図39(b)は、図39(a)の第2のターゲット構造92と共にターゲットサブ構造のペアを形成するように構成された第1のターゲット構造91を示す。ターゲットサブ構造330は、ターゲットサブ構造321、322、323、および324のピッチ(P)に平行なピッチ(P)=500nm、および垂直方向にピッチ(P)=500nmを有するチェッカーボードパターン(すなわち正方形の要素を含むチェッカーボードパターン)を含む。チェッカーボードパターンはまた、ターゲットサブ構造325、326、327および328のピッチ(P)に平行なピッチ(P)=500nm、および垂直方向にピッチ(P)=500nmを提供する。
一実施形態では、上述のターゲットを測定する方法のうちのいずれかを実行するように動作可能である計測装置が提供される。計測装置は、例えば図3(a)で上述したように構成することができる。照明システムは、基板上にリソグラフィプロセスを使用して生成されたターゲット60を測定放射線で照明する。検出システムは、ターゲット60の照明から生じる散乱放射を検出する。検出システムは、図3(a)に示す少なくとも第2の測定分岐を含み得る。検出システムは、所定の回折次数間の干渉によって形成された散乱放射線を検出するセンサ23を含み得る。
本発明によるさらなる実施形態は、以下の番号が付けられた項で提供される。
I.リソグラフィプロセスの特性を測定する方法であって、
ターゲットが照明光源からの光で照明されているときに、少なくとも2つの重なり合う格子を含むターゲットから散乱された干渉光を検出することと、
ターゲットの特性とは無関係にリソグラフィプロセスの特性を計算することと、
を備える方法。
II.計算が、干渉光から生じる周期的信号の位相に比例する信号を使用することである、節Iに記載の方法。
IIA.ターゲットの特性が、スタックの厚さである、前節のいずれかに記載の方法。
III.リソグラフィプロセスの特性を測定する方法であって、
ターゲットが照明光源からの光で照明されているときに、少なくとも2つの重なり合う格子を含むターゲットから散乱された干渉光を検出することと、
照明光源からの光の波長とは無関係にリソグラフィプロセスの特性を計算することと、
を備える方法。
IV.計算が、干渉光から生じる周期的信号の位相に比例する信号を使用することである、節IIIに記載の方法。
V.リソグラフィプロセスの特性を測定する方法であって、
ターゲットが照明光源からの光で照明されているときに、少なくとも2つの重なり合う格子を含むターゲットから散乱された干渉光を検出することと、
干渉光から生じる周期的信号の位相を決定することを含むリソグラフィプロセスの特性を計算することと、
を備える方法。
VI.リソグラフィプロセスの特性の計算が、スタックの厚さとは無関係である、節Vに記載の方法。
VII.リソグラフィプロセスの特性の計算が、ターゲットを照明するのに使用される光の波長とは無関係である、前節のいずれかに記載の方法。
VIII.リソグラフィプロセスの特性が、オーバーレイである、前節のいずれかに記載の方法。
本発明に係るさらなる実施形態は、以下の番号が付けられた節に記載されている。
1.リソグラフィプロセスによって形成されたターゲットを測定する方法であって、ターゲットは、第1の層に第1のターゲット構造を有し、第2の層に第2のターゲット構造を有する層状構造を備え、
測定放射でターゲットを照明することと、
複数の所定の回折次数間の干渉により形成される散乱放射を検出することであって、 所定の回折次数は、第1のターゲット構造からの測定放射の回折によって生成され、続いて第2のターゲット構造から回折される、ことと、
所定の回折次数間の干渉によって形成される検出された散乱放射を使用してリソグラフィプロセスの特性を計算することと、
を備える方法。
2.リソグラフィプロセスの特性が、第1のターゲット構造と第2のターゲット構造との間のオーバーレイエラーを含む、節1に記載の方法。
3.所定の回折次数が、2つの等しく且つ反対の回折次数を含む、節1または2に記載の方法。
4.所定の回折次数は、第1のターゲット構造からの反射による回折によって生成され、第2のターゲット構造からの所定の回折次数の後続の回折は、第2のターゲット構造の透過による回折を含む、または、
所定の回折次数は、第1のターゲット構造の透過による回折によって生成され、第2のターゲット構造からの所定の回折次数の後続の回折は、第2のターゲット構造からの反射による回折を含む、
節1〜3のいずれかに記載の方法。
5.ターゲットは、3ペア以上の重なり合うターゲットサブ構造を含み、重なり合うターゲットサブ構造の各ペアは、第1のターゲット構造内の第1のターゲットサブ構造と、第2のターゲット構造内の第2のターゲットサブ構造とを含み、
重なり合うターゲットサブ構造の各ペアにおける第1のターゲットサブ構造および第2のターゲットサブ構造のそれぞれは、同じピッチおよび配向を有する第1周期成分を含み、
重なり合うターゲットサブ構造の各ペアは、異なるオーバーレイバイアスを与えられる、
前節のいずれかに記載の方法。
6.所定の回折次数の間の干渉によって形成される検出された散乱放射は、複数の強度サブ領域を含み、各強度サブ領域は、空間的に均一な強度を有し、ターゲットサブ構造の3つ以上のペアのうち異なるそれぞれのペアから回折された測定放射によって形成され、リソグラフィプロセスの特性を計算することは、各強度サブ領域内の強度のレベルを使用してリソグラフィプロセスの特性を決定する、節5に記載の方法。
7.所定の回折次数は、ターゲットサブ構造の各ペアにおける第1周期成分からの回折に関して定義される、節5または6に記載の方法。
8.オーバーレイバイアスは、1ペア以上の等しく且つ反対のオーバーレイバイアスを含む、節5から7のいずれかに記載の方法。
9.3ペア以上のターゲットサブ構造は、4ペアのターゲットサブ構造を含む、節5から8のいずれかに記載の方法。
10.オーバーレイバイアスは、以下:−P/8−d、P/8+d、−P/8+dおよびP/8−dを含み、ここで、Pは第1周期成分のピッチであり、dは所定の定数である、節9に記載の方法。
11.所定の回折次数の間の干渉によって形成される検出された散乱放射は、干渉縞を含む、節1から4のいずれかに記載の方法。
12.リソグラフィプロセスの特性の計算は、干渉縞の位相を抽出することによって第1のターゲット構造と第2のターゲット構造との間のオーバーレイエラーを計算することを含む、節11に記載の方法。
13.ターゲットは、少なくとも1ペアの重なり合うターゲットサブ構造を含み、重なり合うターゲットサブ構造の各ペアは、第1のターゲット構造内の第1のターゲットサブ構造および第2のターゲット構造内の第2のターゲットサブ構造を含み、
重なり合うターゲットサブ構造の各ペアにおける第1のターゲットサブ構造および第2のターゲットサブ構造のそれぞれは、同じ向きおよび異なるピッチを有する第1周期成分を含む、
節11または12に記載の方法。
14.所定の回折次数の間の干渉によって形成される検出された散乱放射は、ターゲットサブ構造の各ペアによって形成された干渉縞を含む、節13に記載の方法。
15.ターゲットは、干渉縞と同じ周期性を有する放射パターンを提供するように構成された基準構造をさらに含み、基準構造は、第1のターゲット構造と第2のターゲット構造との間のオーバーレイエラーの関数としての放射パターンにおける縞の位置シフトが実質的にないように設けられる、節14に記載の方法。
16.ターゲットは、少なくとも第1ペアの重なり合うターゲットサブ構造および第2ペアの重なり合うターゲットサブ構造を含み、
第1ペアの重なり合うターゲットサブ構造において、第1のターゲットサブ構造の第1周期成分は第1ピッチを有し、第2のターゲットサブ構造の第1周期成分は第2ピッチを有し、
第2ペアの重なり合うターゲットサブ構造において、第1のターゲットサブ構造の第1周期成分は第2ピッチを有し、第2のターゲットサブ構造の第1周期成分は第1ピッチを有する、
節13から15のいずれかに記載の方法。
17.ターゲットは、層状構造の1つ以上のさらなる層にそれぞれ、1つ以上のさらなるターゲット構造を含み、
ターゲットは、層状構造の層の複数の異なる各ペアのそれぞれにおいて、少なくとも1ペアの重なり合うターゲットサブ構造を含み、層状構造の層の異なる各ペアにある重なり合うサブ構造のペアのそれぞれは、ピッチの差が異なる第1周期成分を含み、それによって、層状構造の層の異なるペアのそれぞれに対して異なる空間周波数を有する干渉縞を提供する、
節11から16のいずれかに記載の方法。
18.ターゲットサブ構造の各ペアにおけるターゲットサブ構造のいずれかまたは両方は、それぞれ、第1周期的成分に対して異なる方向に配向された第2周期的成分を含む、節5から17のいずれかに記載の方法。
19.第1周期成分が第2周期成分に対して垂直に配向されている、節18に記載の方法。
20.第1周期成分に対して異なる方向に配向された第2周期成分を有するターゲットサブ構造は、以下:正方形要素または長方形要素から形成されるチェッカーボードパターン、チェッカーボードパターンの面に垂直な軸周りに所定の角度だけ回転された正方形要素または長方形要素から形成される傾斜チェッカーボードパターン、および二次元格子、のうちの1つまたは複数を含む、節18または19に記載の方法。
21.リソグラフィプロセスによって形成されたターゲットを含む基板であって、ターゲットは、第1の層に第1のターゲット構造を、第2の層に第2のターゲット構造を有する層状構造を含み、第1のターゲット構造および第2のターゲット構造は、ターゲットが測定放射で照射されたときにターゲットから散乱された放射の検出を可能とするよう構成され、検出された散乱放射は、複数の所定の回折次数の間の干渉によって形成され、所定の回折次数は、第1のターゲット構造からの測定放射の回折によって生成され、続いて第2のターゲット構造から回折される、基板。
22.ターゲットは、オーバーレイエラーを測定するためのオーバーレイターゲットであり、オーバーレイターゲットは、所定の回折次数間の干渉によって形成される検出された散乱放射が第1ターゲット構造と第2ターゲット構造との間のオーバーレイエラーの関数として変化するように構成される、節21に記載の基板。
23.基板上にリソグラフィプロセスを使用して生成されたターゲットを測定放射で照明するように構成された照明システムと、
ターゲットの照明から生じる散乱放射を検出するように構成された検出システムと、
を備え、
節1から20のいずれかの方法を実行するように作動する計測装置。
24.基板上にターゲットを形成することによって節21または22に記載の基板を製造するように構成されたリソグラフィ装置。
上述のターゲットは目的のために特別に設計され形成された計測ターゲットであるが、他の実施形態では、特性は基板上に形成されたデバイスの機能部分であるターゲット上で測定されてもよい。多くのデバイスは規則的な格子状構造を有する。本明細書で使用される「ターゲット格子」および「ターゲット」という用語は、構造が実施される測定のために特に設けられていることを必要としない。さらに、計測ターゲットのピッチPは、スキャトロメータの光学系の解像度限界に近いが、ターゲット部分Cにおいてリソグラフィプロセスによって作られる典型的な製品フィーチャの寸法よりもはるかに大きくてもよい。実際には、ターゲット内のオーバーレイ格子のラインおよび/または空間は、製品のフィーチャと寸法が類似するより小さな構造を含むように作られてもよい。
基板およびパターニングデバイス上に実現されるようなターゲットの物理的格子構造に関連して、一実施形態は、基板上のターゲットを測定する方法および/またはリソグラフィプロセスに関する情報を得るために測定を分析する方法を記述する機械可読命令の1つ以上のシーケンスを含むコンピュータプログラムを含み得る。このコンピュータプログラムは、例えば図3の装置内のユニットPUおよび/または図2の制御ユニットLACU内で実行することができる。そのようなコンピュータプログラムがその中に格納されるデータ記憶媒体(たとえば半導体メモリ、磁気または光ディスク)も提供することができる。例えば図3に示すタイプの既存の計測装置が既に製造中および/または使用中である場合、本発明は、プロセッサに修正ステップS6を実行させるための更新されたコンピュータプログラム製品を提供することによって実施でき、したがって構造の非対称性に対する感度を下げて、オーバーレイエラーやその他のパラメータを計算する。
プログラムは、任意選択で、光学システム、基板支持体などを制御して、適切な複数のターゲットについて非対称性を測定するためのステップS2〜S5を実行するように構成することができる。
上述の実施形態は、回折ベースのオーバーレイ測定(例えば、図3(a)に示される装置の第2の測定分岐を使用して行われる測定)に関して説明されているが、瞳ベース(pupil based)のオーバーレイ測定(例えば、図3(a)に示す装置の第1の測定分岐を使用して行われる測定)に対して原則的に同じモデルを使用することができる。したがって、本明細書に記載の概念は、回折ベースのオーバーレイ測定および瞳ベースのオーバーレイ測定に等しく適用可能であることを理解されたい。
上記では、光学リソグラフィとの関連で本発明の実施形態の使用に特に言及しているが、本発明は、インプリントリソグラフィなどの他の用途においても使用可能であり、文脈上許されれば、光学リソグラフィに限定されないことが理解されよう。インプリントリソグラフィでは、パターニングデバイスの微細構成によって、基板W上に生成されるパターンが画定される。パターニングデバイスの微細構成を基板Wに設けられたレジストの層に押しつけ、その後、電磁放射、熱、圧力またはその組合せにより、レジストを硬化する。レジストを硬化した後、パターニングデバイスMAがレジストから除去され、パターンが残される。
本書で用いられる「放射」および「ビーム」の用語は、いかなる種類の電磁的な放射を包含し、紫外(UV)放射(例えば、365nm、248nm、193nm、157nmもしくは126nm、または、その近傍の波長を有する)および極端紫外(EUV)放射(例えば、5−20nmの範囲の波長を有する)を含むとともに、イオンビームや電子ビームといった粒子ビームをも含む。
「レンズ」の用語は、文脈が許される場合において、屈折型、反射型、磁気型、電磁気型および静電型の光学素子を含む任意の種類の光学素子の任意の一つまたは組み合わせと称されてもよい。
特定の実施形態の前述の説明は、当業者の範囲内の知識を適用することによって、他の人が、過度の実験をすることなく、本発明の一般的な考え方から逸脱することなく、そのような特定の実施形態を様々な用途に容易に変更および/または適合させることができる本発明の一般的性質を十分に明らかにするであろう。したがって、そのような適合および変更は、本書に提示された教示および示唆に基づいて、開示された実施形態の意義および均等物の範囲内にあることが意図される。本明細書の表現または用語は、本明細書の用語または表現が教示および指針に照らして当業者によって解釈されるように、限定ではなく例示による説明のためのものであることが理解されよう。
本発明の範囲は、上述の例示的な実施形態のいずれにも限定されるのではなく、以下の請求項およびその等価物にしたがってのみ規定されるべきである。

Claims (15)

  1. リソグラフィプロセスによって形成されたターゲットを測定する方法であって、前記ターゲットは、第1の層に第1のターゲット構造を有し、第2の層に第2のターゲット構造を有する層状構造を備え、
    測定放射で前記ターゲットを照明することと、
    複数の所定の回折次数間の干渉により形成される散乱放射を検出することであって、 前記所定の回折次数は、前記第1のターゲット構造からの測定放射の回折によって生成され、続いて前記第2のターゲット構造から回折される、ことと、
    前記所定の回折次数間の干渉によって形成される検出された散乱放射を使用して前記リソグラフィプロセスの特性を計算することと、
    を備える方法。
  2. 前記リソグラフィプロセスの特性が、前記第1のターゲット構造と前記第2のターゲット構造との間のオーバーレイエラーを含む、請求項1に記載の方法。
  3. 前記所定の回折次数は、2つの等しく且つ反対の回折次数を含む、請求項1または2に記載の方法。
  4. 前記所定の回折次数は、前記第1のターゲット構造からの反射による回折によって生成され、前記第2のターゲット構造からの所定の回折次数の後続の回折は、前記第2のターゲット構造の透過による回折を含む、または、
    前記所定の回折次数は、前記第1のターゲット構造の透過による回折によって生成され、前記第2のターゲット構造からの所定の回折次数の後続の回折は、前記第2のターゲット構造からの反射による回折を含む、
    請求項1〜3のいずれかに記載の方法。
  5. 前記ターゲットは、3ペア以上の重なり合うターゲットサブ構造を含み、前記重なり合うターゲットサブ構造の各ペアは、前記第1のターゲット構造内の第1のターゲットサブ構造と、前記第2のターゲット構造内の第2のターゲットサブ構造とを含み、
    前記重なり合うターゲットサブ構造の各ペアにおける前記第1のターゲットサブ構造および前記第2のターゲットサブ構造のそれぞれは、同じピッチおよび配向を有する第1周期成分を含み、
    前記重なり合うターゲットサブ構造の各ペアは、異なるオーバーレイバイアスを与えられる、
    請求項1から4のいずれかに記載の方法。
  6. 前記所定の回折次数の間の干渉によって形成される検出された散乱放射は、複数の強度サブ領域を含み、各強度サブ領域は、空間的に均一な強度を有し、前記ターゲットサブ構造の3つ以上のペアのうち異なるそれぞれのペアから回折された測定放射によって形成され、前記リソグラフィプロセスの特性を計算することは、各強度サブ領域内の強度のレベルを使用して前記リソグラフィプロセスの特性を決定する、請求項5に記載の方法。
  7. 前記所定の回折次数は、前記ターゲットサブ構造の各ペアにおける前記第1周期成分からの回折に関して定義される、請求項5または6に記載の方法。
  8. 前記オーバーレイバイアスは、1ペア以上の等しく且つ反対のオーバーレイバイアスを含む、請求項5から7のいずれかに記載の方法。
  9. 3ペア以上の前記ターゲットサブ構造は、4ペアのターゲットサブ構造を含む、請求項5から8のいずれかに記載の方法。
  10. 前記オーバーレイバイアスは、以下:−P/8−d、P/8+d、−P/8+dおよびP/8−dを含み、ここで、Pは前記第1周期成分のピッチであり、dは所定の定数である、請求項9に記載の方法。
  11. 前記所定の回折次数の間の干渉によって形成される検出された散乱放射は、干渉縞を含む、請求項1から4のいずれかに記載の方法。
  12. リソグラフィプロセスによって形成されたターゲットを含む基板であって、前記ターゲットは、第1の層に第1のターゲット構造を、第2の層に第2のターゲット構造を有する層状構造を含み、前記第1のターゲット構造および前記第2のターゲット構造は、前記ターゲットが測定放射で照射されたときに前記ターゲットから散乱された放射の検出を可能とするよう構成され、検出された散乱放射は、複数の所定の回折次数の間の干渉によって形成され、前記所定の回折次数は、前記第1のターゲット構造からの測定放射の回折によって生成され、続いて前記第2のターゲット構造から回折される、基板。
  13. 前記ターゲットは、オーバーレイエラーを測定するためのオーバーレイターゲットであり、前記オーバーレイターゲットは、前記所定の回折次数間の干渉によって形成される検出された散乱放射が前記第1のターゲット構造と前記第2のターゲット構造との間のオーバーレイエラーの関数として変化するように構成される、請求項12に記載の基板。
  14. 基板上にリソグラフィプロセスを使用して生成されたターゲットを測定放射で照明するように構成された照明システムと、
    前記ターゲットの照明から生じる散乱放射を検出するように構成された検出システムと、
    を備え、
    請求項1から11のいずれかの方法を実行するように作動する計測装置。
  15. 基板上にターゲットを形成することによって請求項12または13に記載の基板を製造するように構成されたリソグラフィ装置。
JP2019503249A 2016-07-21 2017-07-07 ターゲットの測定方法、基板、計測装置およびリソグラフィ装置 Expired - Fee Related JP6716779B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662365142P 2016-07-21 2016-07-21
US62/365,142 2016-07-21
US201662394457P 2016-09-14 2016-09-14
US62/394,457 2016-09-14
US201662400360P 2016-09-27 2016-09-27
US62/400,360 2016-09-27
PCT/EP2017/067048 WO2018015179A1 (en) 2016-07-21 2017-07-07 Method of measuring a target, substrate, metrology apparatus, and lithographic apparatus

Publications (2)

Publication Number Publication Date
JP2019523449A true JP2019523449A (ja) 2019-08-22
JP6716779B2 JP6716779B2 (ja) 2020-07-01

Family

ID=59296847

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019503249A Expired - Fee Related JP6716779B2 (ja) 2016-07-21 2017-07-07 ターゲットの測定方法、基板、計測装置およびリソグラフィ装置

Country Status (7)

Country Link
US (1) US10408754B2 (ja)
JP (1) JP6716779B2 (ja)
KR (1) KR20190031542A (ja)
CN (1) CN109564391A (ja)
IL (1) IL263766A (ja)
TW (1) TWI643033B (ja)
WO (1) WO2018015179A1 (ja)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10705435B2 (en) 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
CN111615667A (zh) * 2018-01-17 2020-09-01 Asml荷兰有限公司 测量目标的方法和量测设备
EP3521930A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
KR102544707B1 (ko) * 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
EP3531191A1 (en) * 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3611570A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
SG11202100991PA (en) * 2018-08-28 2021-03-30 Kla Tencor Corp Off-axis illumination overlay measurement using two-diffracted orders imaging
US10996570B2 (en) * 2018-10-08 2021-05-04 Asml Netherlands B.V. Metrology method, patterning device, apparatus and computer program
KR102669792B1 (ko) * 2018-12-04 2024-05-27 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 파라미터를 측정하기 위한 타겟
WO2020205601A1 (en) * 2019-04-05 2020-10-08 Kla Corporation Multi-layered moiré targets and methods for using the same in measuring misregistration of semiconductor devices
CN114341739A (zh) * 2019-08-30 2022-04-12 Asml控股股份有限公司 计量系统和方法
US11256177B2 (en) 2019-09-11 2022-02-22 Kla Corporation Imaging overlay targets using Moiré elements and rotational symmetry arrangements
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
US11355375B2 (en) 2020-07-09 2022-06-07 Kla Corporation Device-like overlay metrology targets displaying Moiré effects
US11796925B2 (en) 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies
WO2023222342A1 (en) * 2022-05-20 2023-11-23 Asml Netherlands B.V. Measurement of fabrication parameters based on moiré interference pattern components

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53137673A (en) * 1977-05-03 1978-12-01 Massachusetts Inst Technology Device for and method of matching plate position
WO2004107415A1 (ja) * 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
JP2008021984A (ja) * 2006-06-20 2008-01-31 Asml Netherlands Bv 角度分解したスペクトロスコピーリソグラフィの特性解析方法および装置
US20090195768A1 (en) * 2008-02-01 2009-08-06 Asml Netherlands B.V. Alignment Mark and a Method of Aligning a Substrate Comprising Such an Alignment Mark
JP2010123949A (ja) * 2008-11-18 2010-06-03 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
US20120044470A1 (en) * 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
WO2015078669A1 (en) * 2013-11-26 2015-06-04 Asml Netherlands B.V. Method, apparatus and substrates for lithographic metrology
WO2015090839A1 (en) * 2013-12-17 2015-06-25 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
WO2000072093A1 (en) 1999-05-25 2000-11-30 Massachusetts Institute Of Technology Optical gap measuring apparatus and method using two-dimensional grating mark with chirp in one direction
TWI292857B (en) * 2002-03-25 2008-01-21 Asml Masktools Bv A method and apparatus for defining mask patterns utilizing a spatial frequency doubling technique
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7474410B2 (en) 2006-04-11 2009-01-06 Massachusetts Institute Of Technology Nanometer-precision tip-to-substrate control and pattern registration for scanning-probe lithography
WO2007121300A2 (en) 2006-04-12 2007-10-25 Massachusetts Institute Of Technology Infrared interferometric-spatial-phase imaging using backside wafer marks
US7247843B1 (en) 2006-05-11 2007-07-24 Massachusetts Institute Of Technology Long-range gap detection with interferometric sensitivity using spatial phase of interference patterns
WO2008030929A1 (en) 2006-09-06 2008-03-13 Massachusetts Institute Of Technology Nanometer-level mix-and-match scanning tip and electron beam lithography using global backside position reference marks
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036459A1 (nl) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
NL2004405A (en) * 2009-04-07 2010-10-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
EP2470960A1 (en) 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
NL2007127A (en) * 2010-08-06 2012-02-07 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
NL2010458A (en) 2012-04-16 2013-10-17 Asml Netherlands Bv Lithographic apparatus, substrate and device manufacturing method background.
CN104111594B (zh) * 2013-04-16 2016-09-28 上海微电子装备有限公司 基于信号频率的二维自参考干涉对准系统及对准方法
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
KR101918251B1 (ko) * 2014-06-02 2018-11-13 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟들을 디자인하는 방법, 메트롤로지 타겟들을 갖는 기판들, 오버레이를 측정하는 방법, 및 디바이스 제조 방법
CN107924132B (zh) * 2014-08-28 2021-02-12 Asml荷兰有限公司 检查设备、检查方法和制造方法
KR102109059B1 (ko) 2014-11-26 2020-05-12 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
CN104614955B (zh) * 2015-03-06 2017-01-11 中国科学院光电技术研究所 一种复合光栅纳米光刻自动对准系统
IL273501B2 (en) * 2017-09-28 2023-12-01 Asml Netherlands Bv Metrology method and standard

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53137673A (en) * 1977-05-03 1978-12-01 Massachusetts Inst Technology Device for and method of matching plate position
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
WO2004107415A1 (ja) * 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
JP2008021984A (ja) * 2006-06-20 2008-01-31 Asml Netherlands Bv 角度分解したスペクトロスコピーリソグラフィの特性解析方法および装置
US20090195768A1 (en) * 2008-02-01 2009-08-06 Asml Netherlands B.V. Alignment Mark and a Method of Aligning a Substrate Comprising Such an Alignment Mark
JP2010123949A (ja) * 2008-11-18 2010-06-03 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
US20120044470A1 (en) * 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
WO2015078669A1 (en) * 2013-11-26 2015-06-04 Asml Netherlands B.V. Method, apparatus and substrates for lithographic metrology
JP2016539370A (ja) * 2013-11-26 2016-12-15 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィメトロロジのための方法、装置及び基板
WO2015090839A1 (en) * 2013-12-17 2015-06-25 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate

Also Published As

Publication number Publication date
US10408754B2 (en) 2019-09-10
TWI643033B (zh) 2018-12-01
KR20190031542A (ko) 2019-03-26
IL263766A (en) 2019-01-31
WO2018015179A1 (en) 2018-01-25
JP6716779B2 (ja) 2020-07-01
TW201812473A (zh) 2018-04-01
CN109564391A (zh) 2019-04-02
US20180024054A1 (en) 2018-01-25

Similar Documents

Publication Publication Date Title
JP6716779B2 (ja) ターゲットの測定方法、基板、計測装置およびリソグラフィ装置
KR102104843B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
US10606178B2 (en) Method of measuring a target, and metrology apparatus
KR101994385B1 (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
TWI554847B (zh) 檢測裝置及方法、具有度量衡目標之基板、微影系統及元件製造方法
KR102025214B1 (ko) 계측 방법 및 장치, 컴퓨터 프로그램 및 리소그래피 시스템
TWI712772B (zh) 度量衡方法、裝置及電腦程式
TWI631432B (zh) 度量衡目標、方法與裝置、目標設計方法、電腦程式及微影系統
CN112513742B (zh) 用于确定在衬底上的一个或更多个结构的特性的量测设备和方法
JP2016503520A (ja) ドーズおよびフォーカス決定方法、検査装置、パターニングデバイス、基板、ならびにデバイス製造方法
TW201728991A (zh) 度量衡目標、方法和設備、電腦程式及微影系統
TWI569108B (zh) 檢測方法、具有度量衡目標之基板、微影系統及器件製造方法
KR102669792B1 (ko) 리소그래피 프로세스의 파라미터를 측정하기 위한 타겟
US11150563B2 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target
KR102525482B1 (ko) 계측 방법, 장치 및 컴퓨터 프로그램
TWI793593B (zh) 包含目標配置之基板及相關聯之至少一個圖案化裝置、微影方法及度量衡方法
KR102395062B1 (ko) 공정 계측 방법
EP3605230A1 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3514628A1 (en) Method of measuring a target, and metrology apparatus
TWI792789B (zh) 量測基板上之目標之方法
EP3671346A1 (en) Method of measuring a parameter of a patterning process, metrology apparatus, target

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200128

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200427

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200609

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200610

R150 Certificate of patent or registration of utility model

Ref document number: 6716779

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees