JP2019517736A - Vacuum platform with processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates - Google Patents

Vacuum platform with processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates Download PDF

Info

Publication number
JP2019517736A
JP2019517736A JP2018562373A JP2018562373A JP2019517736A JP 2019517736 A JP2019517736 A JP 2019517736A JP 2018562373 A JP2018562373 A JP 2018562373A JP 2018562373 A JP2018562373 A JP 2018562373A JP 2019517736 A JP2019517736 A JP 2019517736A
Authority
JP
Japan
Prior art keywords
chamber
coupled
substrate
disposed
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018562373A
Other languages
Japanese (ja)
Other versions
JP2019517736A5 (en
JP7190905B2 (en
Inventor
キン ポン ロー,
キン ポン ロー,
シューベルト エス. チュー,
シューベルト エス. チュー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/499,100 external-priority patent/US20170350038A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority claimed from PCT/US2017/031590 external-priority patent/WO2017209900A1/en
Publication of JP2019517736A publication Critical patent/JP2019517736A/en
Publication of JP2019517736A5 publication Critical patent/JP2019517736A5/ja
Application granted granted Critical
Publication of JP7190905B2 publication Critical patent/JP7190905B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

本開示の実施態様は、一般に、改善された真空処理システムに関する。一実施態様では、真空処理システムは、少なくとも1つのエピタキシー処理チャンバに結合する第1の移送チャンバと、第2の移送チャンバと、第1の移送チャンバと第2の移送チャンバとの間に配置される移行ステーションと、基板の表面から酸化物を除去するための、第2の移送チャンバに結合される第1のプラズマ洗浄チャンバと、第2の移送チャンバに結合されるロードロックチャンバとを含む。移行ステーションは、第1の移送チャンバ及び第2の移送チャンバを連結し、移行ステーションは、基板の表面から炭素含有汚染物質を除去するための第2のプラズマ洗浄チャンバを含む。【選択図】図3Embodiments of the present disclosure generally relate to an improved vacuum processing system. In one embodiment, a vacuum processing system is disposed between a first transfer chamber coupled to the at least one epitaxy processing chamber, a second transfer chamber, and the first transfer chamber and the second transfer chamber. A transfer station, a first plasma cleaning chamber coupled to the second transfer chamber for removing oxides from the surface of the substrate, and a load lock chamber coupled to the second transfer chamber. The transfer station connects the first transfer chamber and the second transfer chamber, and the transfer station includes a second plasma cleaning chamber for removing carbon-containing contaminants from the surface of the substrate. [Selected figure] Figure 3

Description

本開示の実施態様は、概して、基板の表面を洗浄するための装置及び方法に関する。   Embodiments of the present disclosure generally relate to an apparatus and method for cleaning a surface of a substrate.

集積回路は、シリコン及び他の半導体基板の中及び上に形成される。単結晶シリコンの場合、基板は、溶融シリコン槽からインゴットを成長させ、次いで固化したインゴットを複数の基板に切断することによって作られる。次に、ドープされてもドープされなくてもよい欠陥のないシリコン層を形成するために、エピタキシャルシリコン層が、単結晶シリコン基板上に形成されうる。トランジスタなどの半導体デバイスは、エピタキシャルシリコン層から製造されうる。形成されたエピタキシャルシリコン層の電気的特性は、一般に単結晶シリコン基板の特性よりも優れている。   Integrated circuits are formed in and on silicon and other semiconductor substrates. In the case of single crystal silicon, the substrate is made by growing an ingot from a molten silicon bath and then cutting the solidified ingot into multiple substrates. An epitaxial silicon layer may then be formed on the monocrystalline silicon substrate to form a defect free silicon layer which may or may not be doped. Semiconductor devices, such as transistors, can be fabricated from epitaxial silicon layers. The electrical properties of the epitaxial silicon layer formed are generally superior to the properties of single crystal silicon substrates.

単結晶シリコン及びエピタキシャルシリコン層の表面は、典型的な基板製造設備の周囲条件にさらされると汚染を受けやすい。例えば、基板の取扱い及び/又は基板処理設備内の周囲環境への露出により、エピタキシャル層の堆積前に単結晶シリコン表面に自然酸化物層が形成されることがある。加えて、周囲環境に存在する炭素及び酸素種などの異物混入物が、単結晶表面に堆積する可能性がある。単結晶シリコン表面上の自然酸化物層又は汚染物質の存在は、その後に単結晶表面上に形成されるエピタキシャル層の品質に悪影響を及ぼす。したがって、エピタキシャル層を基板上に成長させる前に、表面酸化物及び他の汚染物質を除去するために基板を前洗浄することが望ましい。しかし、前洗浄処理は、一又は複数の独立型真空処理チャンバ内で実行されることが多く、これは、基板ハンドリング時間及び基板を周囲環境に露出する機会を増加させる可能性がある。   The surfaces of single crystal silicon and epitaxial silicon layers are susceptible to contamination when exposed to the ambient conditions of a typical substrate fabrication facility. For example, handling of the substrate and / or exposure to the surrounding environment within the substrate processing facility may result in the formation of a native oxide layer on the monocrystalline silicon surface prior to deposition of the epitaxial layer. In addition, foreign contaminants such as carbon and oxygen species present in the surrounding environment can be deposited on the single crystal surface. The presence of the native oxide layer or contaminant on the monocrystalline silicon surface adversely affects the quality of the epitaxial layer subsequently formed on the monocrystalline surface. Therefore, it is desirable to preclean the substrate to remove surface oxides and other contaminants prior to growing the epitaxial layer on the substrate. However, pre-cleaning processes are often performed in one or more stand-alone vacuum processing chambers, which can increase the substrate handling time and the opportunity to expose the substrate to the surrounding environment.

したがって、当技術分野では、エピタキシャル堆積処理を実行する前に、基板ハンドリング時間及び周囲環境への露出を最小にする、基板表面を洗浄するための改良された基板処理システムを提供することが必要である。   Thus, there is a need in the art to provide an improved substrate processing system for cleaning substrate surfaces that minimizes substrate handling time and exposure to the surrounding environment prior to performing epitaxial deposition processing. is there.

本開示の実施態様は、概して、改善された真空処理システム、並びに基板の表面から汚染物質及び自然酸化物を除去するための方法に関する。一実施態様では、真空処理システムは、少なくとも1つの処理チャンバに結合される第1の移送チャンバと、第2の移送チャンバと、第1の移送チャンバと第2の移送チャンバとの間に配置され、それらに連結され、かつ第1のプラズマ洗浄チャンバを含む、移行ステーションと、第2の移送チャンバに結合される第2のプラズマ洗浄チャンバと、第2の移送チャンバに結合されるロードロックチャンバとを含む。   Embodiments of the present disclosure generally relate to an improved vacuum processing system and method for removing contaminants and native oxides from the surface of a substrate. In one embodiment, a vacuum processing system is disposed between a first transfer chamber coupled to the at least one processing chamber, a second transfer chamber, and the first transfer chamber and the second transfer chamber. A transfer station coupled thereto, including a first plasma cleaning chamber, a second plasma cleaning chamber coupled to the second transfer chamber, and a load lock chamber coupled to the second transfer chamber including.

別の実施態様では、真空処理システムは、第1の基板ハンドリング機構を含む第1の移送チャンバと、第1の移送チャンバに結合され、その中に結合又は配置される第1のプラズマ洗浄チャンバを有する移行ステーションと、第1の移送チャンバに結合され、エピタキシーチャンバである、少なくとも1つの処理チャンバとを含む。   In another embodiment, a vacuum processing system includes a first transfer chamber including a first substrate handling mechanism, and a first plasma cleaning chamber coupled to the first transfer chamber and coupled or disposed therein. A transfer station having at least one processing chamber coupled to the first transfer chamber and being an epitaxy chamber.

更に別の実施態様では、真空処理システム内で基板を処理するための方法が提供される。方法は、第1の移送チャンバ内に配置された第1ロボット式搬送機構を使用して、ロードロックチャンバから第1の洗浄チャンバに基板を移送することであって、第1の洗浄チャンバが、水素含有ガスとフッ素含有ガスとを含む洗浄ガスから形成されたプラズマを使用して、基板の表面から酸化物を除去する、移送することと、第1のロボット式搬送機構によって、基板を第1の洗浄チャンバから移行ステーションに移送することであって、移行ステーションが、その中に配置される第2の洗浄チャンバを有し、第2の洗浄チャンバが、水素含有プラズマを使用して、基板の表面から炭素含有汚染物質を除去する、移送することと、第2の移送チャンバ内に配置される第2のロボット式搬送機構を使用して、第2の洗浄チャンバから第2の移送チャンバに結合された少なくとも1つのエピタキシー処理チャンバに基板を移送することとを含み、移行ステーションが、第1の移送チャンバ及び第2の移送チャンバに連結され、真空処理システム内で真空を破壊(中断)せずに、基板が、ロードロックチャンバ、第1の移送チャンバ、第1の洗浄チャンバ、第2の洗浄チャンバ、第2の移送チャンバ、及びエピタキシー処理チャンバ間で移送される。   In yet another embodiment, a method is provided for processing a substrate in a vacuum processing system. A method is to transfer a substrate from a load lock chamber to a first cleaning chamber using a first robotic transfer mechanism disposed in the first transfer chamber, the first cleaning chamber being The plasma is formed from a cleaning gas comprising a hydrogen-containing gas and a fluorine-containing gas to remove oxides from the surface of the substrate, transferring the substrate by the first robotic transport mechanism. Transferring from the cleaning chamber to the transfer station, the transfer station having a second cleaning chamber disposed therein, the second cleaning chamber using a hydrogen-containing plasma, and Removing and removing carbon-containing contaminants from the surface, and using a second robotic transfer mechanism disposed within the second transfer chamber to transfer the second transfer chamber from the second cleaning chamber Transferring the substrate to at least one epitaxy processing chamber coupled to the chamber, wherein the transfer station is coupled to the first transfer chamber and the second transfer chamber to break the vacuum (disrupt the vacuum processing system) The substrate is transferred between the load lock chamber, the first transfer chamber, the first cleaning chamber, the second cleaning chamber, the second transfer chamber, and the epitaxy processing chamber.

上記で簡潔に要約し、下記でより詳細に述べる本開示の実施態様は、添付する図面に示す本開示の例示的な実施態様を参照することにより、理解することができる。しかし、本開示は他の等しく有効な実施態様も許容しうることから、添付する図面は本開示の典型的な実施態様を示しているにすぎず、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。   The embodiments of the present disclosure briefly summarized above and described in more detail below can be understood by reference to the exemplary embodiments of the present disclosure illustrated in the attached drawings. However, as the present disclosure may tolerate other equally effective embodiments, the accompanying drawings only show typical embodiments of the present disclosure, and therefore should be considered as limiting the scope of the present disclosure. Please note that it is not.

本開示の一実施態様による処理シーケンスを示す。7 shows a processing sequence according to an embodiment of the present disclosure. 本開示の一実施態様による、図1の洗浄処理を実行するために使用される洗浄チャンバの断面図である。2 is a cross-sectional view of a cleaning chamber used to perform the cleaning process of FIG. 1 according to one embodiment of the present disclosure. 本開示の一実施態様による、図1の還元処理を実行するために使用される洗浄チャンバの断面図である。2 is a cross-sectional view of a cleaning chamber used to perform the reduction process of FIG. 1 according to one embodiment of the present disclosure. 本開示の実施態様による、図1の処理シーケンスを完了するために使用することができる真空処理システムを示す。2 illustrates a vacuum processing system that can be used to complete the processing sequence of FIG. 1 according to an embodiment of the present disclosure.

理解を容易にするため、可能な場合には、複数の図に共通する同一の要素を示すのに同一の参照番号を使用した。図は縮尺どおりには描かれておらず、分かり易くするために簡略化されることがある。一実施態様の要素及び特徴は、更なる記述がなくとも、他の実施態様に有益に組み込まれることがあると想定される。   For ease of understanding, where possible, the same reference numerals have been used to indicate identical elements that are common to more than one figure. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated into other embodiments without further description.

図1は、本開示の一実施態様による処理シーケンス100を示す。ボックス102では、洗浄処理を使用して、半導体基板の表面から酸化物が除去される。基板はシリコン含有物質を含み、表面はシリコン(Si)、ゲルマニウム(Ge)又はシリコンゲルマニウム合金(SiGe)などの物質を含みうる。いくつかの実装態様では、Si、Ge、又はSiGe表面は、自然酸化物層などの酸化物層、及びその上に配置された汚染物質を有することがある。炭素含有汚染物質のような酸化物及び汚染物質に対してエピタキシャル堆積処理が影響を受けやすいために、数時間の間の最も典型的な洗浄室環境への露出から生じる表面汚染は、堆積した酸化物及び汚染物質がその後に形成されるエピタキシャル層の品質に影響を与えるほど十分重大になりうる。   FIG. 1 shows a processing sequence 100 according to an embodiment of the present disclosure. At box 102, a cleaning process is used to remove oxides from the surface of the semiconductor substrate. The substrate comprises a silicon containing material, and the surface may comprise a material such as silicon (Si), germanium (Ge) or silicon germanium alloy (SiGe). In some implementations, the Si, Ge, or SiGe surface may have an oxide layer, such as a native oxide layer, and contaminants disposed thereon. Surface contamination resulting from exposure to the most typical cleaning room environment for several hours is due to the susceptibility of the epitaxial deposition process to oxides and contaminants such as carbon containing contaminants resulting in the deposition of oxidized Substances and contaminants can be serious enough to affect the quality of the subsequently formed epitaxial layer.

基板表面は、酸化物除去処理及び汚染物質除去処理を実行することによって洗浄されうる。一実施態様では、洗浄処理を使用して、酸化物を基板の表面から除去し(ボックス102)、還元処理を使用して、炭素含有汚染物質などの汚染物質を基板の表面から除去する(ボックス104)。洗浄処理は、プラズマエッチング処理を含みうる。プラズマエッチング処理は、水素(H)、ヘリウム(He)、アルゴン(Ar)、アンモニア(NH)、NFなどのフッ素含有ガス、又はこれらのガスの任意の組み合わせを含む洗浄ガスから形成されたプラズマを使用しうる。プラズマは、誘導結合又は容量結合されてもよく、又はプラズマは、処理チャンバ内のマイクロ波源によって励起されてもよい。処理チャンバは、基板が配置される処理領域から空間的に分離された遠隔プラズマチャンバでありうる。本明細書に記載の「空間的に分離された(spatially separated)」という用語は、図2に示されるブロッカプレート228及びガス供給プレート230などの一又は複数のチャンバ構成要素によって基板処理領域から分離されたプラズマ発生領域を指すことがあり、或いは遠隔プラズマチャンバと基板処理チャンバとの間の導管を指すことさえある。 The substrate surface may be cleaned by performing an oxide removal process and a contaminant removal process. In one embodiment, a cleaning process is used to remove oxides from the surface of the substrate (box 102) and a reduction process is used to remove contaminants such as carbon-containing contaminants from the surface of the substrate (box 104). The cleaning process may include a plasma etching process. The plasma etching process is formed from a cleaning gas comprising hydrogen (H 2 ), helium (He), argon (Ar), ammonia (NH 3 ), a fluorine containing gas such as NF 3 , or any combination of these gases Plasma can be used. The plasma may be inductively coupled or capacitively coupled, or the plasma may be excited by a microwave source in the processing chamber. The processing chamber may be a remote plasma chamber spatially separated from the processing region in which the substrate is disposed. The term "spatial separated" as described herein separates from the substrate processing area by one or more chamber components such as the blocker plate 228 and the gas supply plate 230 shown in FIG. It may refer to a defined plasma generation region, or even a conduit between the remote plasma chamber and the substrate processing chamber.

一実施態様では、プラズマは容量結合プラズマ源を使用して生成される。プラズマからのラジカルは、約25℃から約100℃の温度で支持体上に位置決めされる基板の上方に配置されるガス供給プレートを通過しうる。処理圧力は、準大気圧、例えば、約20mTorrから約25mTorrでありうる。ラジカルは基板に到達し、次いで表面酸化物と反応する。プラズマエッチング処理を実行するように適合させることができる例示的な処理チャンバは、カリフォルニア州サンタクララのApplied Materials, Inc.,から入手可能なSiconi(登録商標)又はSelectra(登録商標)チャンバを含む。また、他の製造業者からのチャンバが使用されてもよい。   In one embodiment, the plasma is generated using a capacitively coupled plasma source. Radicals from the plasma may pass through a gas supply plate located above the substrate positioned on the support at a temperature of about 25 ° C. to about 100 ° C. The processing pressure may be sub-atmospheric pressure, for example, about 20 mTorr to about 25 mTorr. The radicals reach the substrate and then react with the surface oxide. An exemplary processing chamber that can be adapted to perform a plasma etching process is available from Applied Materials, Inc. of Santa Clara, California. , Siconi (R) or Selectra (R) chamber available from Also, chambers from other manufacturers may be used.

例示的な一実施態様では、プラズマエッチング処理は、基板をNF及びNHプラズマ副生成物への同時曝露を含む遠隔プラズマ支援型ドライエッチング処理である。一実施例では、プラズマエッチング処理は、カリフォルニア州サンタクララのApplied Materials, Inc.,から入手可能なSiCoNi(登録商標)エッチング処理に類似してもよく、又はSiCoNi(登録商標)エッチング処理を含んでもよい。遠隔プラズマエッチングは、酸化ケイ素層に対して、概ね共形(conformal)であり選択的であり、したがって、ケイ素がアモルファス、結晶性又は多結晶性があるかどうかに関わらず、ケイ素を容易にエッチングしない。遠隔プラズマ処理は、概して、基板酸化物材料が消費されるにつれて基板の表面上で成長する固体副生成物を生成することになる。その後、固体副生成物は、基板の温度が上げられると、昇華によって除去することができる。プラズマエッチング処理は、その上にシリコン−水素(Si−H)結合を有する基板表面をもたらす。 In an exemplary embodiment, plasma etching is a remote plasma assisted dry etching process that includes simultaneous exposure of the substrate to NF 3 and NH 3 plasma by-products. In one embodiment, the plasma etch process is performed by Applied Materials, Inc., Santa Clara, California. , Similar to the SiCoNi® etch process available from, or may include a SiCoNi® etch process. Remote plasma etching is generally conformal and selective to the silicon oxide layer, so it is easy to etch silicon regardless of whether it is amorphous, crystalline or polycrystalline. do not do. Remote plasma processing generally results in the production of solid byproducts that grow on the surface of the substrate as the substrate oxide material is consumed. The solid by-products can then be removed by sublimation as the temperature of the substrate is raised. The plasma etch process results in a substrate surface having silicon-hydrogen (Si-H) bonds thereon.

ボックス104では、基板の表面から酸化物を除去した後、基板の表面上に残っているあらゆる汚染物質が除去される。ボックス104の一実施態様では、還元処理を使用して、炭素又は炭化水素などの汚染物質が基板の表面から除去される。還元処理は、汚染物質を除去するために水素含有プラズマを使用しうる。プラズマは、水素ガス(H)、ヘリウム(He)、アルゴン(Ar)、アンモニア(NH)、又はこれらのガスの任意の組み合わせを含む洗浄ガスから形成されうる。プラズマは、誘導結合又は容量結合されてもよく、又はプラズマは、処理チャンバ内のマイクロ波源によって励起されてもよい。処理チャンバは、基板が配置される処理チャンバから物理的に分離される遠隔プラズマチャンバでありうる。 In box 104, after removing the oxide from the surface of the substrate, any contaminants remaining on the surface of the substrate are removed. In one embodiment of box 104, contaminants such as carbon or hydrocarbons are removed from the surface of the substrate using a reduction process. The reduction process may use a hydrogen-containing plasma to remove contaminants. The plasma may be formed from a cleaning gas comprising hydrogen gas (H 2 ), helium (He), argon (Ar), ammonia (NH 3 ), or any combination of these gases. The plasma may be inductively coupled or capacitively coupled, or the plasma may be excited by a microwave source in the processing chamber. The processing chamber may be a remote plasma chamber physically separated from the processing chamber in which the substrate is disposed.

一実施態様では、プラズマは、還元処理104を実行するための遠隔プラズマ源(RPS)である誘導結合プラズマ源を使用して生成される。プラズマからのラジカルは、基板の上に配置される通路チューブ及びガス供給プレートを通過しうる。基板は、約25℃から約400℃の温度で支持体上に位置決めされる。処理圧力は、準大気圧、例えば、約20mTorrから約300Torr、例えば、約100mTorrから約300mTorr、例えば、約150mTorrでありうる。ラジカルは基板に到達し、次いで表面の汚染物質と反応する。還元処理を実行するように適合させることができる例示的な処理チャンバは、カリフォルニア州サンタクララのApplied Materials, Inc.,から入手可能なAKTIV Pre − Clean(登録商標)、Siconi(登録商標)、PCxT Reactive Preclean(登録商標)、又はSelectra(登録商標)チャンバを含む。また、他の製造業者からのチャンバが使用されてもよい。   In one embodiment, the plasma is generated using an inductively coupled plasma source, which is a remote plasma source (RPS) for performing the reduction process 104. The radicals from the plasma may pass through the passage tube and the gas supply plate which are arranged above the substrate. The substrate is positioned on the support at a temperature of about 25 ° C to about 400 ° C. The processing pressure may be sub-atmospheric pressure, for example about 20 mTorr to about 300 Torr, for example about 100 mTorr to about 300 mTorr, for example about 150 mTorr. The radicals reach the substrate and then react with surface contaminants. An exemplary processing chamber that can be adapted to perform the reduction process is available from Applied Materials, Inc., Santa Clara, California. , AKTIV Pre-Clean (R), Siconi (R), PCxT Reactive Preclean (R), or Selectra (R) chambers available from Also, chambers from other manufacturers may be used.

ボックス106において、エピタキシャル層が基板の表面に形成される。上述のように事前に洗浄した場合、基板の表面は酸化物及び汚染物質を含まず、これにより基板の表面上に続いて形成されるエピタキシャル層の品質が向上する。例示的なエピタキシャル処理は、約800℃未満、例えば約450℃から650℃の温度で実行される選択的エピタキシャル処理でありうる。エピタキシャル層は、高温化学気相堆積(CVD)処理を用いて形成されうる。エピタキシャル層は、結晶シリコン、ゲルマニウム、又はシリコンゲルマニウム、又はIII−V族化合物などの任意の適切な半導体材料でありうる。1つの例示的な熱CVD処理では、ジクロロシラン、シラン、ジシラン、ゲルマン、塩化水素、又はそれらの組み合わせなどの処理ガスが、エピタキシャル層を形成するために使用される。処理温度は、800℃を下回り、処理圧力は5Torrから600Torrの間である。エピタキシャル堆積処理を実行するために使用することができる例示的な処理チャンバは、カリフォルニア州サンタクララのApplied Materials, Inc.,から入手可能なCentura(登録商標)Epiチャンバである。また、他の製造業者からのチャンバが使用されてもよい。   In box 106, an epitaxial layer is formed on the surface of the substrate. If previously cleaned as described above, the surface of the substrate is free of oxides and contaminants, which improves the quality of the subsequently formed epitaxial layer on the surface of the substrate. An exemplary epitaxial process may be a selective epitaxial process performed at a temperature of less than about 800 ° C., such as about 450 ° C. to 650 ° C. The epitaxial layer can be formed using a high temperature chemical vapor deposition (CVD) process. The epitaxial layer may be any suitable semiconductor material, such as crystalline silicon, germanium or silicon germanium or a group III-V compound. In one exemplary thermal CVD process, process gases such as dichlorosilane, silane, disilane, germane, hydrogen chloride, or combinations thereof are used to form the epitaxial layer. The processing temperature is below 800 ° C., and the processing pressure is between 5 Torr and 600 Torr. An exemplary processing chamber that can be used to perform the epitaxial deposition process is Applied Materials, Inc. of Santa Clara, California. , A Centura® Epi chamber available from Also, chambers from other manufacturers may be used.

ボックス102、104及び106は、図4に示す真空処理システムなどの1つの処理システムで実行されうる。ボックス102及び104に記載される処理は逆でもよいと考えられる。加えて、ボックス102及び104に記載される処理は、必要に応じて何度でも繰り返してよい。   Boxes 102, 104 and 106 may be implemented in one processing system, such as the vacuum processing system shown in FIG. It is contemplated that the processing described in boxes 102 and 104 may be reversed. In addition, the processes described in boxes 102 and 104 may be repeated as many times as necessary.

図2は、ボックス102に見られる処理のうちの少なくともいくつかを実行するように適合され、それによって基板の表面から酸化物を除去する処理チャンバ200の断面図である。処理チャンバ200は、熱又はプラズマベースの洗浄処理及び/又はプラズマ支援型ドライエッチング処理を実行するのに特に有用でありうる。処理チャンバ200は、チャンバ本体212、リッドアセンブリ214、及び支持アセンブリ216を含む。リッドアセンブリ214は、チャンバ本体212の上端に配置され、支持アセンブリ216は、少なくとも部分的にチャンバ本体212内に配置される。処理チャンバ200からガスを除去するために、真空システムを使用することができる。真空システムには、チャンバ本体212内に配置された真空ポート221に結合された真空ポンプ218が含まれる。処理チャンバ200はまた、処理チャンバ200内の処理を制御するためのコントローラ202を含む。   FIG. 2 is a cross-sectional view of a processing chamber 200 adapted to perform at least some of the processing found in box 102, thereby removing oxides from the surface of the substrate. The processing chamber 200 may be particularly useful to perform thermal or plasma based cleaning processes and / or plasma assisted dry etching processes. The processing chamber 200 includes a chamber body 212, a lid assembly 214, and a support assembly 216. The lid assembly 214 is disposed at the upper end of the chamber body 212 and the support assembly 216 is at least partially disposed within the chamber body 212. A vacuum system can be used to remove gas from the processing chamber 200. The vacuum system includes a vacuum pump 218 coupled to a vacuum port 221 disposed within the chamber body 212. Processing chamber 200 also includes a controller 202 for controlling processing within processing chamber 200.

リッドアセンブリ214は、プラズマ空間(plasma volume)又はキャビティを形成するように構成された少なくとも2つの積層構成要素を含む。第1の電極220は、プラズマ空間を画定するために第2の電極222の垂直上方に配置される。第1の電極220は、高周波(RF)電力供給などの電源224に接続され、第2の電極222は、接地又は基準電位に接続され、第1の電極220と第2の電極222との間にキャパシタンスを形成する。リッドアセンブリ214はまた、ブロッカプレート228及びガス供給プレート230、例えばシャワーヘッドなど、を介して、基板表面に洗浄ガスを供給するための一又は複数のガス入口226を含む。洗浄ガスは、水素(H)、ヘリウム(He)、アルゴン(Ar)、アンモニア(NH)、NFなどのフッ素含有ガス、又はこれらのガスの任意の組み合わせを含む洗浄ガスから形成されるプラズマのラジカルを使用しうる。 The lid assembly 214 includes at least two stacked components configured to form a plasma volume or cavity. The first electrode 220 is disposed vertically above the second electrode 222 to define a plasma space. The first electrode 220 is connected to a power source 224, such as a radio frequency (RF) power supply, and the second electrode 222 is connected to ground or a reference potential and between the first electrode 220 and the second electrode 222 Form a capacitance. The lid assembly 214 also includes one or more gas inlets 226 for supplying cleaning gas to the substrate surface via the blocker plate 228 and the gas supply plate 230, such as a showerhead or the like. The cleaning gas is formed from a cleaning gas comprising hydrogen (H 2 ), helium (He), argon (Ar), ammonia (NH 3 ), a fluorine containing gas such as NF 3 , or any combination of these gases Plasma radicals may be used.

代替的には、基板表面を洗浄するために、異なる洗浄処理が使用されてもよい。例えば、He及びNFを含む遠隔プラズマが、ガス供給プレート230を介して処理チャンバ200内に導入される一方で、NHは、チャンバ本体212の側に配置される別個のガス入口225を介して、処理チャンバ200内に直接注入されうる。 Alternatively, different cleaning processes may be used to clean the substrate surface. For example, remote plasma containing He and NF 3 is introduced into the processing chamber 200 via the gas supply plate 230, while NH 3 is via a separate gas inlet 225 located on the side of the chamber body 212. It can be directly injected into the processing chamber 200.

支持アセンブリ216は、処理中に基板210をその上で支持するための基板支持体232を含みうる。基板支持体232は、チャンバ本体212の底部に形成された中央に配置された開口部を通って延びるシャフト236によってアクチュエータ234に結合されうる。アクチュエータ234は、シャフト236の周りの真空漏れを防ぐベローズ(図示せず)によってチャンバ本体212に柔軟に封止されてもよい。アクチュエータ234により、基板支持体232をチャンバ本体212内で処理位置と装填位置との間で垂直に移動させることができる。装填位置は、チャンバ本体212の側壁に形成されたスリットバルブの開口部よりも僅かに下にある。   The support assembly 216 can include a substrate support 232 for supporting the substrate 210 thereon during processing. The substrate support 232 may be coupled to the actuator 234 by a shaft 236 extending through a centrally located opening formed in the bottom of the chamber body 212. The actuator 234 may be flexibly sealed to the chamber body 212 by a bellows (not shown) that prevents vacuum leakage around the shaft 236. The actuator 234 allows the substrate support 232 to move vertically within the chamber body 212 between the processing position and the loading position. The loading position is slightly below the opening of the slit valve formed in the side wall of the chamber body 212.

基板支持体232は、その上で処理される基板を支持するための平坦な、又は実質的に平坦な基板支持面を有する。基板支持体232は、シャフト236によって基板支持体232に結合されるアクチュエータ234によってチャンバ本体212内を垂直に移動させてもよい。動作中、基板支持体232は、処理中の基板210の温度を制御するために、リッドアセンブリ214にごく近接した位置まで上昇させてもよい。したがって、基板210は、供給プレート230から放出された放射又は対流を介して加熱されてもよい。   The substrate support 232 has a flat or substantially flat substrate support surface for supporting a substrate to be processed thereon. The substrate support 232 may be vertically moved within the chamber body 212 by an actuator 234 coupled to the substrate support 232 by a shaft 236. In operation, the substrate support 232 may be raised to a position in close proximity to the lid assembly 214 to control the temperature of the substrate 210 during processing. Thus, the substrate 210 may be heated via the radiation or convection emitted from the supply plate 230.

図3は、ボックス104に見られる処理のうちの少なくともいくつかを実行するように適合され、よって基板の表面に蓄積した炭素又は炭化水素などの汚染物質を除去する処理チャンバ300の断面図である。処理チャンバ300は、チャンバエンクロージャ316、処理キットハウジング318、及びリッド340を含む、チャンバ本体310を有する。チャンバエンクロージャ316及びリッド340は、アルミニウム、ステンレス鋼、又は他の適切な材料から製造されうる。処理キットハウジング318は、アルミニウム合金又は他の適切な材料から製造されうる。リッド340は、処理キットハウジング318を介してチャンバエンクロージャ316に取り外し可能に結合される。   FIG. 3 is a cross-sectional view of a processing chamber 300 adapted to perform at least some of the processing found in box 104, thus removing contaminants such as carbon or hydrocarbons accumulated on the surface of the substrate. . The processing chamber 300 has a chamber body 310 that includes a chamber enclosure 316, a processing kit housing 318, and a lid 340. Chamber enclosure 316 and lid 340 may be made of aluminum, stainless steel, or other suitable material. The processing kit housing 318 may be manufactured from an aluminum alloy or other suitable material. The lid 340 is removably coupled to the chamber enclosure 316 via the processing kit housing 318.

処理キットハウジング318は、リッド340に結合する上面、及びチャンバエンクロージャ316に結合する底面を有するリング形状のハウジングでありうる。処理キットハウジング318は、処理キットハウジング318の内面331から下に延びるシールド部分329を有する。処理キットハウジング318の内面331は、当該内面331に接したガス供給プレート326を取り囲み、かつ支持する。ガス供給プレート326は、石英シャワーヘッドでありうる。プレナム348は、ガス供給プレート326とリッド340との間に画定される。ガス供給プレート326は、ガス供給プレート326の厚さを貫通して形成された複数の開孔327を含み、ガスがポート342を通してプレナム348に流入可能となる。開孔327は、ガス供給プレート326の直径全域に均等に分散し、基板308へのガス又はラジカルの均一な分配を確実にする。開孔327を通って流れるガスは、ガス供給プレート326とヒータ314との間に画定された処理領域330内に配置された基板308全域に分配される。シールド部分329はまた、処理領域330内に電気的に中性のラジカルを閉じ込めるのを助ける。一例では、シールド部分329は、ヒータ314のエッジに隣接する位置又はそれより下の位置まで延びる。   The processing kit housing 318 may be a ring shaped housing having a top surface coupled to the lid 340 and a bottom surface coupled to the chamber enclosure 316. The processing kit housing 318 has a shield portion 329 extending downwardly from the inner surface 331 of the processing kit housing 318. The inner surface 331 of the processing kit housing 318 surrounds and supports the gas supply plate 326 in contact with the inner surface 331. The gas supply plate 326 may be a quartz shower head. A plenum 348 is defined between the gas supply plate 326 and the lid 340. The gas supply plate 326 includes a plurality of apertures 327 formed through the thickness of the gas supply plate 326 to allow gas to flow into the plenum 348 through the port 342. The apertures 327 are evenly distributed across the diameter of the gas supply plate 326 to ensure uniform distribution of gas or radicals to the substrate 308. Gas flowing through the apertures 327 is distributed across the substrate 308 disposed within the processing region 330 defined between the gas supply plate 326 and the heater 314. Shield portion 329 also helps to confine electrically neutral radicals within processing region 330. In one example, shield portion 329 extends to a position adjacent to or below the edge of heater 314.

処理チャンバ300は、通路チューブ360によってポート342に結合される遠隔プラズマ源350を含む。ポート342がリッド340内に形成される。通路チューブ360は、第1の内径と、第1の内径よりも大きい第2の内径とを有しうる、導管356を画定する。第1の内径は、遠隔プラズマ源350に隣接して配置され、第2の内径は、リッド340に隣接して配置されうる。一例では、第1の内径は、約12mmから約30mm、例えば約20mmであり、第2の内径は、約35mmから約60mm、例えば約40mmである。   Processing chamber 300 includes a remote plasma source 350 coupled to port 342 by a passage tube 360. A port 342 is formed in the lid 340. The passage tube 360 defines a conduit 356 that can have a first inner diameter and a second inner diameter larger than the first inner diameter. The first inner diameter may be disposed adjacent to the remote plasma source 350 and the second inner diameter may be disposed adjacent to the lid 340. In one example, the first inner diameter is about 12 mm to about 30 mm, for example about 20 mm, and the second inner diameter is about 35 mm to about 60 mm, for example about 40 mm.

通路チューブ360は、処理領域330に進入する前に、遠隔プラズマ源350で発生したイオンをフィルタリングしつつ、電気的に中性のラジカルが処理領域330に進入できるようにする。従って、処理領域330内のイオンの相対濃度は減少する。一実施態様では、導管356を通って流れるガスは、通路チューブ360に隣接して配置された一又は複数の磁石によって発生した磁場によってフィルタリングされる。磁石は、遠隔プラズマ源350から流れる反応性ラジカルと同伴する荷電粒子をフィルタリングするために、通路チューブ360を横切って磁場を発生させる。   Passage tube 360 allows electrically neutral radicals to enter processing region 330 while filtering ions generated by remote plasma source 350 prior to entering processing region 330. Thus, the relative concentration of ions in the processing region 330 is reduced. In one embodiment, the gas flowing through conduit 356 is filtered by the magnetic field generated by one or more magnets disposed adjacent to the passage tube 360. The magnet generates a magnetic field across the passage tube 360 to filter charged particles entrained with reactive radicals flowing from the remote plasma source 350.

図3に示す実施態様では、第1の磁石352及び第2の磁石354が、通路チューブ360に隣接して配置される。第1の磁石352及び第2の磁石354は、永久磁石又は電磁石でありうる。磁石352、354は、通路チューブ360の第1の内径を挟んで互いに反対側に配置されうる。例えば、磁石352、354は、通路チューブ360の外周の反対側に接着又は固定されうる。磁石352、354は、チャンバリッド340又はチャンバ本体310の他の構成要素に固定されうるとも考えられる。反対側にある磁石と通路チューブ360内に形成された導管356との間の相対距離は、導管356を通過する磁場の強度に影響を与え、それによってフィルタリング効率に影響を与える。磁場はまた、異なる磁石を使用すること、すなわち磁石352、354を異なる強度に取り替えることによって調整されてもよい。通過する荷電粒子は、通路チューブ360の内面370に引き寄せられて接触し、電気的に中性の非イオン種となる。そのようにして、フィルタリングされた電気的に中性のラジカルが、基板の表面に供給されて、その上の汚染物質と反応してそれらを洗浄する。   In the embodiment shown in FIG. 3, a first magnet 352 and a second magnet 354 are disposed adjacent to the passage tube 360. The first magnet 352 and the second magnet 354 may be permanent magnets or electromagnets. The magnets 352, 354 may be disposed on opposite sides of the first inner diameter of the passage tube 360. For example, the magnets 352, 354 may be glued or fixed to the opposite side of the perimeter of the passage tube 360. It is also contemplated that the magnets 352, 354 may be secured to the chamber lid 340 or other components of the chamber body 310. The relative distance between the opposing magnet and the conduit 356 formed in the passage tube 360 affects the strength of the magnetic field passing through the conduit 356, thereby affecting the filtering efficiency. The magnetic field may also be adjusted by using different magnets, ie replacing the magnets 352, 354 with different strengths. The charged particles passing through are attracted to and in contact with the inner surface 370 of the passage tube 360 to become an electrically neutral non-ionic species. As such, filtered electrically neutral radicals are provided to the surface of the substrate to react with and clean up contaminants thereon.

いくつかの実施態様では、イオンは、チャンバ本体310内を通過する処理ガス(すなわちラジカル)の流路内に石英表面を設けることによって、更にフィルタリングされうる。例えば、導管356を画定する通路チューブ360の内面370は、全体的に又は部分的にコーティングされてもよく、又は石英から製造されてもよい。加えて、プレナム348及び/又はガス供給プレート326を画定する表面はまた、全体的に又は少なくとも部分的にコーティングされてもよく、又は石英から製造されてもよい。例えば、図3の実施態様では、上部ライナー324は、処理キットハウジング318の内面331に沿って配置されうる。上部ライナー324は、プレナム348を囲むリング形状の本体を有し、その内面はプレナム348の外側境界を画定する。上部ライナー324は、石英から作られてもよい。上部ライナー324は、ガス供給プレート326上に載置してもよく、又は他の任意の適切な固定手法によって支持されてもよい。   In some embodiments, ions may be further filtered by providing a quartz surface within the flow path of process gas (ie, radicals) passing through the chamber body 310. For example, the inner surface 370 of the passage tube 360 defining the conduit 356 may be fully or partially coated or may be made of quartz. In addition, the surfaces defining the plenum 348 and / or the gas supply plate 326 may also be fully or at least partially coated or made of quartz. For example, in the embodiment of FIG. 3, the upper liner 324 may be disposed along the inner surface 331 of the processing kit housing 318. The upper liner 324 has a ring-shaped body surrounding the plenum 348, the inner surface of which defines the outer boundary of the plenum 348. The upper liner 324 may be made of quartz. Top liner 324 may be mounted on gas supply plate 326 or may be supported by any other suitable fastening technique.

ライナープレート344は、蓋340の底面に沿って配置されうる。ライナープレート344は、石英でコーティングされてもよく、又は石英から製造されてもよい。ライナープレート344は、プレナム348の上部境界を画定する。したがって、ライナープレート344、上部ライナー324、及びガス供給プレート326は、その中にプレナム348を画定する。下部ライナー325を処理キットハウジング318の内面331に沿って配置することができる。下部ライナー325は、処理領域330を囲むリング形状の本体を有し、その内面は処理領域330の外側境界を画定する。下部ライナー325は、石英でコーティングされてもよく、又は石英から製造されてもよい。下部ライナー325は、シールド部分329によって支持されてもよい。図示されるような一例では、下部ライナー325を支持するために、棚部303がシールド部分329の端部で半径方向内側に延びる。したがって、通路チューブ360、ライナープレート344、上部ライナー324、下部ライナー325、及びガス供給プレートは共に、処理ガスの流路内に石英表面を提供する。これらの成分は、他のチャンバ材料(例えばアルミニウム)と比較して、ラジカルの再結合を減少させる。したがって、電気的に中性のラジカルのみが、ガス供給プレートを通って流れるか、又はガス供給プレートと処理チャンバの基板支持体との間に画定された処理領域内に存在する。これらの電気的に中性のラジカルは、基板支持体上に配置された基板の表面に到達してそれと反応したときに、反応性を維持し、基板の表面から不要な材料、例えば自然酸化物などを除去することになる。   The liner plate 344 may be disposed along the bottom of the lid 340. The liner plate 344 may be coated with quartz or made of quartz. Liner plate 344 defines an upper boundary of plenum 348. Thus, liner plate 344, upper liner 324, and gas supply plate 326 define a plenum 348 therein. The lower liner 325 can be disposed along the inner surface 331 of the processing kit housing 318. The lower liner 325 has a ring-shaped body surrounding the processing area 330, the inner surface of which defines the outer boundary of the processing area 330. The lower liner 325 may be coated with quartz or may be made of quartz. Lower liner 325 may be supported by shield portion 329. In one example as shown, a ledge 303 extends radially inward at the end of the shield portion 329 to support the lower liner 325. Thus, the passage tube 360, the liner plate 344, the upper liner 324, the lower liner 325, and the gas supply plate together provide a quartz surface within the process gas flow path. These components reduce radical recombination as compared to other chamber materials (eg, aluminum). Thus, only electrically neutral radicals are flowing through the gas supply plate or within the processing area defined between the gas supply plate and the substrate support of the processing chamber. These electrically neutral radicals maintain reactivity when they reach and react with the surface of the substrate disposed on the substrate support, and unwanted materials from the surface of the substrate, such as native oxides Etc will be removed.

ヒータ(又は基板支持体)314が、チャンバ本体310の処理領域330内に配置される。ヒータ314は、中心軸341を介してチャンバエンクロージャ316の底部に結合される。ヒータ314は、ボックス102及び104に関して上述した処理などの処理中に、基板308をその上で支持するための基板支持面を有する。オプションのフォーカスリング338は、基板支持面の外周の周りのヒータ314上に配置されうる。フォーカスリング338は、処理中にプラズマ又は中性種を基板308の上の領域に閉じ込める。フォーカスリング338は、石英から製造されうる。   A heater (or substrate support) 314 is disposed within the processing region 330 of the chamber body 310. The heater 314 is coupled to the bottom of the chamber enclosure 316 via a central axis 341. The heater 314 has a substrate support surface to support the substrate 308 during processing, such as the processing described above for the boxes 102 and 104. An optional focus ring 338 may be disposed on the heater 314 around the perimeter of the substrate support surface. Focus ring 338 traps the plasma or neutral species in the area above substrate 308 during processing. Focus ring 338 may be manufactured from quartz.

ヒータ314は、基板支持面とサファイア接点上に配置された基板との間の接点を最小にするために、基板支持面上に配置された複数のサファイア接点(図示せず)を有する裸のアルミニウムから製造されうる。ヒータ314は、装填位置と処理位置との間を垂直に移動するように、駆動ユニット337によって作動される。ヒータ314は、基板支持面に均一な熱エネルギーを供給するために、その中に埋め込まれた一又は複数の加熱要素335を有しうる。適切な加熱要素335は、他の加熱デバイスの中でも、抵抗加熱器、熱電デバイス、又は熱伝達流体を流すための導管を含みうる。加熱要素335は、基板308の温度を約200℃から約700℃、又はそれ以上、例えば約300℃から約350℃、約350℃から約450℃、約450℃から約550℃、約550℃から約650℃、又は約650℃から約750℃などの温度範囲に維持することを可能にする。いくつかの実装態様では、ヒータ314が装填位置に位置決めされたときに基板ハンドラ(図示せず)が基板のエッジから基板308を操作できるように、ヒータ314は、基板支持面の周エッジを通して形成された切り欠きを有してもよい。洗浄処理中、基板308が上に配置されたヒータ314は、基板308を処理するのに望ましい位置である処理位置に位置決めされる。   The heater 314 is bare aluminum with a plurality of sapphire contacts (not shown) disposed on the substrate support surface to minimize the contact between the substrate support surface and the substrate disposed on the sapphire contact. It can be manufactured from The heater 314 is actuated by the drive unit 337 to move vertically between the loading position and the processing position. The heater 314 can have one or more heating elements 335 embedded therein to provide uniform thermal energy to the substrate support surface. Suitable heating elements 335 may include, among other heating devices, a resistive heater, a thermoelectric device, or a conduit for flowing a heat transfer fluid. The heating element 335 has a temperature of the substrate 308 of about 200 ° C. to about 700 ° C., or higher, such as about 300 ° C. to about 350 ° C., about 350 ° C. to about 450 ° C., about 450 ° C. to about 550 ° C., about 550 ° C. To about 650.degree. C., or from about 650.degree. C. to about 750.degree. C. or the like. In some implementations, the heater 314 is formed through the peripheral edge of the substrate support surface so that the substrate handler (not shown) can manipulate the substrate 308 from the edge of the substrate when the heater 314 is positioned in the loading position. It may have a cut out. During the cleaning process, the heater 314 with the substrate 308 disposed thereon is positioned at the processing position, which is the desired position to process the substrate 308.

処理チャンバ300は、ポンプ317を有する。ポンプ317は、フォアライン(管部)361を通してチャンバ本体310に連結される。フォアライン361は、エンクロージャ316の底部に形成された開口部315でチャンバ本体310に連結する。チャンバ300はまた、フォアライン361に配置されたスロットルバルブ363を含む。スロットルバルブ363は、実行されているプラズマ洗浄処理のために、処理チャンバ300内の圧力を所望の真空範囲内に維持するのに必要な程度に開閉するように操作される。ポンプ317及びスロットルバルブ363は、チャンバ本体310内の圧力を約0.005Torrから750Torrの間、例えば約40Torrから約500Torrに制御する。一例では、ポンプ317は、処理チャンバ300内の圧力を約0.1Torrから約40Torrの例示的な圧力範囲、例えば約30Torrに維持するドライポンプである。一例では、ポンプ317は、処理チャンバ300内の圧力を約100mTorrから約500mTorrの例示的な圧力範囲、例えば約150mTorrに維持する低圧ポンプである。いくつかの例では、ポンプ317は、処理チャンバ300内の圧力を約20mTorrから50mTorrの例示的な圧力範囲に維持するターボポンプである。   The processing chamber 300 has a pump 317. The pump 317 is connected to the chamber body 310 through a foreline 361. The foreline 361 connects to the chamber body 310 at an opening 315 formed in the bottom of the enclosure 316. Chamber 300 also includes a throttle valve 363 disposed in the foreline 361. Throttle valve 363 is operated to open and close as necessary to maintain the pressure in processing chamber 300 within the desired vacuum range for the plasma cleaning process being performed. The pump 317 and the throttle valve 363 control the pressure in the chamber body 310 to about 0.005 Torr to 750 Torr, for example, about 40 Torr to about 500 Torr. In one example, the pump 317 is a dry pump that maintains the pressure in the processing chamber 300 in an exemplary pressure range of about 0.1 Torr to about 40 Torr, for example about 30 Torr. In one example, pump 317 is a low pressure pump that maintains the pressure in processing chamber 300 in an exemplary pressure range of about 100 mTorr to about 500 mTorr, such as about 150 mTorr. In some examples, pump 317 is a turbo pump that maintains the pressure in processing chamber 300 in an exemplary pressure range of about 20 mTorr to 50 mTorr.

図4は、本開示の実施態様による、図1に示す処理シーケンス100を完了するために使用することができる例示的な真空処理システム400を示す。図4に示すように、複数の処理チャンバ402a、402b、402c、402dが、第1の移送チャンバ404に結合される。処理チャンバ402a−402dは、アニーリング、化学気相堆積、物理的気相堆積、エピタキシャル処理、エッチング処理、熱酸化又は熱窒化処理、ガス抜きなどのような任意の基板関連処理を実行するために使用されうる。一実施態様では、処理チャンバ402aは、結晶シリコン又はシリコンゲルマニウムを形成することができる、例えばカリフォルニア州サンタクララのApplied Materials, Inc.,から入手可能なCentura(登録商標)Epiチャンバなどのエピタキシー堆積チャンバでありうる。処理チャンバ402bは、急速熱処理チャンバ(RTP)でありうる。処理チャンバ402cは、プラズマエッチングチャンバである。処理チャンバ402dは、ガス抜きチャンバでありうる。第1の移送チャンバ404はまた、少なくとも1つの移行ステーション、例えば一対の通過ステーション406、408にも結合される。通過ステーション406、408は、第1の移送チャンバ404と第2の移送チャンバ410との間で基板を搬送可能にしつつ、真空状態を維持する。第1の移送チャンバ404は、通過ステーション406、408と処理チャンバ402a−402dのうちの任意のチャンバとの間で基板を移送するためのロボット式基板ハンドリング機構(図示せず)を有する。   FIG. 4 illustrates an exemplary vacuum processing system 400 that may be used to complete the processing sequence 100 shown in FIG. 1 in accordance with an embodiment of the present disclosure. As shown in FIG. 4, a plurality of processing chambers 402 a, 402 b, 402 c, 402 d are coupled to the first transfer chamber 404. Processing chambers 402a-402d are used to perform any substrate related processing such as annealing, chemical vapor deposition, physical vapor deposition, epitaxial processing, etching processing, thermal oxidation or thermal nitridation processing, outgassing etc. It can be done. In one embodiment, the processing chamber 402a can form crystalline silicon or silicon germanium, such as Applied Materials, Inc., Santa Clara, California. , An Epitaxy deposition chamber such as the Centura® Epi chamber available from Processing chamber 402b may be a rapid thermal processing chamber (RTP). The processing chamber 402c is a plasma etching chamber. The processing chamber 402d may be a degassing chamber. The first transfer chamber 404 is also coupled to at least one transition station, eg, a pair of pass stations 406, 408. Passage stations 406, 408 maintain a vacuum while allowing substrates to be transported between the first transfer chamber 404 and the second transfer chamber 410. The first transfer chamber 404 has a robotic substrate handling mechanism (not shown) for transferring substrates between the pass stations 406, 408 and any of the processing chambers 402a-402d.

通過ステーション406、408の一端は、第2の移送チャンバ410に結合される。従って、第1の移送チャンバ404と第2の移送チャンバ410とは、通過ステーション406、408によって分離されかつ連結される。第2の移送チャンバ410は、第1のプラズマ洗浄チャンバ414に結合され、この第1のプラズマクリーニングチャンバ414は、基板の表面から酸化物を除去するための、ボックス102に見られる処理のうちの少なくともいくつかを実行するように適合される処理チャンバ200(図2)などのプラズマチャンバとすることができる。一実施態様では、第1のプラズマ洗浄チャンバ414は、カリフォルニア州サンタクララのApplied Materials, Inc.,から入手可能なSiconi(登録商標)又はSelectra(登録商標)チャンバである。   One end of the pass station 406, 408 is coupled to the second transfer chamber 410. Thus, the first transfer chamber 404 and the second transfer chamber 410 are separated and connected by the pass station 406, 408. The second transfer chamber 410 is coupled to a first plasma cleaning chamber 414, which is one of the processes found in box 102 for removing oxides from the surface of the substrate. It may be a plasma chamber, such as a processing chamber 200 (FIG. 2) adapted to perform at least some. In one embodiment, the first plasma cleaning chamber 414 is manufactured by Applied Materials, Inc. of Santa Clara, California. Siconi® or Selectra® chamber available from

一実施態様では、少なくとも1つの移行ステーション、例えば通過ステーション406、408のうちの1つは、プラズマ洗浄チャンバであるように構成される。代替的には、プラズマ洗浄チャンバは、基板の表面から汚染物質を除去するために通過ステーション406、408のうちの1つに結合されてもよい。したがって、処理システム400は、通過ステーション406、408のうちの1つである、又はそれに連結される第2のプラズマ洗浄チャンバを有しうる。図4に示す一実施態様では、通過ステーション406は、第2のプラズマ洗浄チャンバ416を含む。第2のプラズマ洗浄チャンバ416は、基板の表面から汚染物質を除去するための、ボックス104に見られる処理のうちの少なくともいくつかを実行するように適合される処理チャンバ300(図3)のバージョンでありうる。1つのプラズマ洗浄チャンバ416のみが通過ステーション、この場合は通過ステーション406に結合されるよう示されているが、プラズマ洗浄チャンバ(例えば、処理チャンバ300のバージョン)が、通過ステーション406及び408の両方に結合されてもよいことに留意されたい。   In one embodiment, at least one transition station, eg, one of the pass stations 406, 408, is configured to be a plasma cleaning chamber. Alternatively, the plasma cleaning chamber may be coupled to one of the pass stations 406, 408 to remove contaminants from the surface of the substrate. Thus, the processing system 400 may have a second plasma cleaning chamber that is or is coupled to one of the passage stations 406, 408. In one embodiment shown in FIG. 4, the pass station 406 includes a second plasma cleaning chamber 416. The second plasma cleaning chamber 416 is a version of the processing chamber 300 (FIG. 3) adapted to perform at least some of the processing found in box 104 for removing contaminants from the surface of the substrate. It can be. Although only one plasma cleaning chamber 416 is shown coupled to the passage station, in this case passage station 406, a plasma cleaning chamber (e.g., a version of processing chamber 300) may be associated with both passage stations 406 and 408. It should be noted that they may be combined.

第2の移送チャンバ410はまた、一組のロードロックチャンバ412と第1のプラズマ洗浄チャンバ414又は第2のプラズマ洗浄チャンバ416との間で基板を移送するためのロボット式基板ハンドリング機構(図示せず)を有する。ファクトリインターフェース420は、ロードロックチャンバ412によって、第2の移送チャンバ410に結合される。ファクトリインターフェース420は、ロードロックチャンバ412の反対側で一又は複数のポッド430に結合される。ポッド430は、典型的には、洗浄室(図示せず)からアクセス可能な前面開口型統一ポッド(FOUP)である。   The second transfer chamber 410 is also a robotic substrate handling mechanism (not shown) for transferring substrates between the set of load lock chamber 412 and the first plasma cleaning chamber 414 or the second plasma cleaning chamber 416. Have). The factory interface 420 is coupled to the second transfer chamber 410 by the load lock chamber 412. The factory interface 420 is coupled to one or more pods 430 opposite the load lock chamber 412. The pod 430 is typically a front opening unified pod (FOUP) accessible from the wash room (not shown).

2つの移送チャンバが示されているが、移送チャンバのいずれかが省略されてもよいと考えられる。第2の移送チャンバ410が省略される一実施態様では、第2のプラズマ洗浄チャンバ416は、通過ステーション406又は408によって占められるように現在示されている位置で第1の移送チャンバ404内に配置され又は第1の移送チャンバ404に結合されうる。第1の移送チャンバ404は、エピタキシーチャンバ、例えばカリフォルニア州サンタクララのApplied Materials, Inc.,から入手可能なCentura(登録商標)Epiチャンバなどの結晶シリコン又はシリコンゲルマニウムを形成可能な一又は複数の処理チャンバに結合されうる。代替的には、第1の移送チャンバ404が省略され、第2のプラズマ洗浄チャンバ416が、第2の移送チャンバ410に結合される通過ステーション406内に配置され又は通過ステーション406に結合されうる。そのような場合、第2の移送チャンバ410は、結晶シリコン又はシリコンゲルマニウムを形成可能な一又は複数の処理チャンバと結合するように構成されてもよい。   Although two transfer chambers are shown, it is contemplated that any of the transfer chambers may be omitted. In one embodiment in which the second transfer chamber 410 is omitted, the second plasma cleaning chamber 416 is disposed within the first transfer chamber 404 at the position currently shown to be occupied by the pass station 406 or 408. Or coupled to the first transfer chamber 404. The first transfer chamber 404 may be an epitaxy chamber, such as Applied Materials, Inc., Santa Clara, California. , And may be coupled to one or more processing chambers capable of forming crystalline silicon or silicon germanium, such as the Centura® Epi chamber available from Alternatively, the first transfer chamber 404 may be omitted and the second plasma cleaning chamber 416 may be disposed within or coupled to the pass station 406 coupled to the second transfer chamber 410. In such cases, the second transfer chamber 410 may be configured to couple with one or more processing chambers capable of forming crystalline silicon or silicon germanium.

動作中、基板は、ロードロックチャンバ412のうちの1つの中に位置決めされる搬送カセット(図示せず)内でポッド430から真空処理システム400に運ばれる。第2の移送チャンバ410内のロボット式搬送機構は、基板をロードロックチャンバ412から第1のプラズマ洗浄チャンバ414に一度に1つずつ搬送し、ここで、基板の表面から酸化物を除去するために、洗浄処理、例えばボックス102に見られる処理が実行される。酸化物が基板表面から除去されると、第2の移送チャンバ410内に配置されたロボット式搬送機構が、基板を第1のプラズマ洗浄チャンバ414から第2のプラズマ洗浄チャンバ416に移送し、ここで、炭素又は炭化水素などの汚染物質を基板表面から除去するために、還元処理、例えばボックス104に見られる処理が実行される。ここでのステップはまた、逆の順序で、すなわち基板を第2のプラズマ洗浄チャンバ416から第1のプラズマ洗浄チャンバ414に移送するために、ロボット式搬送機構を使用して、実行されてもよい。いずれの場合も、洗浄基板は次に、第1の移送チャンバ404内に配置されたロボット式搬送機構によって、第2のプラズマ洗浄チャンバ416(又は第1のプラズマ洗浄チャンバ414)から一又は複数の処理チャンバ402a−402dに移送される。一又は複数の処理チャンバ402a−402dは、ボックス106に記載されるエピタキシャル堆積などの層形成処理が実行されるエピタキシー処理チャンバを含みうる。   In operation, substrates are conveyed from the pod 430 to the vacuum processing system 400 in a transport cassette (not shown) positioned in one of the load lock chambers 412. A robotic transfer mechanism within the second transfer chamber 410 transports the substrates from the load lock chamber 412 one at a time to the first plasma cleaning chamber 414, where oxide is removed from the surface of the substrate Then, a cleaning process, for example the process found in box 102 is performed. Once the oxide is removed from the substrate surface, a robotic transfer mechanism disposed within the second transfer chamber 410 transfers the substrate from the first plasma cleaning chamber 414 to the second plasma cleaning chamber 416, where In order to remove contaminants such as carbon or hydrocarbons from the substrate surface, a reduction treatment, for example the treatment found in box 104, is performed. The steps here may also be performed in the reverse order, ie using a robotic transfer mechanism to transfer the substrate from the second plasma cleaning chamber 416 to the first plasma cleaning chamber 414 . In any case, the cleaning substrate may then be removed from the second plasma cleaning chamber 416 (or first plasma cleaning chamber 414) by a robotic transport mechanism disposed within the first transfer chamber 404. It is transferred to the processing chambers 402a-402d. The one or more processing chambers 402 a-402 d may include an epitaxy processing chamber in which a layer forming process such as epitaxial deposition described in box 106 is performed.

一又は複数の処理チャンバ402a−402d内での処理が完了すると、第1の移送チャンバ404内に配置されるロボット式搬送機構が、基板を処理チャンバ402のうちのいずれか1つから通過ステーション408に移動させる。次に、基板は、第2の移送チャンバ410内に配置されたロボット式搬送機構によって通過ステーション408から取り出され、他のロードロックチャンバ412に搬送され、それを通って真空処理システム400から引き出される。   Once processing in one or more of the processing chambers 402 a-402 d is complete, a robotic transport mechanism disposed in the first transfer chamber 404 passes the substrate from any one of the processing chambers 402 to the transit station 408. Move to The substrate is then removed from the pass station 408 by a robotic transfer mechanism disposed within the second transfer chamber 410, transferred to the other load lock chamber 412, and withdrawn therefrom through the vacuum processing system 400. .

3つのすべてのボックス102、104、及び106の処理が同じ真空処理システム400内で実行されるので、基板が様々なチャンバ間で移送されるときに真空が破壊されず、汚染の機会が減少し、堆積されるエピタキシャル膜の品質が向上する。基板の動きは、例示目的で本明細書に記載されていると理解すべきである。用途に応じて変化しうる所望の順序付けプログラムに従って、真空処理システム400を通る基板の移動をスケジュールするために、コントローラ(図示せず)が使用されうる。   Because the processing of all three boxes 102, 104 and 106 is performed within the same vacuum processing system 400, the vacuum is not broken as the substrate is transferred between the various chambers, reducing the chance of contamination. The quality of the deposited epitaxial film is improved. It should be understood that the movement of the substrate is described herein for illustrative purposes. A controller (not shown) may be used to schedule the movement of the substrate through the vacuum processing system 400 according to the desired ordering program, which may vary depending on the application.

本開示の利点は、同じ真空処理システム上で2つの異なる種類の前洗浄処理チャンバをエピタキシャル処理チャンバと統合する、改良された真空処理システムを含む。前洗浄処理チャンバは、第1のプラズマ洗浄処理チャンバと第2のプラズマ洗浄処理チャンバとを含みうる。同一の真空処理システム上に2種類の表面材料除去チャンバを共存させることで、表面準備とエピタキシャル堆積との間で基板を真空に保つことができ、それによって基板が周囲にさらされる時間が短縮され、別の処理チャンバ又はシステム上に基板を準備する必要がなくなる。このアーキテクチャはまた、2つの移送チャンバ間の通過ステーションが前洗浄処理チャンバとしても機能するので、真空システム上の処理チャンバの数を最大にし、これもまた基板の全体的な処理時間を短縮する。   Advantages of the present disclosure include an improved vacuum processing system that integrates two different types of preclean processing chambers with an epitaxial processing chamber on the same vacuum processing system. The pre-cleaning process chamber may include a first plasma cleaning process chamber and a second plasma cleaning process chamber. The coexistence of two surface material removal chambers on the same vacuum processing system allows the substrate to be kept vacuum between surface preparation and epitaxial deposition, thereby reducing the time the substrate is exposed to the environment There is no need to prepare the substrate on another processing chamber or system. This architecture also maximizes the number of processing chambers on the vacuum system as the pass station between the two transfer chambers also functions as a pre-clean processing chamber, which also reduces the overall processing time of the substrate.

上記は本開示の実施態様を対象としているが、本開示の他の更なる実施態様は、その基本的な範囲から逸脱することなく考案されうる。   While the above is directed to the embodiments of the present disclosure, other further embodiments of the present disclosure may be devised without departing from the basic scope thereof.

Claims (15)

エピタキシー処理チャンバである少なくとも1つの処理チャンバに結合される第1の移送チャンバと、
第2の移送チャンバと、
前記第1の移送チャンバと前記第2の移送チャンバとの間に配置され、それらに連結され、かつ第1のプラズマ洗浄チャンバを含む、移行ステーションと、
前記第2の移送チャンバに結合される第2のプラズマ洗浄チャンバと、
前記第2の移送チャンバに結合されるロードロックチャンバと
を含む真空処理システム。
A first transfer chamber coupled to the at least one processing chamber that is an epitaxy processing chamber;
A second transfer chamber,
A transition station disposed between and coupled to the first transfer chamber and the second transfer chamber and including a first plasma cleaning chamber;
A second plasma cleaning chamber coupled to the second transfer chamber;
A load lock chamber coupled to the second transfer chamber.
前記第1のプラズマ洗浄チャンバが、誘導結合プラズマ源を含む、請求項1に記載の真空処理システム。   The vacuum processing system of claim 1, wherein the first plasma cleaning chamber comprises an inductively coupled plasma source. 前記第1のプラズマ洗浄チャンバが、
一又は複数の加熱要素を含む基板支持体を取り囲むチャンバエンクロージャを有するチャンバ本体と、
前記基板支持体を垂直に移動させるために、前記基板支持体に結合される駆動ユニットと、
遠隔プラズマ源と、
前記遠隔プラズマ源を前記チャンバ本体に結合する通路チューブと、
前記通路チューブに隣接して配置される少なくとも1つの磁石と、
前記チャンバ本体内に配置され、ガス供給プレートの厚さを貫通して形成される複数の開孔を有するガス供給プレートであって、前記ガス供給プレート及び前記基板支持体が、それらの間に第1のプレナムを画定する、ガス供給プレートと、
前記チャンバエンクロージャに結合されるポンプと、
前記ポンプと前記チャンバエンクロージャとの間に配置されるスロットルバルブと
を含む、請求項1に記載の真空処理システム。
The first plasma cleaning chamber
A chamber body having a chamber enclosure surrounding a substrate support including one or more heating elements;
A drive unit coupled to the substrate support for vertically moving the substrate support;
A remote plasma source,
A passage tube coupling the remote plasma source to the chamber body;
At least one magnet disposed adjacent to the passage tube;
A gas supply plate disposed within the chamber body and having a plurality of apertures formed through the thickness of the gas supply plate, wherein the gas supply plate and the substrate support are between them A gas supply plate defining one plenum;
A pump coupled to the chamber enclosure;
The vacuum processing system of claim 1, comprising a throttle valve disposed between the pump and the chamber enclosure.
前記一又は複数の加熱要素が、約450℃から約650℃の温度範囲まで対象物を加熱可能である、請求項3に記載の真空処理システム。   The vacuum processing system of claim 3, wherein the one or more heating elements are capable of heating the object to a temperature range of about 450 ° C to about 650 ° C. 前記通路チューブの内面が、石英でコーティングされる、又は石英から製造される、請求項3に記載の真空処理システム。   The vacuum processing system according to claim 3, wherein the inner surface of the passage tube is coated with or manufactured from quartz. 前記ポンプ及び前記スロットルバルブが、処理中に、前記第1のプラズマ洗浄チャンバ内部の圧力を約0.005Torrから約500Torrの圧力範囲に維持可能である、請求項3に記載の真空処理システム。   4. The vacuum processing system of claim 3, wherein the pump and the throttle valve are capable of maintaining the pressure inside the first plasma cleaning chamber in a pressure range of about 0.005 Torr to about 500 Torr during processing. 前記チャンバ本体が、
リッドと、
前記リッドの底面に沿って配置され、かつ石英でコーティングされる、又は石英から製造される、ライナープレートと、
前記リッドと前記チャンバエンクロージャとの間に配置された処理キットハウジングであって、前記処理キットハウジングの内面が前記ガス供給プレートを支持する、処理キットハウジングと、
前記処理キットハウジングの前記内面に沿って配置され、かつ石英でコーティングされ、又は石英から製造される上部ライナーであって、前記上部ライナー、前記ライナープレート、及び前記ガス供給プレートが、それらの中に第2のプレナムを画定する、上部ライナーと、
前記処理キットハウジングの前記内面に配置され、かつ石英でコーティングされ、又は石英から製造され、前記第1のプレナムの外側境界を囲む、下部ライナーと
を更に含む、請求項3に記載の真空処理システム。
The chamber body is
With the lid,
A liner plate disposed along the bottom of the lid and coated with or manufactured from quartz;
A processing kit housing disposed between the lid and the chamber enclosure, the inner surface of the processing kit housing supporting the gas supply plate;
An upper liner disposed along the inner surface of the process kit housing and coated with quartz or manufactured from quartz, the upper liner, the liner plate, and the gas supply plate therein. An upper liner defining a second plenum;
4. The vacuum processing system of claim 3, further comprising: a lower liner disposed on the inner surface of the processing kit housing and coated with quartz or manufactured from quartz and surrounding an outer boundary of the first plenum. .
前記第2のプラズマ洗浄チャンバが、容量結合プラズマ源を含む、請求項1に記載の真空処理システム。   The vacuum processing system of claim 1, wherein the second plasma cleaning chamber comprises a capacitively coupled plasma source. 前記第2のプラズマ洗浄チャンバが、
チャンバ本体と、
前記チャンバ本体に結合され、2つの電極の間にプラズマ空間を画定する2つの電極を含む、リッドアセンブリと、
前記チャンバ本体内に配置される基板支持体と、
前記リッドアセンブリと前記基板支持体との間に配置されるガス供給プレートと、
前記チャンバ本体に結合される真空ポンプと
を更に含む、請求項1に記載の真空処理システム。
The second plasma cleaning chamber
A chamber body,
A lid assembly including two electrodes coupled to the chamber body and defining a plasma space between the two electrodes;
A substrate support disposed within the chamber body;
A gas supply plate disposed between the lid assembly and the substrate support;
The vacuum processing system of claim 1, further comprising: a vacuum pump coupled to the chamber body.
前記移行ステーションが、第1の通過ステーション及び第2の通過ステーションを含み、前記第1のプラズマ洗浄チャンバが、前記第1の通過ステーション内に配置される、請求項1に記載の真空処理システム。   The vacuum processing system of claim 1, wherein the transition station comprises a first passage station and a second passage station, and the first plasma cleaning chamber is disposed within the first passage station. 前記移行ステーションが、第1の通過ステーション及び第2の通過ステーションを含み、前記第1のプラズマ洗浄チャンバが、前記第1の通過ステーションに結合される、請求項1に記載の真空処理システム。   The vacuum processing system according to claim 1, wherein the transition station comprises a first passage station and a second passage station, and the first plasma cleaning chamber is coupled to the first passage station. 第1の基板ハンドリング機構を含む第1の移送チャンバと、
前記第1の移送チャンバに結合された移行ステーションであって、移行ステーションに結合される又は移行ステーションの中に配置される第1のプラズマ洗浄チャンバを有する移行ステーションと、
前記第1の移送チャンバに結合され、エピタキシーチャンバである、少なくとも1つの処理チャンバと
を含む、真空処理システム。
A first transfer chamber including a first substrate handling mechanism;
A transition station coupled to the first transfer chamber, the transition station having a first plasma cleaning chamber coupled to or disposed within the transition station;
A vacuum processing system comprising: at least one processing chamber coupled to the first transfer chamber and being an epitaxy chamber.
前記第1のプラズマ洗浄チャンバが、
一又は複数の加熱要素を含む基板支持体を取り囲むエンクロージャを有するチャンバ本体と、
前記基板支持体を垂直に移動させるために、前記基板支持体に結合される駆動ユニットと、
遠隔プラズマ源と、
前記遠隔プラズマ源を前記チャンバ本体に結合する通路チューブと、
前記通路チューブに隣接して配置される少なくとも1つの磁石と、
前記チャンバ本体内に配置され、ガス供給プレートの厚さを貫通して形成される複数の開孔を有するガス供給プレートであって、前記ガス供給プレート及び前記基板支持体が、それらの間に第1のプレナムを画定する、ガス供給プレートと、
前記チャンバ本体に結合されるポンプと
を含む、請求項12に記載の真空処理システム。
The first plasma cleaning chamber
A chamber body having an enclosure surrounding a substrate support including one or more heating elements;
A drive unit coupled to the substrate support for vertically moving the substrate support;
A remote plasma source,
A passage tube coupling the remote plasma source to the chamber body;
At least one magnet disposed adjacent to the passage tube;
A gas supply plate disposed within the chamber body and having a plurality of apertures formed through the thickness of the gas supply plate, wherein the gas supply plate and the substrate support are between them A gas supply plate defining one plenum;
13. The vacuum processing system of claim 12, comprising a pump coupled to the chamber body.
前記第1の移送チャンバ及び前記移行ステーションに結合され、第2の基板ハンドリング機構を含む、第2の移送チャンバと、
前記第2の移送チャンバに結合される第2のプラズマ洗浄チャンバと、
前記第2の移送チャンバに結合されるロードロックチャンバと
を更に含む、請求項12に記載の真空処理システム。
A second transfer chamber coupled to the first transfer chamber and the transfer station and including a second substrate handling mechanism;
A second plasma cleaning chamber coupled to the second transfer chamber;
13. The vacuum processing system of claim 12, further comprising: a load lock chamber coupled to the second transfer chamber.
前記第2のプラズマ洗浄チャンバが、
基板支持面を有する基板支持体を取り囲むチャンバ本体と、
第1の電極、及び
第2の電極であって、前記第1の電極が前記第2の電極の垂直上方に配置され、前記第1及び第2の電極がそれらの間にプラズマ空間を画定する、第2の電極
を含むリッドアセンブリと、
前記リッドアセンブリと前記基板支持体との間に配置されるガス供給プレートと、
前記チャンバ本体に結合されるポンプと
を更に含む、請求項14に記載の真空処理システム。
The second plasma cleaning chamber
A chamber body surrounding a substrate support having a substrate support surface;
A first electrode, and a second electrode, wherein the first electrode is disposed vertically above the second electrode, and the first and second electrodes define a plasma space therebetween , A lid assembly including a second electrode,
A gas supply plate disposed between the lid assembly and the substrate support;
15. The vacuum processing system of claim 14, further comprising: a pump coupled to the chamber body.
JP2018562373A 2016-06-03 2017-05-08 A vacuum platform having a processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates Active JP7190905B2 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201662345160P 2016-06-03 2016-06-03
US62/345,160 2016-06-03
US201762491143P 2017-04-27 2017-04-27
US15/499,100 2017-04-27
US15/499,100 US20170350038A1 (en) 2016-06-03 2017-04-27 Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
US62/491,143 2017-04-27
PCT/US2017/031590 WO2017209900A1 (en) 2016-06-03 2017-05-08 A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates

Publications (3)

Publication Number Publication Date
JP2019517736A true JP2019517736A (en) 2019-06-24
JP2019517736A5 JP2019517736A5 (en) 2020-06-18
JP7190905B2 JP7190905B2 (en) 2022-12-16

Family

ID=61725115

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018562373A Active JP7190905B2 (en) 2016-06-03 2017-05-08 A vacuum platform having a processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates

Country Status (3)

Country Link
JP (1) JP7190905B2 (en)
KR (1) KR102196746B1 (en)
TW (1) TWI703665B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020017661A (en) * 2018-07-26 2020-01-30 株式会社アルバック Oxide film removal method and oxide film removal device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009503818A (en) * 2005-07-19 2009-01-29 アプライド マテリアルズ インコーポレイテッド Method and apparatus for semiconductor processing
JP2009516920A (en) * 2005-11-22 2009-04-23 アプライド マテリアルズ インコーポレイテッド Apparatus and method for cleaning a dielectric film
JP2014511575A (en) * 2011-03-01 2014-05-15 アプライド マテリアルズ インコーポレイテッド Detoxification and stripping chamber in a dual load lock configuration
WO2015020792A1 (en) * 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
WO2015069428A1 (en) * 2013-11-06 2015-05-14 Applied Materials, Inc. Particle generation suppressor by dc bias modulation
US20150262869A1 (en) * 2014-03-11 2015-09-17 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
TWI643971B (en) * 2014-01-05 2018-12-11 美商應用材料股份有限公司 Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009503818A (en) * 2005-07-19 2009-01-29 アプライド マテリアルズ インコーポレイテッド Method and apparatus for semiconductor processing
JP2009516920A (en) * 2005-11-22 2009-04-23 アプライド マテリアルズ インコーポレイテッド Apparatus and method for cleaning a dielectric film
JP2014511575A (en) * 2011-03-01 2014-05-15 アプライド マテリアルズ インコーポレイテッド Detoxification and stripping chamber in a dual load lock configuration
WO2015020792A1 (en) * 2013-08-09 2015-02-12 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
WO2015069428A1 (en) * 2013-11-06 2015-05-14 Applied Materials, Inc. Particle generation suppressor by dc bias modulation
US20150262869A1 (en) * 2014-03-11 2015-09-17 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020017661A (en) * 2018-07-26 2020-01-30 株式会社アルバック Oxide film removal method and oxide film removal device
JP7114384B2 (en) 2018-07-26 2022-08-08 株式会社アルバック Oxide Film Removal Method and Oxide Film Removal Apparatus

Also Published As

Publication number Publication date
KR102196746B1 (en) 2020-12-30
TWI703665B (en) 2020-09-01
JP7190905B2 (en) 2022-12-16
TW201801232A (en) 2018-01-01
KR20190016537A (en) 2019-02-18

Similar Documents

Publication Publication Date Title
JP7046162B2 (en) Epitaxy system integrated with highly selective oxide removal and high temperature pollutant removal
KR102619574B1 (en) Method and apparatus for low temperature selective epitaxy in deep trenches
US20170350038A1 (en) Vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
JP6272934B2 (en) Epitaxial deposition process and apparatus
US10428441B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
TWI687966B (en) Method of processing substrate and vacuum processing system and apparatus
TWI455194B (en) Method and apparatus for cleaning a substrate surface
WO2017053126A1 (en) Cleaning method
TW202118357A (en) Thin film deposition chamber, multi-functional shutter disk and method for using the multi-functional shutter disk
TW202221825A (en) Wafer edge temperature correction in batch thermal process chamber
JP7190905B2 (en) A vacuum platform having a processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates
WO2017209900A1 (en) A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200508

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200508

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210413

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210414

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221027

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221206

R150 Certificate of patent or registration of utility model

Ref document number: 7190905

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150