JP2018534777A - 半導体製造のウエハ処理用の高生産性pecvdツール - Google Patents

半導体製造のウエハ処理用の高生産性pecvdツール Download PDF

Info

Publication number
JP2018534777A
JP2018534777A JP2018521241A JP2018521241A JP2018534777A JP 2018534777 A JP2018534777 A JP 2018534777A JP 2018521241 A JP2018521241 A JP 2018521241A JP 2018521241 A JP2018521241 A JP 2018521241A JP 2018534777 A JP2018534777 A JP 2018534777A
Authority
JP
Japan
Prior art keywords
chamber
substrate support
cluster tool
showerhead
support assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2018521241A
Other languages
English (en)
Inventor
リン ジャン
リン ジャン
シュエソン ル
シュエソン ル
アンドリュー ヴイ レ
アンドリュー ヴイ レ
ジェン ユアン
ジェン ユアン
ジャンソク オ
ジャンソク オ
ジョセフ ジャミル ファラー
ジョセフ ジャミル ファラー
ロンピン ワン
ロンピン ワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018534777A publication Critical patent/JP2018534777A/ja
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本開示の実施形態は一般に、半導体基板を処理するクラスタツールに関する。一実施形態では、クラスタツールが、移送チャンバに接続された複数のプロセスチャンバを含み、それぞれのプロセスチャンバが、同時に4つ以上の基板を処理することができる。コストを低減させるため、それぞれのプロセスチャンバは、4つ以上の基板を支持する基板支持体と、基板支持体の上方に配された単一のシャワーヘッドと、シャワーヘッドに電気的に結合された単一の高周波電源とを含む。シャワーヘッドは、基板支持体に面した第1の表面と、第1の表面の反対側の第2の表面とを含むことができる。シャワーヘッド内に、第1の表面から第2の表面まで延びる複数のガス通路を形成することができる。シャワーヘッドの中心からシャワーヘッドの端に向かってガス通路の密度を増大させることにより、プロセス均一性が向上する。【選択図】図1A

Description

本開示の実施形態は一般に、半導体基板を処理するクラスタツールに関する。
半導体処理における基板スループットは常にある課題である。技術が進歩するものであるならば、半導体基板を効率的に処理する必要性は絶えず存在する。クラスタツールは、真空を中断することなしに同時に多数の基板を処理する効果的な手段として発展してきた。単一の基板を処理し、次いで別のチャンバに移送する間、基板を大気にさらす代わりに、多数のプロセスチャンバを共通の移送チャンバに接続して、1つのプロセスチャンバ内でその基板に対するプロセスが完了したときに、その基板を、真空下に置いたまま、同じ移送チャンバに結合された別のプロセスチャンバに移動させることができるようにすることができる。
スループットをさらに向上させ、コストをさらに低減させるために、一度に2つ以上の基板、例えば一度に2つの基板をそれぞれのプロセスチャンバが処理することができるようにすることができる。しかしながら、1つのプロセスチャンバ内で一度に2つ以上の基板を処理するときには均一性が問題になることがある。
したがって、スループットを増大させ、コストを低減させ、プロセス均一性を維持する改良されたクラスタツールが求められている。
本開示の実施形態は一般に、半導体基板を処理するクラスタツールに関する。一実施形態では、クラスタツールが、移送チャンバに接続された複数のプロセスチャンバを含み、それぞれのプロセスチャンバが、同時に4つ以上の基板を処理することができる。コストを低減させるため、それぞれのプロセスチャンバは、4つ以上の基板を支持する基板支持体と、基板支持体の上方に配された単一のシャワーヘッドと、シャワーヘッドに電気的に結合された単一の高周波電源とを含む。シャワーヘッドは、基板支持体に面した第1の表面と、第1の表面の反対側の第2の表面とを含むことができる。シャワーヘッド内に、第1の表面から第2の表面まで延びる複数のガス通路を形成することができる。シャワーヘッドの中心からシャワーヘッドの端に向かってガス通路の密度を増大させることにより、プロセス均一性が向上する。
別の実施形態では、クラスタツールが、移送チャンバと、移送チャンバに結合されたロードロックチャンバと、移送チャンバに結合された複数のプロセスチャンバとを含む。これらの複数のプロセスチャンバのうちのそれぞれのプロセスチャンバは、チャンバ壁と、チャンバ壁の内側に配された基板支持アセンブリとを含む。基板支持アセンブリは、4つ以上の基板支持体を含む。プロセスチャンバは、チャンバ壁の内側に配されたシャワーヘッドをさらに含み、シャワーヘッドは、4つ以上の基板支持体の上方に配されている。
別の実施形態では、クラスタツールが、移送チャンバと、移送チャンバに結合されたロードロックチャンバと、移送チャンバに結合された複数のプロセスチャンバとを含む。これらの複数のプロセスチャンバのうちのそれぞれのプロセスチャンバは、チャンバ壁と、チャンバ壁の内側に配された基板支持アセンブリとを含む。基板支持アセンブリは、4つ以上の基板支持体を含む。プロセスチャンバは、チャンバ壁の内側に配されたシャワーヘッドをさらに含む。シャワーヘッドは、基板支持アセンブリに面した第1の表面を含み、第1の表面は湾曲(curvature)を有する。
別の実施形態では、クラスタツールが、移送チャンバと、移送チャンバに結合されたロードロックチャンバと、移送チャンバに結合された複数のプロセスチャンバとを含む。これらの複数のプロセスチャンバのうちのそれぞれのプロセスチャンバは、チャンバ壁と、チャンバ壁の内側に配された基板支持アセンブリとを含む。基板支持アセンブリは、4つ以上の基板支持体を含む。プロセスチャンバは、チャンバ壁の内側に配されたシャワーヘッドをさらに含む。シャワーヘッドは、基板支持アセンブリに面した第1の表面と、第1の表面の反対側の第2の表面と、第1の表面から第2の表面まで延びる複数のガス通路とを含む。これらの複数のガス通路のうちのそれぞれのガス通路は、第1のボア(bore)と、第1のボアに結合されたオリフィス孔と、オリフィス孔に結合された第2のボアとを含む。
別の実施形態では、クラスタツールが、移送チャンバと、移送チャンバに結合されたロードロックチャンバと、移送チャンバに結合された複数のプロセスチャンバとを含む。これらの複数のプロセスチャンバのうちのそれぞれのプロセスチャンバは、チャンバ壁と、チャンバ壁の内側に配された基板支持アセンブリとを含む。基板支持アセンブリは、4つ以上の基板支持体を含む。プロセスチャンバは、チャンバ壁の内側に配されたシャワーヘッドをさらに含む。シャワーヘッドは、基板支持アセンブリに面した第1の表面を含み、第1の表面は湾曲を有する。それぞれのプロセスチャンバは、リッド(lid)と、リッドの上方に配された整合ネットワーク(matching network)と、シャワーヘッドに結合されたバッキング板と、整合ネットワークからバッキング板まで延びる可撓性高周波供給線(flexible radio frequency feed)とをさらに含む。可撓性高周波供給線は、プロセスチャンバの垂直軸に対してある角度をなす。
上に挙げた本開示の特徴を詳細に理解することができるように、そのうちのいくつかが添付図面に示された実施形態を参照することによって、上に簡潔に概要を示した本開示をより具体的に説明する。しかしながら、添付図面は典型的な実施形態だけを示したものであり、したがって添付図面を本開示の範囲を限定するものと考えるべきではないことに留意すべきである。本開示の範囲は、等しく効果的な他の実施形態を受け入れる可能性がある。
本明細書に記載された実施形態に基づくクラスタツールを概略的に示す図である。 本明細書に記載された実施形態に基づくクラスタツールを概略的に示す図である。 本明細書に記載された実施形態に基づくクラスタツールを概略的に示す図である。 本明細書に記載された実施形態に基づくクラスタツールを概略的に示す図である。 本明細書に記載された実施形態に基づくプロセスチャンバを概略的に示す図である。 本明細書に記載された実施形態に基づくプロセスチャンバを概略的に示す図である。 本明細書に記載された実施形態に基づくプロセスチャンバを概略的に示す図である。 本明細書に記載された実施形態に基づくプロセスチャンバを概略的に示す図である。 本明細書に記載された実施形態に基づくプロセスチャンバの概略断面図である。 本明細書に記載された実施形態に基づくシャワーヘッドの部分側断面図である。 本明細書に記載された実施形態に基づくシャワーヘッドの一部分の概略側断面図である。 本明細書に記載された実施形態に基づくシャワーヘッドの一部分の概略側断面図である。 本明細書に記載された実施形態に基づくシャワーヘッドの一部分の概略側断面図である。 本明細書に記載された実施形態に基づくシャワーヘッドの一部分の概略側断面図である。 本明細書に記載されたさまざまな実施形態に基づくガス通路の概略側断面図である。 本明細書に記載されたさまざまな実施形態に基づくガス通路の概略側断面図である。 本明細書に記載されたさまざまな実施形態に基づくガス通路の概略側断面図である。 本明細書に記載されたさまざまな実施形態に基づくガス通路の概略側断面図である。 本明細書に記載されたさまざまな実施形態に基づくガス通路の概略側断面図である。 本明細書に記載されたさまざまな実施形態に基づくガス通路の概略側断面図である。 本明細書に記載された実施形態に基づくシャワーヘッドの概略下面図である。 本明細書に記載されたさまざまな実施形態に基づくシャワーヘッドの概略側断面図である。 本明細書に記載されたさまざまな実施形態に基づくシャワーヘッドの概略側断面図である。 本明細書に記載されたさまざまな実施形態に基づくシャワーヘッドの概略側断面図である。 本明細書に記載された実施形態に基づくプロセスチャンバの概略断面図である。 本明細書に記載された実施形態に基づくバッキング板の概略上面図である。 本明細書に記載された実施形態に基づくバッキング板の概略上面図である。
理解を容易にするため、可能な場合には、上記の図に共通する同一の要素を示すのに同一の参照符号を使用した。特段の言及なしに、1つの実施形態の要素および特徴を他の実施形態に有益に組み込むことができることが企図される。
本開示の実施形態は一般に、半導体基板を処理するクラスタツールに関する。一実施形態では、クラスタツールが、移送チャンバに接続された複数のプロセスチャンバを含み、それぞれのプロセスチャンバが、同時に4つ以上の基板を処理することができる。コストを低減させるため、それぞれのプロセスチャンバは、4つ以上の基板を支持する基板支持体と、基板支持体の上方に配された単一のシャワーヘッドと、シャワーヘッドに電気的に結合された単一の高周波電源とを含む。シャワーヘッドは、基板支持体に面した第1の表面と、第1の表面の反対側の第2の表面とを含むことができる。シャワーヘッド内に、第1の表面から第2の表面まで延びる複数のガス通路を形成することができる。シャワーヘッドの中心からシャワーヘッドの端に向かってガス通路の密度を増大させることにより、プロセス均一性が向上する。
図1A〜図1Dは、本明細書に記載された1つの実施形態に基づくクラスタツール100を概略的に示す。図1Aに示されているように、クラスタツール100は、ファクトリインターフェース102と、ファクトリインターフェース102に結合されたロードロックチャンバ104と、ロードロックチャンバ104に結合された移送チャンバ106と、移送チャンバ106に結合された複数のプロセスチャンバ108とを含むことができる。ロードロックチャンバ104からプロセスチャンバ108へ、またはプロセスチャンバ108からロードロックチャンバ104へ基板を移送するため、移送チャンバ106内にロボット110を配することができる。図1Aに示されているように、移送チャンバ106は長方形とすることができる。この移送チャンバ106には6つのプロセスチャンバ108が結合されている。いくつかの実施形態では、移送チャンバ106に7つ以上のプロセスチャンバ108が結合される。
図1Bは、別の実施形態に基づくクラスタツール100を概略的に示す。図1Bに示されているように、このクラスタツール100は、長方形の移送チャンバ106の代わりに七角形の移送チャンバ112を含む。6つのプロセスチャンバ108およびロードロックチャンバ104がそれぞれ、七角形の移送チャンバ112の側面に結合されている。いくつかの実施形態では、追加のプロセスチャンバ108を移送チャンバ112に結合するために、移送チャンバ112がより多くの側面を含むことができる。図1Aおよび1Bに示されたプロセスチャンバ108は長方形または正方形である。いくつかの実施形態では、プロセスチャンバが、円形など、長方形以外とすることができる。図1Cは、長方形以外の形状を有する複数のプロセスチャンバ114が移送チャンバ106に結合されたクラスタツール100を概略的に示す。移送チャンバ106に適切に結合するため、それぞれのプロセスチャンバ114と移送チャンバ106との間にアダプタ116を利用することができる。図1Dは、長方形以外の形状を有する複数のプロセスチャンバ114が移送チャンバ112に結合されたクラスタツール100を概略的に示す。この場合も、移送チャンバ112にプロセスチャンバ114を結合するためにアダプタ116が利用されている。図1A、図1B、図1C、図1Dに示されたクラスタツール100は1つのロードロックチャンバ104を含む。2つ以上のロードロックチャンバを含む従来のクラスタツールに比べて、1つのロードロックチャンバ104を有するクラスタツール100のコストは低い。
スループットを増大させるため、移送チャンバに6つ以上のプロセスチャンバ108/114が結合され、それぞれのプロセスチャンバ108/114は4つ以上の基板を処理することができる。図2Aおよび図2Bは、本明細書に記載された実施形態に基づくプロセスチャンバ108/114を概略的に示す。図2Aに示されているように、プロセスチャンバ108は長方形または正方形であり、チャンバ壁202を有する。チャンバ108内には基板支持アセンブリ204が配されている。基板支持アセンブリ204は、9つの基板支持体206など4つ以上の基板支持体206を含むことができる。それぞれの基板支持体206は基板208を支持するように構成されている。動作時、それぞれの基板支持体206は、基板支持体206上に配された基板208を回転させるために回転することができる。基板支持体206のこの回転は、一方向の連続回転または両方向の振動とすることができ、この両方向の振動は例えば、180度回転した後に回転方向を変化させることである。一実施形態では、プロセスチャンバ108が、酸化物/窒化物膜スタックまたは酸化物/多結晶シリコン膜スタックを堆積させるための堆積チャンバである。基板支持体206を回転させると、堆積膜スタックの厚さ均一性を向上させることができる。いくつかの実施形態では、高温プロセスのために、基板支持アセンブリ204を、最高摂氏700度などの高温に加熱することができる。したがって、基板支持アセンブリ204は、高温レジーム(regime)に耐えることができるAlN、Al23、セラミックコーティングを有する黒鉛などの材料から製作することができる。フッ素を含むプラズマなどのプラズマに耐えることができる材料で基板支持アセンブリ204をコーティングすることができる。このコーティング材料は、AlO、Y23、YAlO、AsMyなど、適当な任意の材料とすることができる。
図2Bは、本明細書に記載された実施形態に基づくプロセスチャンバ114を概略的に示す。プロセスチャンバ114は、円形の基板支持アセンブリ210を含む。基板支持アセンブリ210は、9つの基板支持体212など4つ以上の基板支持体212を含むことができる。それぞれの基板支持体212は基板208を支持するように構成されている。基板208のロード(loading)およびアンロード(unloading)時、ならびに酸化物/窒化物膜スタックの堆積などの動作時に、基板支持アセンブリ210を回転させることができる。この場合も、それぞれの基板支持体212は、基板支持体212上に配された基板208を回転させるために回転することができる。基板支持体212のこの回転は、一方向の連続回転または両方向の振動とすることができ、この両方向の振動は例えば、180度回転した後に回転方向を変化させることである。基板支持アセンブリ210および基板支持体212を回転させると、厚さ均一性などの膜特性均一性を向上させることができる。基板208のロードおよびアンロード時には、一度に1つまたは一度に2つの基板208をロード/アンロードすることができる。1つまたは2つの基板208のロード/アンロード間に基板支持アセンブリ210を回転させることができる。
図2Cは、本明細書に記載された別の実施形態に基づくプロセスチャンバ108を概略的に示す。チャンバ壁(図示せず)の内側に基板支持アセンブリ214が配されている。基板支持アセンブリ214は、主支持体215と、9つの基板支持体216など4つ以上の基板支持体216とを含むことができる。それぞれの基板支持体216は基板208を支持するように構成されている。それぞれの基板支持体216と主支持体215との間に間隙218を形成することができる。プロセスチャンバ108は、基板支持アセンブリ214の下方に置かれたポンプ220を含むことができ、基板支持アセンブリ214に関して中心に位置することができる。間隙218を通ってポンプ220にプロセスガスが流れることができる。ポンプ220は基板支持アセンブリ214の中心の下方に置かれているため、間隙218を通るプロセスガス流は均一である(すなわちそれぞれの間隙218を通るガスの流量は同じである)。間隙218がある結果、チャンバ境界の非対称性によって誘起される基板208上のプロセスガス流の不均一性が排除または最小化される。この場合も、動作時、それぞれの基板支持体216は、基板支持体216上に配された基板208を回転させるために回転することができる。基板支持体216のこの回転は、一方向の連続回転または両方向の振動とすることができ、この両方向の振動は例えば、180度回転した後に回転方向を変化させることである。いくつかの実施形態では、高温プロセスのために、それぞれの基板支持体216を、最高摂氏700度などの高温に加熱することができる。したがって、基板支持体216は、高温レジームに耐えることができるAlN、Al23、セラミックコーティングを有する黒鉛などの材料から製作することができる。フッ素を含むプラズマなどのプラズマに耐えることができる材料で基板支持体216をコーティングすることができる。このコーティング材料は、AlO、Y23、YAlO、AsMyなど、適当な任意の材料とすることができる。
図2Dは、本明細書に記載された別の実施形態に基づくプロセスチャンバ114を概略的に示す。プロセスチャンバ114は、円形の基板支持アセンブリ222を含む。基板支持アセンブリ222は、主支持体224と、9つの基板支持体226など4つ以上の基板支持体226とを含むことができる。それぞれの基板支持体226は基板208を支持するように構成されている。それぞれの基板支持体226と主支持体224との間に間隙228を形成することができる。プロセスチャンバ114は、基板支持アセンブリ222の下方に置かれたポンプ230を含むことができ、基板支持アセンブリ222に関して中心に位置することができる。間隙228を通ってポンプ230にプロセスガスが流れることができる。ポンプ230は基板支持アセンブリ222の中心の下方に置かれているため、間隙228を通るプロセスガス流は均一である(すなわちそれぞれの間隙228を通るガスの流量は同じである)。間隙228がある結果、チャンバ境界の非対称性によって誘起される基板208上のプロセスガス流の不均一性が排除または最小化される。酸化物/窒化物膜スタックの堆積などの動作時に、基板支持体226上に配された基板208を回転させるために、基板支持体226を回転させることができる。基板支持体226のこの回転は、一方向の連続回転または両方向の振動とすることができ、この両方向の振動は例えば、180度回転した後に回転方向を変化させることである。いくつかの実施形態では、高温プロセスのために、それぞれの基板支持体226を、最高摂氏700度などの高温に加熱することができる。したがって、基板支持体226は、高温レジームに耐えることができるAlN、セラミックコーティングを有する黒鉛などの材料から製作することができる。フッ素を含むプラズマなどのプラズマに耐えることができる材料で基板支持体226をコーティングすることができる。このコーティング材料は、AlO、Y23、YAlO、AsMyなど、適当な任意の材料とすることができる。
図3は、本明細書に記載された実施形態に基づくプロセスチャンバ300の概略断面図である。プロセスチャンバ300は、図2Aおよび図2Bに示されたプロセスチャンバ108またはプロセスチャンバ114とすることができる。プロセスチャンバ300は、酸化物層と窒化物層の互層であるスタック、酸化物層と多結晶シリコン層の互層であるスタックなどの誘電体膜スタックを堆積させる目的に利用されるプラズマ化学気相堆積(PECVD)チャンバとすることができる。図3に示されているように、プロセスチャンバ300は、チャンバ壁302と、チャンバ壁302の内側に配された基板支持アセンブリ304と、チャンバ壁302の内側に配されたシャワーヘッド306とを含む。基板支持アセンブリ304は、それぞれ図2A、図2B、図2Cまたは図2Dに示された基板支持アセンブリ204、基板支持アセンブリ210、基板支持アセンブリ214または基板支持アセンブリ222と同じ基板支持アセンブリとすることができる。基板支持アセンブリ304の基板支持体206/212/216/226上に4つ以上の基板208を配することができる。コストを低減させるため、4つの基板208を処理するのに単一のシャワーヘッド306が使用され、そのシャワーヘッド306には単一のRF電源308が結合されている。シャワーヘッド306は、基板支持アセンブリ304に面した第1の表面314と、第1の表面314の反対側の第2の表面316とを含む。シャワーヘッド306は基板支持アセンブリ304を覆うことができる。その結果、それらの4つ以上の基板支持体206/212/216/226は単一のシャワーヘッド306によって覆われる。言い換えると、それらの4つ以上の基板支持体206/212/216/226は単一のシャワーヘッド306の真下とすることができる。1種または数種のプロセスガスをプロセスチャンバ300内に送達するため、シャワーヘッド306にガス源310を結合することができる。解離したフッ素などの洗浄剤をプロセスチャンバ300内に送達して、シャワーヘッド306を含むプロセスチャンバハードウェアから堆積副生物および膜を除去するため、シャワーヘッド306に遠隔プラズマ源312を結合することもできる。
シャワーヘッド306は通常、ステンレス鋼、アルミニウム(Al)、陽極酸化アルミニウム、ニッケル(Ni)または他のRF伝導性材料から製作される。シャワーヘッド306は、鋳造、ろう付け、鍛造、ホットアイソスタティック成形または焼結によって製作することができる。シャワーヘッド306は円形とすることができ、または長方形、正方形などの多角形とすることができる。
図4は、本明細書に記載された実施形態に基づくシャワーヘッド306の部分側断面図である。シャワーヘッド306は、基板支持アセンブリ304に面した第1の表面314と、第1の表面314の反対側の第2の表面316とを含む。シャワーヘッド306内に、第1の表面314から第2の表面316まで延びる複数のガス通路402を形成することができる。それぞれのガス通路402は、オリフィス孔414によって第2のボア412に結合された第1のボア410によって画定され、第1のボア410、オリフィス孔414および第2のボア412は結合して、シャワーヘッド306を貫く流体経路を形成する。第1のボア410は、シャワーヘッド306の第2の表面316から底部418まで、第1の深さ430を延ばす。ガスが第1のボア410からオリフィス孔414に流入するときの流れの制限(flow restriction)を最小化するため、第1のボア410の底部418はテーパ、斜面(bevel)、チャンファー(chamfer)または丸みを有することができる。第1のボア410は一般に約0.093〜約0.218インチの直径を有し、一実施形態では、第1のボア410が約0.156インチである。
第2のボア412は、シャワーヘッド306内に形成されており、第1の表面314から、約0.10インチ〜約2.0インチの深さ432まで延びている。一実施形態では、深さ432が、約0.1インチ〜約1.0インチの間である。第2のボア412の直径436は一般に約0.1インチ〜約1.0インチであり、約10度〜約50度の角度416のフレアを形成することができる。一実施形態では、直径436が約0.1インチ〜約0.5インチの間であり、フレア角(flaring angle)416が20度〜約40度の間である。第2のボア412の表面は、約0.05インチ2〜約5インチ2の間など、約0.05インチ2〜約10インチ2の間である。第2のボア412の直径とは第1の表面314における直径である。隣接する第2のボア412の周縁482間の距離480は、約0インチ〜約0.4インチの間など、約0インチ〜約0.6インチの間である。第1のボア410の直径は通常、限定はされないが、少なくとも第2のボア412の直径に等しいかまたは第2のボア412の直径よりも小さい。オリフィス孔414を出て第2のボア412に流入するガスの圧力損失を最小化するために、第2のボア412の底部420はテーパ、斜面、チャンファーまたは丸みを有することができる。
オリフィス孔414は一般に、第1のボア410の底部418と第2のボア412の底部420とを結合する。オリフィス孔414は一般に、約0.01インチ〜約0.1インチなど、約0.01インチ〜約0.3インチの直径を有し、通常、約0.02インチ〜約0.5インチなど、約0.02インチ〜約1.0インチの長さ434を有する。オリフィス孔414の長さ434および直径(または他の幾何学的属性)は、シャワーヘッド306の第2の表面316を横切るガスの均等な分布を促進する、シャワーヘッド306とチャンバリッドとの間の領域の背圧の主要な源である。オリフィス孔414は通常、複数のガス通路402間で均一に構成されるが、シャワーヘッド306の1つのエリアを通るガス流が別のエリアを通るガス流よりも多くなることを促進するように、オリフィス孔414による制限を、ガス通路402間で異なって構成することもできる。例えば、プロセスチャンバ300のチャンバ壁302により近いシャワーヘッド306のガス通路402のオリフィス孔414の直径および/または長さ434をより大きく/より短くして、シャワーヘッド306の端を通ってより多くのガスが流れるようにすることができる。プロセスチャンバ300内で同時に4つの基板208を処理するとき、第1のボア410、第2のボア412およびオリフィス孔414を有するシャワーヘッド306は、それぞれの基板208へのガス送達を最適化し、プラズマの発生および分布を最適化することができる。
ガス通路402の設計はさらに、膜厚均一性および膜特性均一性を向上させることができる。図5A〜図5Dは、本明細書に記載された実施形態に基づくシャワーヘッド306の一部分の概略側断面図である。図5Aに示された直径「D」(または図4の直径436)、深さ「d」(または図4の長さ432)およびフレア角「α」(または図4のフレア角416)を変更することによって、第2のボア412の容積を変化させることができる。直径、深さおよび/またはフレア角を変化させると、第2のボア412の表面積も変化することになる。シャワーヘッド306の端から中心に向かってボア深さ、直径、フレア角またはこれらの3つのパラメータの組合せを低減させることによって、基板208が存在しない基板支持アセンブリ304の中心領域のプラズマ密度を低減させることができる。第2のボア412の深さ、直径および/またはフレア角を低減させると、第2のボア412の表面積も低減する。図5B、図5Cおよび図5Dは、シャワーヘッド306上に配置された3つのガス通路設計を示す。図5B、図5Cおよび図5Dは、同じボア直径を有する設計を示しているが、ボア深さおよびボア全表面積は図5Bの設計が最も大きく、図5Dの設計が最も小さい。ボアフレア角は、最終的なボア直径と整合するように変更されている。図5Bのボア深さは0.7インチ、図5Cのボア深さは0.5インチ、図5Dのボア深さは0.325インチである。一実施形態では、シャワーヘッド306が、中心領域に位置する図5Dに示された第1の複数のガス通路402と、第1の複数のガス通路402を取り囲む図5Cに示された第2の複数のガス通路402と、第2の複数のガス通路402を取り囲む図5Bに示された第3の複数のガス通路とを含む。
図6A〜図6Fは、本明細書に記載されたさまざまな実施形態に基づくガス通路402の概略側断面図である。それぞれのガス通路402は第2のボア412を含むことができる。図6A〜図6Fには、第2のボア412のさまざまな設計が示されている。図5A〜図5Dおよび図6A〜図6Fに示された第2のボア412を有するガス通路402は、プロセス均一性ならびに膜厚均一性および膜特性均一性を向上させるのに役立つ。
ガス通路402の第2のボア412の直径を同一に維持したまま、ガス通路402の密度をシャワーヘッド306を横切って変化させるのは、膜堆積物の厚さ均一性および特性均一性を向上させるためである。ガス通路402の密度は、測定領域におけるシャワーヘッド306の第1の表面314における第2のボア412の開口の全表面を、測定領域におけるシャワーヘッド306の第1の表面314の全表面で除することによって計算される。ガス通路402の密度は、約10%〜約100%まで、好ましくは30%〜約100%まで変化させることができる。内側領域のプラズマ密度を低減させるため、内側領域のガス通路402の密度は外側領域に比べて低くすべきである。均一で滑らかな堆積プロファイルおよび膜特性プロファイルを保証するため、内側領域から外側領域への密度変化は緩やかかつ滑らかであるべきである。図7は、中心(領域A)における低密度から端(領域B)における高密度へのガス通路402の密度の緩やかな変化を示す。中心領域のガス通路402の密度を低くすれば、中心領域のプラズマ密度も低くなるであろう。図7のガス通路402の配置は単に、中心から端に向かって増大するガス通路402の密度を例示するために使用しただけである。ガス通路402の他の配置およびパターンを利用することもできる。密度を変化させるこの発想をガス通路402の設計と組み合わせて、中心から端までの均一性を向上させることもできる。
図8A〜図8Cは、本明細書に記載されたさまざまな実施形態に基づくシャワーヘッド306の概略側断面図である。図8Aに示されているように、このシャワーヘッド306は、基板支持アセンブリ304に面した第1の表面802と、第1の表面802の反対側の第2の表面316とを含む。平面である第1の表面314とは違い、第1の表面802は、図8Aに示されているように、凹面などの湾曲を有することができる。第1の表面802が凹形であると、第1の表面802の中心領域は、第1の表面802の端領域よりも、基板支持アセンブリ304または基板208からさらに遠くなる。他の実施形態では、シャワーヘッド306が、基板支持アセンブリ304に面した第1の表面804と、第1の表面804の反対側の第2の表面316とを有する。図8Bに示されているように、第1の表面804も、凸面などの湾曲を有する。第1の表面804が凸形であると、第1の表面804の中心領域は、第1の表面804の端領域よりも、基板支持アセンブリ304または基板208に近くなる。あるいは、シャワーヘッド306は、基板支持アセンブリ304に面した第1の表面806と、第1の表面806の反対側の第2の表面316とを有する。第1の表面806は、凹形の中心領域808と、凸形の側部領域810とを含むことができる。このようにすると、中心領域808および端領域812は、側部領域810よりも基板208からさらに遠くなる。図8A〜図8Cに示されたさまざまな設計を有するシャワーヘッド306は、プロセス均一性および膜均一性を向上させることができる。
図9は、本明細書に記載された実施形態に基づくプロセスチャンバ900の概略断面図である。プロセスチャンバ900はPECVDチャンバとすることができ、図1A〜図1Dに示されたプロセスチャンバ108または114とすることができる。プロセスチャンバ900は、チャンバ本体902およびリッド904を含むことができる。図2A〜図2Dに示された基板208などの1つまたは複数の基板をロードおよびアンロードするため、チャンバ壁にスリットバルブ開口906を形成することができる。プロセスチャンバ900の水平軸912は、スリットバルブ開口906を貫いて延びることができる。チャンバ本体902内に基板支持アセンブリ910を配することができ、基板支持アセンブリ910の上方にシャワーヘッド908を配することができる。基板支持アセンブリ910は、図2A〜図2Dに示された基板支持アセンブリ204、210、214または222とすることができ、シャワーヘッド908は、図3に示されたシャワーヘッド306とすることができる。シャワーヘッド908の裏側にバッキング板909を結合することができ、バッキング板909はリッド904に面することができる。シャワーヘッド908を介して1種または数種のプロセスガスをプロセスチャンバ300内に送達するため、バッキング板909にガス源911を結合することができる。
図9に示されているように、リッド904の上方に、リッド904によって支持された整合ネットワークなどの整合ネットワーク916を配することができる。整合ネットワーク916は、導体915によって高周波(RF)源914に電気的に接続することができる。導体915を管913が取り囲んでもよい。RF源914によってRF電力を発生させ、そのRF電力を、可撓性RF供給線918によってバッキング板909に供給することができる。可撓性RF供給線918は、整合ネットワーク916に電気的に結合された第1の端部922と、バッキング板909に電気的に結合された第2の端部924とを有することができる。可撓性RF供給線918は、銅ストリップ(copper strip)などの可撓性の導電性材料から製作することができる。可撓性RF供給線918は、約0.2mm〜約1.5mmの範囲の厚さ、約10cm〜約20cmの範囲の長さ、および約10cm〜約20cmの範囲の幅を有することができる。可撓性RF供給線918は、整合ネットワーク916からバッキング板909まで延びることができ、プロセスチャンバ900の垂直軸920に対して(零度よりも大きな)ある角度をなすことができる。(スリットバルブ開口906があることに起因する)チャンバ境界の非対称性によって誘起されるプラズマ不均一性を低減させるため、可撓性RF供給線918の第2の端部924を、可撓性RF供給線918の可撓性により、バッキング板909上の異なる位置に結合することができる。
図10A〜図10Bは、本明細書に記載された実施形態に基づくバッキング板909の概略上面図である。図10Aに示されているように、バッキング板909は長方形とすることができ、リッド904(図9)に面した頂面1002を含むことができる。バッキング板909の頂面1002に複数の位置1004を配置することができる。それぞれの位置1004を利用して、可撓性RF供給線918の第2の端部924を固定することができる。一実施形態では、それぞれの位置1004が凹みであり、導電性材料から製作されたねじなどの固定装置(図示せず)を利用して、それらの凹みに、可撓性RF供給線918の第2の端部924を固定する。これらの複数の位置1004は、軸912に沿って整列させることができ、等間隔に配置することができる。
従来、RF供給線は整合ネットワークとバッキング板とを接続することができ、RF供給線は通常、軸920に対して零度である。プロセスチャンバ非対称性(例えばプロセスチャンバの1つの側面に形成されたスリットバルブ開口)が、RF経路の位相のずれを誘起することがあり、それによって高密度プラズマゾーンは中心を外れ、スリットバルブの方へずれる。このプロセスチャンバ非対称性によって生じるプラズマ不均一性を排除または最小化するため、可撓性RF供給線918を、バッキング板909のスリットバルブ開口906により近い位置に電気的に接続することができる。バッキング板909に可撓性RF供給線918を固定する位置1004が複数あることによって、プラズマ均一性を微調整することができる。例えば、バッキング板909の複数の位置1004のうちの1つの位置に可撓性RF供給線918の第2の端部924が結合されたプロセスチャンバ900などのプロセスチャンバがプラズマ不均一性を有するとする。可撓性RF供給線918の第2の端部924をバッキング板909上の異なる位置1004に移動させることによって、プラズマ不均一性を最小化することができる。この可撓性RF供給線918の移動は堆積プロセスの前に実行することができる。
図10Bは、本明細書に記載された別の実施形態に基づくバッキング板909の概略上面図である。図10Bに示されているように、バッキング板909は円形とすることができ、頂面1002を有することができる。この場合も、可撓性RF供給線918の第2の端部924を固定するための複数の位置1004を、バッキング板909の頂面1002に形成することができる。
単一のシャワーヘッドをそれぞれが有する複数のプロセスチャンバを含むクラスタツールは、スループットを増大させるだけでなく、プロセス均一性および膜均一性も向上させる。一実施形態では、それぞれのプロセスチャンバが4つの基板を処理することができ、クラスタツールに6つのプロセスチャンバが含まれる。このクラスタツールは、プロセス均一性および膜均一性を維持しつつ、同時に24個の基板を、低コストで処理することができる。低コストで処理できるのは、それぞれのプロセスチャンバに対して1つのシャワーヘッドおよび1つのRF電源が利用されるためである。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく本開示の他の追加の実施形態を考案することができる。本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 移送チャンバと、
    前記移送チャンバに結合されたロードロックチャンバと、
    前記移送チャンバに結合された複数のプロセスチャンバと
    を備え、前記複数のプロセスチャンバのうちのそれぞれのプロセスチャンバが、
    チャンバ壁と、
    前記チャンバ壁の内側に配された基板支持アセンブリであり、4つ以上の基板支持体を備える基板支持アセンブリと、
    前記チャンバ壁の内側に配されたシャワーヘッドであり、前記4つ以上の基板支持体の上方に配されたシャワーヘッドと
    を備える
    クラスタツール。
  2. 前記複数のプロセスチャンバが6つのプロセスチャンバを含む、請求項1に記載のクラスタツール。
  3. 前記シャワーヘッドが、前記基板支持アセンブリに面した第1の表面と、前記第1の表面の反対側の第2の表面とを含む、請求項1に記載のクラスタツール。
  4. 前記第1の表面が湾曲を有する、請求項3に記載のクラスタツール。
  5. 前記基板支持アセンブリが、主支持体と、前記主支持体とそれぞれの基板支持体との間に形成された間隙とをさらに備える、請求項1に記載のクラスタツール。
  6. それぞれのプロセスチャンバが、
    リッドと、
    前記リッドの上方に配された整合ネットワークと、
    前記シャワーヘッドに結合されたバッキング板と、
    前記整合ネットワークから前記バッキング板まで延びる可撓性高周波供給線であり、前記プロセスチャンバの垂直軸に対してある角度をなす可撓性高周波供給線と
    をさらに備える、請求項1に記載のクラスタツール。
  7. 前記バッキング板が、前記リッドに面した表面と、前記バッキング板の前記表面に位置する複数の位置とを備え、前記複数の位置のうちの1つの位置が前記可撓性高周波供給線に接続された、請求項6に記載のクラスタツール。
  8. 移送チャンバと、
    前記移送チャンバに結合されたロードロックチャンバと、
    前記移送チャンバに結合された複数のプロセスチャンバと
    を備え、前記複数のプロセスチャンバのうちのそれぞれのプロセスチャンバが、
    チャンバ壁と、
    前記チャンバ壁の内側に配された基板支持アセンブリであり、4つ以上の基板支持体を備える基板支持アセンブリと、
    前記チャンバ壁の内側に配されたシャワーヘッドであり、前記基板支持アセンブリに面した第1の表面を備え、前記第1の表面が湾曲を有するシャワーヘッドと
    を備える
    クラスタツール。
  9. 前記シャワーヘッドが、前記第1の表面の反対側の第2の表面をさらに備える、請求項8に記載のクラスタツール。
  10. 前記シャワーヘッドが、前記第1の表面から前記第2の表面まで延びる複数のガス通路をさらに備える、請求項9に記載のクラスタツール。
  11. 前記複数のガス通路のうちのそれぞれのガス通路が、
    第1のボアと、
    前記第1のボアに結合されたオリフィス孔と、
    前記オリフィス孔に結合された第2のボアと
    を備える、請求項10に記載のクラスタツール。
  12. 前記4つ以上の基板支持体のうちのそれぞれの基板支持体が回転可能である、請求項8に記載のクラスタツール。
  13. 前記4つ以上の基板支持体のうちのそれぞれの基板支持体が、一方向に連続的に回転することができる、請求項12に記載のクラスタツール。
  14. 前記4つ以上の基板支持体のうちのそれぞれの基板支持体が、両方向に振動することができる、請求項12に記載のクラスタツール。
  15. 移送チャンバと、
    前記移送チャンバに結合されたロードロックチャンバと、
    前記移送チャンバに結合された複数のプロセスチャンバと
    を備え、前記複数のプロセスチャンバのうちのそれぞれのプロセスチャンバが、
    チャンバ壁と、
    前記チャンバ壁の内側に配された基板支持アセンブリであり、4つの基板支持体を備える基板支持アセンブリと、
    前記チャンバ壁の内側に配されたシャワーヘッドと
    を備え、前記シャワーヘッドが、
    前記基板支持アセンブリに面した第1の表面と、
    前記第1の表面の反対側の第2の表面と、
    前記第1の表面から前記第2の表面まで延びる複数のガス通路と
    を備え、前記複数のガス通路のうちのそれぞれのガス通路が、
    第1のボアと、
    前記第1のボアに結合されたオリフィス孔と、
    前記オリフィス孔に結合された第2のボアと
    を備える
    クラスタツール。
JP2018521241A 2015-10-26 2016-10-11 半導体製造のウエハ処理用の高生産性pecvdツール Abandoned JP2018534777A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562246292P 2015-10-26 2015-10-26
US62/246,292 2015-10-26
US201662277719P 2016-01-12 2016-01-12
US62/277,719 2016-01-12
PCT/US2016/056354 WO2017074700A1 (en) 2015-10-26 2016-10-11 High productivity pecvd tool for wafer processing of semiconductor manufacturing

Publications (1)

Publication Number Publication Date
JP2018534777A true JP2018534777A (ja) 2018-11-22

Family

ID=58558421

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018521241A Abandoned JP2018534777A (ja) 2015-10-26 2016-10-11 半導体製造のウエハ処理用の高生産性pecvdツール

Country Status (6)

Country Link
US (1) US20170114462A1 (ja)
JP (1) JP2018534777A (ja)
KR (1) KR20180063345A (ja)
CN (1) CN108140551A (ja)
TW (1) TW201717262A (ja)
WO (1) WO2017074700A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022074076A (ja) * 2020-10-30 2022-05-17 台湾ナノカーボンテクノロジー股▲ふん▼有限公司 プラズマ支援原子層堆積技術を用いて製造された半導体デバイス及びその方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
JP6972852B2 (ja) * 2017-05-23 2021-11-24 東京エレクトロン株式会社 真空搬送モジュール及び基板処理装置
WO2019096425A1 (en) * 2017-11-20 2019-05-23 Applied Materials, Inc. Substrate support for processing a substrate, vacuum processing apparatus and substrate processing system
KR102560283B1 (ko) 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
WO2020112329A1 (en) * 2018-11-30 2020-06-04 Applied Materials, Inc. Film stack overlay improvement for 3d nand application
CN113490765A (zh) * 2019-03-08 2021-10-08 应用材料公司 用于处理腔室的多孔喷头
US11355325B2 (en) 2020-05-28 2022-06-07 Applied Materials, Inc. Methods and systems for monitoring input power for process control in semiconductor process systems
US20230020539A1 (en) * 2021-07-13 2023-01-19 Applied Materials, Inc. Symmetric semiconductor processing chamber

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
US20030079983A1 (en) * 2000-02-25 2003-05-01 Maolin Long Multi-zone RF electrode for field/plasma uniformity control in capacitive plasma sources
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
CN100358098C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
US20070221128A1 (en) * 2006-03-23 2007-09-27 Soo Young Choi Method and apparatus for improving uniformity of large-area substrates
CN102598876B (zh) * 2009-11-17 2018-05-04 应用材料公司 具有电极处rf匹配的大面积等离子体处理腔室
US9869021B2 (en) * 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US20140261168A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Multiple chamber module and platform in semiconductor process equipment
US10580623B2 (en) * 2013-11-19 2020-03-03 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022074076A (ja) * 2020-10-30 2022-05-17 台湾ナノカーボンテクノロジー股▲ふん▼有限公司 プラズマ支援原子層堆積技術を用いて製造された半導体デバイス及びその方法
JP7263484B2 (ja) 2020-10-30 2023-04-24 台湾ナノカーボンテクノロジー股▲ふん▼有限公司 プラズマ支援原子層堆積技術を用いて製造された半導体デバイス及びその方法

Also Published As

Publication number Publication date
CN108140551A (zh) 2018-06-08
TW201717262A (zh) 2017-05-16
KR20180063345A (ko) 2018-06-11
WO2017074700A1 (en) 2017-05-04
US20170114462A1 (en) 2017-04-27

Similar Documents

Publication Publication Date Title
JP2018534777A (ja) 半導体製造のウエハ処理用の高生産性pecvdツール
JP7487360B2 (ja) ボトムリング
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
TWI810138B (zh) 可運動的邊緣環設計
US11443975B2 (en) Planar substrate edge contact with open volume equalization pathways and side containment
JP2007513255A (ja) 基板ヒーターアセンブリ
US11335591B2 (en) Thermal process chamber lid with backside pumping
WO2022225797A1 (en) Backside deposition prevention on substrates
US20210202217A1 (en) Edge ring, substrate processing apparatus having the same and method of manufacturing semiconductor device using the apparatus
JP2023507091A (ja) 端部/中央部の不均一性を軽減するためにウエハの外周近傍に凹部を備えた半導体処理チャック
US20230272529A1 (en) Pedestal thermal profile tuning using multiple heated zones and thermal voids
US20230352278A1 (en) Plasma-exclusion-zone rings for processing notched wafers
US20220162749A1 (en) Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
US20220333239A1 (en) Tunable and non-tunable heat shields to affect temperature distribution profiles of substrate supports
US20210183629A1 (en) Ring assembly, substrate support assembly and substrate processing apparatus
TWI760111B (zh) 底部和中間邊緣環
JP2019163497A (ja) 成膜装置およびそれに用いる載置台

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191008

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20200311