JP2018164113A - Capacitor using middle of line (mol) conductive layers - Google Patents

Capacitor using middle of line (mol) conductive layers Download PDF

Info

Publication number
JP2018164113A
JP2018164113A JP2018134843A JP2018134843A JP2018164113A JP 2018164113 A JP2018164113 A JP 2018164113A JP 2018134843 A JP2018134843 A JP 2018134843A JP 2018134843 A JP2018134843 A JP 2018134843A JP 2018164113 A JP2018164113 A JP 2018164113A
Authority
JP
Japan
Prior art keywords
mol
capacitor
layer
conductive layer
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2018134843A
Other languages
Japanese (ja)
Inventor
プル・チダムバラム
Chidambaram Pr
ビン・ヤン
Bin Yang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of JP2018164113A publication Critical patent/JP2018164113A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To solve the problem in which, at 20 nm process technology and beyond, it will no longer be feasible to use Fmom capacitors to provide small value capacitance for IC devices.SOLUTION: A method for fabricating a metal-insulator-metal (MIM) capacitor includes depositing a first middle of line (MOL) conductive layer over a shallow trench isolation (STI) region of a semiconductor substrate. The first MOL conductive layer provides a first plate of the MIM capacitor, and a first set of local interconnects to source and drain regions of a semiconductor device. The method also includes depositing an insulator layer on the first MOL conductive layer as a dielectric layer of the MIM capacitor. The method further includes depositing a second MOL conductive layer on the insulator layer as a second plate of the MIM capacitor.SELECTED DRAWING: Figure 3

Description

本開示は、一般に、キャパシタに関する。より詳細には、本開示は、金属絶縁体金属(metal−insulator−metal)(MIM)キャパシタ、およびミドルオブライン(MOL)導電層を使用したMIMキャパシタを製造する方法に関する。   The present disclosure relates generally to capacitors. More particularly, the present disclosure relates to metal-insulator-metal (MIM) capacitors and methods of manufacturing MIM capacitors using middle-of-line (MOL) conductive layers.

キャパシタは、集積回路において広く使用されている。フィンガー金属酸化物金属(Finger metal oxide metal)(Fmom)キャパシタが、現在のプロセス技術(たとえば、28ナノメートル(nm))で使用される。しかしながら、技術が20nmおよびそれを超えてスケールダウンすると、Fmomキャパシタを実装するために使用されるより細くよりスリムな金属ワイヤの変化はますます激しくなり、Fmom静電容量における変化が激しくなる。   Capacitors are widely used in integrated circuits. Finger metal oxide metal (Fmom) capacitors are used in current process technology (eg, 28 nanometers (nm)). However, as the technology scales down to 20 nm and beyond, the changes in the thinner and slimmer metal wires used to implement Fmom capacitors become increasingly severe and the changes in Fmom capacitance become severe.

現在の集積回路(IC)デバイスでは、有意な量の小さい値(たとえば、20〜30fF)のキャパシタが指定される。これは、45nm、および28nmで始まる、以前のプロセス技術(金属ワイヤ幅/厚におけるより小さい変化(すなわち、より小さい静電容量の変化)のため)で問題ではなかったが、設計コーナーを満たしながら小さい値の静電容量を作製することはかなり困難になる。したがって、20nmおよびそれを超えるプロセス技術で、ICデバイスのための小さい値の静電容量を提供するためにFmomキャパシタを使用することは、もはや可能でない。   In current integrated circuit (IC) devices, a significant amount of small value (eg, 20-30 fF) capacitors are specified. This was not an issue with previous process technologies (because of smaller changes in metal wire width / thickness (ie smaller capacitance changes)) starting at 45 nm and 28 nm, while meeting the design corner It becomes quite difficult to produce a small value of capacitance. Thus, it is no longer possible to use Fmom capacitors to provide low value capacitance for IC devices with process technology of 20 nm and beyond.

バックエンドオブライン(back end of line)(BEOL)層における金属絶縁体金属(MIM)キャパシタが提案されている。しかしながら、この解決策は、高いキャパシタ密度を達成するために、3つの追加のマスク、ならびにhigh−K(HiK)酸化物堆積プロセスを必要とする。   Metal insulator metal (MIM) capacitors in the back end of line (BEOL) layer have been proposed. However, this solution requires three additional masks as well as a high-K (HiK) oxide deposition process to achieve high capacitor density.

本開示の一態様によれば、キャパシタを作製するための方法が記載されている。この方法は、半導体基板のシャロートレンチアイソレーション(shallow trench isolation)(STI)領域の上に第1のミドルオブライン(MOL)導電層を堆積するステップを含む。第1のMOL導電層は、キャパシタの第1のプレート、ならびに半導体デバイスのソースおよびドレイン領域への第1の組のローカル相互接続を提供する。この方法は、絶縁体層をキャパシタの誘電層として第1のMOL導電層上に堆積するステップも含む。この方法は、第2のMOL導電層をキャパシタの第2のプレートとして絶縁体層上に堆積するステップをさらに含む。   According to one aspect of the present disclosure, a method for making a capacitor is described. The method includes depositing a first middle of line (MOL) conductive layer over a shallow trench isolation (STI) region of a semiconductor substrate. The first MOL conductive layer provides a first set of local interconnects to the first plate of the capacitor and the source and drain regions of the semiconductor device. The method also includes depositing an insulator layer as a capacitor dielectric layer on the first MOL conductive layer. The method further includes depositing a second MOL conductive layer on the insulator layer as a second plate of the capacitor.

本開示の別の態様によれば、金属絶縁体金属(MIM)キャパシタデバイスが記載されている。MIMキャパシタデバイスは、半導体基板を含む。MIMキャパシタデバイスは、半導体基板上の第1のミドルオブライン(MOL)導電層も含み得る。第1のMOL導電層は、MIMキャパシタの第1のプレート、ならびに半導体デバイスのソースおよびドレイン領域への第1の組のローカル相互接続を提供する。MIMキャパシタデバイスは、MIMキャパシタの誘電体層を提供するために、第1のキャパシタプレート上の絶縁体層も含み得る。MIMキャパシタデバイスは、絶縁体層上の第2のMOL導電層をさらに含み得る。第2のMOL導電層は、MIMキャパシタの第2のプレートを提供する。MIMキャパシタデバイスは、第1のキャパシタプレートに結合された第1の相互接続と、第2のキャパシタプレートに結合された第2の相互接続とも含み得る。   According to another aspect of the present disclosure, a metal insulator metal (MIM) capacitor device is described. The MIM capacitor device includes a semiconductor substrate. The MIM capacitor device may also include a first middle of line (MOL) conductive layer on the semiconductor substrate. The first MOL conductive layer provides a first set of local interconnects to the first plate of the MIM capacitor and the source and drain regions of the semiconductor device. The MIM capacitor device may also include an insulator layer on the first capacitor plate to provide a dielectric layer for the MIM capacitor. The MIM capacitor device may further include a second MOL conductive layer on the insulator layer. The second MOL conductive layer provides a second plate of the MIM capacitor. The MIM capacitor device may also include a first interconnect coupled to the first capacitor plate and a second interconnect coupled to the second capacitor plate.

本開示のさらなる態様によれば、金属絶縁体金属(MIM)キャパシタデバイスが記載されている。MIMキャパシタデバイスは、半導体基板を含む。MIMキャパシタデバイスは、半導体基板上の第1の電荷を記憶するための手段を有する、第1のミドルオブライン(MOL)導電層も含む。MIMキャパシタデバイスは、MIMキャパシタの誘電体層を提供するために、第1のキャパシタプレート上の絶縁体層も含み得る。デバイスMIMキャパシタは、第1の電荷記憶手段上に堆積された絶縁体層の第2の電荷を記憶するための手段を有する第2のMOL導電層も含み得る。   According to a further aspect of the present disclosure, a metal insulator metal (MIM) capacitor device is described. The MIM capacitor device includes a semiconductor substrate. The MIM capacitor device also includes a first middle of line (MOL) conductive layer having means for storing a first charge on the semiconductor substrate. The MIM capacitor device may also include an insulator layer on the first capacitor plate to provide a dielectric layer for the MIM capacitor. The device MIM capacitor may also include a second MOL conductive layer having means for storing a second charge of an insulator layer deposited on the first charge storage means.

本開示の別の態様によれば、キャパシタを作製するための方法が記載されている。この方法は、半導体基板のシャロートレンチアイソレーション(STI)領域の上に第1のミドルオブライン(MOL)導電層を堆積するステップを含む。第1のMOL導電層は、キャパシタの第1のプレート、ならびに半導体デバイスのソースおよびドレイン領域への第1の組のローカル相互接続を提供する。この方法は、絶縁体層をキャパシタの誘電層として第1のMOL導電層上に堆積するステップも含む。この方法は、第2のMOL導電層をキャパシタの第2のプレートとして絶縁体層上に堆積するステップをさらに含む。   According to another aspect of the present disclosure, a method for making a capacitor is described. The method includes depositing a first middle-of-line (MOL) conductive layer over a shallow trench isolation (STI) region of a semiconductor substrate. The first MOL conductive layer provides a first set of local interconnects to the first plate of the capacitor and the source and drain regions of the semiconductor device. The method also includes depositing an insulator layer as a capacitor dielectric layer on the first MOL conductive layer. The method further includes depositing a second MOL conductive layer on the insulator layer as a second plate of the capacitor.

上記は、続く詳細な説明がより良く理解され得るように、本開示の特徴および技術的な利点を、かなり広く概説したものである。本開示のさらなる特徴および利点について以下で説明する。本開示と同じ目的を実行するための他の構造を修正または設計するための基礎として本開示が容易に利用され得ることを当業者は諒解されたい。そのような等価な構成は、添付の特許請求の範囲に記載される本開示の教示から逸脱しないことも当業者は認識されたい。本開示の特色をなすと思われる新規の特徴は、その組成と動作方法の両方について、さらなる目的および利点とともに、後続の記述を添付の図との関連で考慮したときによりよく理解されるであろう。しかしながら、図の各々は、例示および説明のみを目的として提供され、本開示の限定を定義するものとして意図されないことを明白に理解されたい。   The foregoing has outlined rather broadly the features and technical advantages of the present disclosure in order that the detailed description that follows may be better understood. Additional features and advantages of the present disclosure are described below. Those skilled in the art should appreciate that the present disclosure can be readily utilized as a basis for modifying or designing other structures for carrying out the same purposes as the present disclosure. Those skilled in the art will also recognize that such equivalent constructions do not depart from the teachings of the disclosure as set forth in the appended claims. The novel features believed to characterize the present disclosure will be better understood when considering the subsequent description in conjunction with the accompanying figures, as well as further objects and advantages, both for its composition and method of operation. Let's go. However, it should be expressly understood that each of the figures is provided for purposes of illustration and description only and is not intended to define limitations of the present disclosure.

本開示の特徴、性質、および利点は、以下に記載する詳細な説明を図面とともに読むとき、より明らかになるであろう。   The features, nature, and advantages of the present disclosure will become more apparent when the detailed description set forth below is read in conjunction with the drawings.

本開示の一態様による、ミドルオブライン相互接続層内に第1のキャパシタプレートを含む集積回路(IC)デバイスを示す断面図である。1 is a cross-sectional view illustrating an integrated circuit (IC) device that includes a first capacitor plate in a middle-of-line interconnect layer according to one aspect of the present disclosure. FIG. 本開示の一態様による、ミドルオブライン相互接続層の上に第2のキャパシタプレートを含む図1のICデバイスを示す断面図である。2 is a cross-sectional view of the IC device of FIG. 1 including a second capacitor plate over a middle-of-line interconnect layer in accordance with an aspect of the present disclosure. FIG. 本開示の一態様による、ミドルオブライン相互接続層、および第1の誘電体層上に堆積された第2の誘電体層の上に第2のキャパシタプレートを含む図2のICデバイスを示す断面図である。FIG. 2 is a cross-section illustrating the IC device of FIG. 2 including a middle-of-line interconnect layer and a second capacitor plate over the second dielectric layer deposited over the first dielectric layer, according to one aspect of the present disclosure. FIG. 本開示の一態様による、第1および第2のキャパシタプレートへの相互接続を含む図3のICデバイスを示す断面図である。4 is a cross-sectional view of the IC device of FIG. 3 including interconnections to first and second capacitor plates according to one aspect of the present disclosure. 本開示の一態様による、ミドルオブライン(MOL)相互接続層を使用して金属絶縁体金属(MIM)キャパシタを作製するための方法を示す図である。FIG. 5 illustrates a method for making a metal insulator metal (MIM) capacitor using a middle-of-line (MOL) interconnect layer according to one aspect of the present disclosure. 本開示の一態様による、ミドルオブライン相互接続層内に第1のキャパシタプレートを含む集積回路(IC)デバイスを示す断面図である。1 is a cross-sectional view illustrating an integrated circuit (IC) device that includes a first capacitor plate in a middle-of-line interconnect layer according to one aspect of the present disclosure. FIG. 本開示の一態様による、ミドルオブライン相互接続層内の第1のキャパシタプレート上に堆積されたhigh−K誘電体層を含む図6のICデバイスを示す断面図である。7 is a cross-sectional view of the IC device of FIG. 6 including a high-K dielectric layer deposited on a first capacitor plate in a middle-of-line interconnect layer according to one aspect of the present disclosure. FIG. 本開示の一態様による、第1のキャパシタプレートの上のhigh−K誘電体層上に堆積された第2のキャパシタプレートを含む図7のICデバイスを示す断面図である。FIG. 8 is a cross-sectional view of the IC device of FIG. 7 including a second capacitor plate deposited on a high-K dielectric layer over the first capacitor plate according to one aspect of the present disclosure. 本開示の一態様による、第1の誘電体層上に堆積された第2の誘電体層を含む図8のICデバイスを示す断面図である。FIG. 9 is a cross-sectional view of the IC device of FIG. 8 including a second dielectric layer deposited on the first dielectric layer according to one aspect of the present disclosure. 本開示の一態様による、高密度キャパシタの第1および第2のキャパシタプレートへの相互接続を含む図9のICデバイスを示す断面図である。FIG. 10 is a cross-sectional view of the IC device of FIG. 9 including interconnection of high density capacitors to first and second capacitor plates according to one aspect of the present disclosure. 本開示の一態様による、ミドルオブライン(MOL)相互接続層を使用して高密度金属絶縁体金属(MIM)キャパシタを作製するための方法を示す図である。FIG. 6 illustrates a method for making a high density metal insulator metal (MIM) capacitor using a middle-of-line (MOL) interconnect layer according to one aspect of the present disclosure. 本開示の一態様を有利に利用できる、例示的なワイヤレス通信システムを示す図である。 [図13]本開示の一態様による半導体構成要素の回路設計、レイアウト設計、および論理設計に使用される設計用ワークステーションを示すブロック図である。FIG. 1 illustrates an example wireless communication system in which an aspect of the present disclosure can be advantageously utilized. FIG. 13 is a block diagram illustrating a design workstation used for circuit design, layout design, and logic design of semiconductor components according to one aspect of the present disclosure.

添付の図面に関連して下記に記載される詳細な説明は、様々な構成の説明として意図されており、本明細書に記載される概念が実践され得る唯一の構成を表すように意図されていない。詳細な説明は、様々な概念の完全な理解を与えるための具体的な詳細を含む。しかしながら、これらの概念はこれらの具体的な詳細がなくても実行され得ることが、当業者には明らかであろう。場合によっては、そのような概念を曖昧にすることを回避するために、周知の構造および構成要素がブロック図の形態で示されている。本明細書の説明では、「および/または」という用語の使用は、「包含的論理和」を表すことを意図し、「または」という用語の使用は、「排他的論理和」を表すことを意図する。   The detailed description set forth below in connection with the appended drawings is intended as a description of various configurations and is intended to represent the only configurations in which the concepts described herein can be practiced. Absent. The detailed description includes specific details for providing a thorough understanding of various concepts. However, it will be apparent to those skilled in the art that these concepts may be practiced without these specific details. In some instances, well-known structures and components are shown in block diagram form in order to avoid obscuring such concepts. In the description herein, the use of the term “and / or” is intended to mean “inclusive OR”, and the use of the term “or” means “exclusive OR”. Intended.

本開示の一態様は、MIM(金属絶縁体金属)キャパシタを作製するために、1つの電極として既存のミドルオブライン相互接続層、および別の電極として導電性抵抗層を使用して形成されるMIMキャパシタを記載する。一構成では、MIMキャパシタの第1のプレートは、半導体基板のシャロートレンチアイソレーション(STI)領域上に堆積された第1のミドルオブライン(MOL)相互接続層によって提供される。この構成では、第1のMOL導電層は、半導体デバイスのソースおよびドレイン領域への第1の組のローカル相互接続を提供するアクティブコンタクト(たとえば、MD1)である。キャパシタの第2のプレートは、絶縁体に堆積された第2のMOL相互接続層によって提供される。絶縁体は、第1のMOL相互接続層上に堆積されている。   One aspect of the present disclosure is formed using an existing middle-of-line interconnect layer as one electrode and a conductive resistive layer as another electrode to make a MIM (metal insulator metal) capacitor. An MIM capacitor is described. In one configuration, the first plate of the MIM capacitor is provided by a first middle-of-line (MOL) interconnect layer deposited over a shallow trench isolation (STI) region of the semiconductor substrate. In this configuration, the first MOL conductive layer is an active contact (eg, MD1) that provides a first set of local interconnects to the source and drain regions of the semiconductor device. The second plate of the capacitor is provided by a second MOL interconnect layer deposited on the insulator. An insulator is deposited on the first MOL interconnect layer.

一構成では、第2のMOL相互接続層は、キャパシタの第2のプレートを形成するために、導電層の堆積およびパターニングによって提供される。この構成では、第2の組のローカル相互接続(たとえば、スタッキングされたコンタクト(MD2))は、第1および第2のキャパシタプレートならびに第1の組のローカル相互接続(たとえば、アクティブコンタクトMD1)に結合される。したがって、本開示の一態様は、追加のマスクまたは層なしにMIMキャパシタを作製するために、1つの電極としてMOL相互接続層(MD1)、およびMOL導電性抵抗層(現在のプロセス技術で導入される)を使用する。本開示の別の態様では、高密度MIMキャパシタは、1つのリソグラフィ(1つのマスク)ステップおよび1つの追加のエッチングステップを含む、追加のhigh−K堆積を使用することによって提供される。   In one configuration, the second MOL interconnect layer is provided by deposition and patterning of a conductive layer to form a second plate of the capacitor. In this configuration, the second set of local interconnects (eg, stacked contacts (MD2)) are connected to the first and second capacitor plates and the first set of local interconnects (eg, active contacts MD1). Combined. Accordingly, one aspect of the present disclosure has been introduced in current process technology as an MOL interconnect layer (MD1) and an MOL conductive resistive layer (one as an electrode) to make a MIM capacitor without additional masks or layers. Use). In another aspect of the present disclosure, a high density MIM capacitor is provided by using an additional high-K deposition that includes one lithography (one mask) step and one additional etching step.

図1は、本開示の一態様による、ミドルオブライン(MOL)相互接続層110内に第1のキャパシタプレートを含む集積回路(IC)デバイス100を示す断面図を示す。典型的には、ICデバイス100は、シャロートレンチアイソレーション(STI)領域103を有する半導体基板(たとえば、シリコンウェハ)102を含む。STI領域103および半導体基板102の上に、ソース領域104、ドレイン領域106、およびゲート領域108を有するアクティブデバイスが形成されるアクティブ領域がある。アクティブデバイスを保護するために、約30nmの厚さを有するシリコン窒化物層112がアクティブ領域上に堆積される。また、ミドルオブライン(MOL)相互接続層110も設けられる。   FIG. 1 illustrates a cross-sectional view of an integrated circuit (IC) device 100 that includes a first capacitor plate within a middle-of-line (MOL) interconnect layer 110 according to one aspect of the present disclosure. The IC device 100 typically includes a semiconductor substrate (eg, a silicon wafer) 102 having a shallow trench isolation (STI) region 103. Above the STI region 103 and the semiconductor substrate 102 is an active region in which an active device having a source region 104, a drain region 106, and a gate region 108 is formed. In order to protect the active device, a silicon nitride layer 112 having a thickness of about 30 nm is deposited on the active region. A middle-of-line (MOL) interconnect layer 110 is also provided.

図1では、第1のMOL相互接続層110は、既存のプロセス技術を使用して半導体基板102上に作製される1組のアクティブ(酸化拡散(OD))コンタクト(MD1)120(120−1〜120−4)を含む。アクティブコンタクト120は、アクティブデバイスのドレイン領域およびソース領域104に結合される。本開示のこの態様では、第1のキャパシタプレート(電極)130が、半導体基板102のSTI領域103上のMOL相互接続層110内に画定される。ひとたび画定されると、第1のキャパシタ電極プレート130を形成するために、第1のMOL導電層が堆積される。第1のMOL導電層は、アクティブデバイスのソース領域104およびドレイン領域106への第1の組のローカル相互接続(アクティブコンタクト120)も提供する。この構成では、アクティブコンタクト120を形成するために使用される第1のMOL導電層は、第1のキャパシタ電極プレート130として、キャパシタの電極を形成するためにも使用される。第1のMOL導電層は、タングステンまたは他の同様の導電材料から構成され得る。   In FIG. 1, the first MOL interconnect layer 110 is a set of active (oxidized diffusion (OD)) contacts (MD1) 120 (120-1) fabricated on a semiconductor substrate 102 using existing process technology. ~ 120-4). Active contact 120 is coupled to the drain and source regions 104 of the active device. In this aspect of the present disclosure, a first capacitor plate (electrode) 130 is defined in the MOL interconnect layer 110 on the STI region 103 of the semiconductor substrate 102. Once defined, a first MOL conductive layer is deposited to form the first capacitor electrode plate 130. The first MOL conductive layer also provides a first set of local interconnects (active contacts 120) to the source region 104 and drain region 106 of the active device. In this configuration, the first MOL conductive layer used to form the active contact 120 is also used to form the capacitor electrode as the first capacitor electrode plate 130. The first MOL conductive layer may be composed of tungsten or other similar conductive material.

図2は、本開示の一態様による、MOL相互接続層110の上に第2のキャパシタ電極プレート250を含む集積回路(IC)デバイス200を示す断面図を示す。典型的には、第1の誘電体層(たとえば、酸化物層)240がアクティブコンタクト120および第1のキャパシタ電極プレート230の上に堆積される。第1の誘電体層240は、10から15ナノメートルの範囲の厚さを有することができる。   FIG. 2 illustrates a cross-sectional view of an integrated circuit (IC) device 200 that includes a second capacitor electrode plate 250 over the MOL interconnect layer 110 in accordance with an aspect of the present disclosure. Typically, a first dielectric layer (eg, oxide layer) 240 is deposited over the active contact 120 and the first capacitor electrode plate 230. The first dielectric layer 240 can have a thickness in the range of 10 to 15 nanometers.

この構成では、第2のMOL導電層は、第1の誘電体層240の表面上に堆積される。第1のキャパシタ電極プレート230上に第2のキャパシタ電極プレート250を形成するために、第2のMOL導電層がパターニングされ、エッチングされる。この構成では、第1のキャパシタ電極プレート230および第2のキャパシタ電極プレート250から形成されるキャパシタの容量値は、第1の誘電体層240の厚さによって決定される。導電性抵抗器242も第1の誘電体層240上に形成される。本開示のこの態様では、第2の導電性電極を第2のキャパシタ電極プレート250として提供するために、MOL導電性抵抗層の堆積およびパターニングも使用される。   In this configuration, the second MOL conductive layer is deposited on the surface of the first dielectric layer 240. In order to form the second capacitor electrode plate 250 on the first capacitor electrode plate 230, the second MOL conductive layer is patterned and etched. In this configuration, the capacitance value of the capacitor formed from the first capacitor electrode plate 230 and the second capacitor electrode plate 250 is determined by the thickness of the first dielectric layer 240. A conductive resistor 242 is also formed on the first dielectric layer 240. In this aspect of the present disclosure, deposition and patterning of the MOL conductive resistive layer is also used to provide the second conductive electrode as the second capacitor electrode plate 250.

図3は、本開示の一態様による、MOL相互接続層110、および第1の誘電体層340上に堆積された第2の誘電体層370の上に第2のキャパシタ電極プレート350を含むICデバイス300を示す断面図を示す。この構成では、35ナノメートルの範囲の厚さを有する第2の誘電体層370が第1のキャパシタ電極プレート330上の第1の誘電体層340、抵抗器342、および第2のキャパシタ電極プレート350上に堆積される。   FIG. 3 illustrates an IC including a second capacitor electrode plate 350 on top of a MOL interconnect layer 110 and a second dielectric layer 370 deposited on the first dielectric layer 340, according to one aspect of the present disclosure. A cross-sectional view showing the device 300 is shown. In this configuration, a second dielectric layer 370 having a thickness in the range of 35 nanometers is a first dielectric layer 340 on the first capacitor electrode plate 330, a resistor 342, and a second capacitor electrode plate. 350 is deposited.

図4は、本開示の一態様による、第1のキャパシタ電極プレート430および第2のキャパシタ電極プレート450への相互接続490−1および490−2を含むICデバイス400を示す断面図を示す。この構成では、第1のキャパシタ電極プレート430および第2のキャパシタ電極プレート450を露出させるために、第2の誘電体層470がパターニングされ、エッチングされる。このパターニングおよびエッチングは、現在のプロセス技術の一部として抵抗器442およびアクティブコンタクト120を露出させるために実行される。ひとたび露出されると、それぞれ第1のキャパシタ電極プレート430および第2のキャパシタ電極プレート450への相互接続490(490−1および490−2)を形成するために、第3のMOL導電層が第2の誘電体層470上に堆積される。   FIG. 4 illustrates a cross-sectional view of an IC device 400 that includes interconnects 490-1 and 490-2 to a first capacitor electrode plate 430 and a second capacitor electrode plate 450, according to one aspect of the present disclosure. In this configuration, the second dielectric layer 470 is patterned and etched to expose the first capacitor electrode plate 430 and the second capacitor electrode plate 450. This patterning and etching is performed to expose resistor 442 and active contact 120 as part of current process technology. Once exposed, a third MOL conductive layer is formed to form interconnects 490 (490-1 and 490-2) to first capacitor electrode plate 430 and second capacitor electrode plate 450, respectively. A second dielectric layer 470 is deposited.

この構成では、第3のMOL導電層は、既存のプロセス技術を使用して、アクティブコンタクト120への第2の組のローカル相互接続(スタッキングされたコンタクト(MD2)480(480−1〜480−4))も提供する。この構成では、スタッキングされたコンタクト480、ならびに抵抗器への相互接続482および484を形成するために使用される第3のMOL導電層は、第1のキャパシタ電極プレート430への相互接続490−1、および第2のキャパシタ電極プレート450への相互接続490−2を形成するためにも使用される。   In this configuration, the third MOL conductive layer is connected to a second set of local interconnects (stacked contacts (MD2) 480 (480-1 to 480-480) to the active contacts 120 using existing process technology. 4)) is also provided. In this configuration, the third MOL conductive layer used to form the stacked contacts 480 and the interconnects 482 and 484 to the resistors is interconnected 490-1 to the first capacitor electrode plate 430. , And also to form an interconnect 490-2 to the second capacitor electrode plate 450.

図5は、本開示の一態様による、ミドルオブライン(MOL)導電層を使用して金属絶縁体金属(MIM)キャパシタを作製するための方法500を示す。ブロック502で、キャパシタの第1のプレートが、半導体基板のシャロートレンチアイソレーション(STI)領域上のミドルオブライン(MOL)相互接続層内に画定される。ブロック504で、キャパシタの第1のプレートを形成するために、第1のMOL導電層が堆積される。たとえば、図1に示すように、第1のキャパシタプレート(電極)130は、半導体基板102のSTI領域103上のMOL相互接続層110内に画定される。ひとたび画定されると、第1のキャパシタ電極プレート130を形成するために、第1のMOL導電層が堆積される。   FIG. 5 illustrates a method 500 for making a metal insulator metal (MIM) capacitor using a middle-of-line (MOL) conductive layer according to one aspect of the present disclosure. At block 502, a first plate of a capacitor is defined in a middle of line (MOL) interconnect layer over a shallow trench isolation (STI) region of a semiconductor substrate. At block 504, a first MOL conductive layer is deposited to form a first plate of capacitors. For example, as shown in FIG. 1, a first capacitor plate (electrode) 130 is defined in the MOL interconnect layer 110 on the STI region 103 of the semiconductor substrate 102. Once defined, a first MOL conductive layer is deposited to form the first capacitor electrode plate 130.

図5を再度参照すると、ブロック506で、誘電体層が第1のMOL導電層上に堆積される。たとえば、図2に示すように、第1の誘電体層(たとえば、酸化物層)240がアクティブコンタクト120および第1のキャパシタ電極プレート230の上に堆積される。ブロック508で、第2のMOL導電層が第1の誘電体層上に堆積される。ブロック510で、キャパシタの第2のプレートを形成するために、第2のMOL導電性がパターニングされ、エッチングされる。たとえば、図2に示すように、第2のMOL導電層は、第1の誘電体層240の表面上に堆積される。第1のキャパシタ電極プレート230上に第2のキャパシタ電極プレート250を形成するために、第2のMOL導電層がパターニングされ、エッチングされる。   Referring again to FIG. 5, at block 506, a dielectric layer is deposited on the first MOL conductive layer. For example, as shown in FIG. 2, a first dielectric layer (eg, oxide layer) 240 is deposited over the active contacts 120 and the first capacitor electrode plate 230. At block 508, a second MOL conductive layer is deposited on the first dielectric layer. At block 510, the second MOL conductivity is patterned and etched to form a second plate of the capacitor. For example, as shown in FIG. 2, a second MOL conductive layer is deposited on the surface of the first dielectric layer 240. In order to form the second capacitor electrode plate 250 on the first capacitor electrode plate 230, the second MOL conductive layer is patterned and etched.

ブロック512で、第2の誘電体層が第2のMOL導電層上に堆積される。ブロック514で、第1および第2のキャパシタプレートを露出させるために、第2の誘電体層がパターニングされ、エッチングされる。ブロック516で、第1および第2のキャパシタプレートへの相互接続を形成するために、第3のMOL導電層が第2の誘電体層上に堆積される。第1および第2のキャパシタ電極プレートへの相互接続を形成するために、第3のMOL導電層がパターニングされる。たとえば、図4に示すように、第1のキャパシタ電極プレート430および第2のキャパシタ電極プレート450を露出させるために、第2の誘電体層470がパターニングされ、エッチングされる。このパターニングおよびエッチングは、現在のプロセス技術の一部として抵抗器442およびアクティブコンタクト120を露出させるために実行される。ひとたび露出されると、それぞれ第1のキャパシタ電極プレート430および第2のキャパシタ電極プレート450への相互接続490(490−1および490−2)を形成するために、第3のMOL導電層が第2の誘電体層470上に堆積される。   At block 512, a second dielectric layer is deposited on the second MOL conductive layer. At block 514, the second dielectric layer is patterned and etched to expose the first and second capacitor plates. At block 516, a third MOL conductive layer is deposited on the second dielectric layer to form an interconnect to the first and second capacitor plates. A third MOL conductive layer is patterned to form interconnections to the first and second capacitor electrode plates. For example, as shown in FIG. 4, the second dielectric layer 470 is patterned and etched to expose the first capacitor electrode plate 430 and the second capacitor electrode plate 450. This patterning and etching is performed to expose resistor 442 and active contact 120 as part of current process technology. Once exposed, a third MOL conductive layer is formed to form interconnects 490 (490-1 and 490-2) to first capacitor electrode plate 430 and second capacitor electrode plate 450, respectively. A second dielectric layer 470 is deposited.

図1〜図5で説明したように、金属絶縁体金属(MIM)キャパシタは、追加コストなしに、現在のプロセス技術で利用可能なMOL層を作製するために現在使用されているマスクおよびプロセスを使用して実装され得る。MIMキャパシタの容量値は、第1の誘電体層の厚さによって決定される。第1の誘電体層の厚さは、鋳物工場によって決定され得る。本開示の別の態様では、高密度MIMキャパシタは、たとえば、図6〜図11で説明するように、high−K誘電体層を提供するために、既存のプロセス技術および1つの余分のマスクを使用して形成される。   As described in FIGS. 1-5, a metal-insulator metal (MIM) capacitor provides a mask and process currently used to create MOL layers that are available in current process technology at no additional cost. Can be implemented using. The capacitance value of the MIM capacitor is determined by the thickness of the first dielectric layer. The thickness of the first dielectric layer can be determined by the foundry. In another aspect of the present disclosure, a high-density MIM capacitor can be implemented using existing process technology and one extra mask to provide a high-K dielectric layer, for example, as described in FIGS. Formed using.

図6は、本開示の一態様による、MOL相互接続層110内に第1のキャパシタ電極プレート630を含む集積回路(IC)デバイス600を示す断面図を示す。ICデバイスのこの構成は、図1に示されるICデバイス100の構成と同様であり得る。しかしながら、この構成では、第1のキャパシタ電極プレート630は、高密度キャパシタの第1の電極を提供する。   FIG. 6 illustrates a cross-sectional view of an integrated circuit (IC) device 600 that includes a first capacitor electrode plate 630 in the MOL interconnect layer 110 in accordance with an aspect of the present disclosure. This configuration of the IC device may be similar to the configuration of the IC device 100 shown in FIG. However, in this configuration, the first capacitor electrode plate 630 provides the first electrode of the high density capacitor.

図7は、本開示の一態様による、MOL相互接続層110内の第1のキャパシタ電極プレート730上に堆積されたhigh−K誘電体層760を含む図6のICデバイス700を示す断面図を示す。この構成では、第1の誘電体層(たとえば、酸化物層)740は、アクティブコンタクト120および第1のキャパシタ電極プレート730の上に堆積される。第1の誘電体層740は、10から15ナノメートルの範囲の厚さを有することができる。第1の誘電体層740の堆積は、図2に示される第1の誘電体層堆積と同様であり得る。しかしながら、この構成では、第1のキャパシタ電極プレート730を露出させるために、第1の誘電体層740がマスキングされ、エッチングされる。本開示のこの態様では、第1のキャパシタ電極プレート730が露出されると、high−K誘電層760が第1の誘電体層740および第1のキャパシタ電極プレート730の露出された表面上に堆積される。high−K誘電層760の厚さは、2から5ナノメートルの範囲である。   FIG. 7 illustrates a cross-sectional view of the IC device 700 of FIG. 6 including a high-K dielectric layer 760 deposited on the first capacitor electrode plate 730 in the MOL interconnect layer 110 according to one aspect of the present disclosure. Show. In this configuration, a first dielectric layer (eg, oxide layer) 740 is deposited over the active contact 120 and the first capacitor electrode plate 730. The first dielectric layer 740 can have a thickness in the range of 10 to 15 nanometers. The deposition of the first dielectric layer 740 may be similar to the first dielectric layer deposition shown in FIG. However, in this configuration, the first dielectric layer 740 is masked and etched to expose the first capacitor electrode plate 730. In this aspect of the present disclosure, when the first capacitor electrode plate 730 is exposed, a high-K dielectric layer 760 is deposited on the exposed surfaces of the first dielectric layer 740 and the first capacitor electrode plate 730. Is done. The thickness of the high-K dielectric layer 760 is in the range of 2 to 5 nanometers.

図8は、本開示の一態様による、MOL相互接続層110上のhigh−K誘電体層860(860−1、860−2)上に堆積された第2のキャパシタ電極プレート850および導電性抵抗器842を含む図7のICデバイス800を示す断面図を示す。この構成では、第2のMOL導電層は、high−K誘電体層860の表面上に堆積される。第1のキャパシタ電極プレート830上に第2のキャパシタ電極プレート850を形成し、第1の誘電体層840を露出させるために、第2のMOL導電層がパターニングされ、エッチングされる。この構成では、第1のキャパシタ電極プレート830と第2のキャパシタ電極プレート850との間のhigh−K誘電体層860−2の厚さの低減(たとえば、2から5ナノメートル)のため、高密度キャパシタは、第1のキャパシタ電極プレート830と第2のキャパシタ電極プレート850とから形成される。導電性抵抗器842も、第1の誘電体層840の上のhigh−K誘電体層860−1上に形成される。本開示のこの態様では、MOL導電性抵抗層の堆積およびパターニングは、第2の導電性電極を第2のキャパシタ電極プレート850として提供する。   FIG. 8 illustrates a second capacitor electrode plate 850 and a conductive resistor deposited on a high-K dielectric layer 860 (860-1, 860-2) on the MOL interconnect layer 110, according to one aspect of the present disclosure. FIG. 8 shows a cross-sectional view of the IC device 800 of FIG. In this configuration, the second MOL conductive layer is deposited on the surface of the high-K dielectric layer 860. A second MOL conductive layer is patterned and etched to form a second capacitor electrode plate 850 over the first capacitor electrode plate 830 and expose the first dielectric layer 840. In this configuration, a high-K dielectric layer 860-2 thickness between the first capacitor electrode plate 830 and the second capacitor electrode plate 850 is reduced (eg, 2 to 5 nanometers) due to the high The density capacitor is formed of a first capacitor electrode plate 830 and a second capacitor electrode plate 850. A conductive resistor 842 is also formed on the high-K dielectric layer 860-1 over the first dielectric layer 840. In this aspect of the present disclosure, the deposition and patterning of the MOL conductive resistive layer provides the second conductive electrode as the second capacitor electrode plate 850.

図9は、本開示の一態様による、第1の誘電体層940、抵抗器942、および第2のキャパシタ電極プレート950上に堆積された第2の誘電体層970を含む図8のICデバイス900を示す断面図を示す。この構成では、35ナノメートルの範囲の厚さを有する第2の誘電体層970は、第1の誘電体層940、抵抗器942、第2のキャパシタ電極プレート950、ならびにhigh−K誘電体層960−1および960−2の側壁の上に堆積される。   FIG. 9 illustrates the IC device of FIG. 8 including a first dielectric layer 940, a resistor 942, and a second dielectric layer 970 deposited on the second capacitor electrode plate 950, in accordance with an aspect of the present disclosure. FIG. In this configuration, a second dielectric layer 970 having a thickness in the range of 35 nanometers comprises a first dielectric layer 940, a resistor 942, a second capacitor electrode plate 950, and a high-K dielectric layer. Deposited on the sidewalls of 960-1 and 960-2.

図10は、本開示の一態様による、第1のキャパシタ電極プレート1030および第2のキャパシタ電極プレート1050への相互接続1090−1および1090−2を含むICデバイス1000を示す断面図を示す。この構成では、第1のキャパシタ電極プレート1030および第2のキャパシタ電極プレート1050を露出させるために、第2の誘電体層1070がパターニングされ、エッチングされる。このパターニングおよびエッチングは、現在のプロセス技術の一部として抵抗器1042および第1の誘電体層1040下のアクティブコンタクト120を露出させるためにも実行される。ひとたび露出されると、第1のキャパシタ電極プレート1030への相互接続1090−1および第2のキャパシタ電極プレート1050への相互接続1090−2を形成するために、第3のMOL導電層が第2の誘電体層1070上に堆積される。   FIG. 10 illustrates a cross-sectional view of an IC device 1000 that includes interconnects 1090-1 and 1090-2 to a first capacitor electrode plate 1030 and a second capacitor electrode plate 1050, according to one aspect of the present disclosure. In this configuration, the second dielectric layer 1070 is patterned and etched to expose the first capacitor electrode plate 1030 and the second capacitor electrode plate 1050. This patterning and etching is also performed to expose the resistor 1042 and the active contact 120 under the first dielectric layer 1040 as part of current process technology. Once exposed, the third MOL conductive layer is second to form an interconnect 1090-1 to the first capacitor electrode plate 1030 and an interconnect 1090-2 to the second capacitor electrode plate 1050. A dielectric layer 1070 is deposited.

この構成では、第3のMOL導電層は、既存のプロセス技術を使用して、アクティブコンタクト120への第2の組のローカル相互接続(スタッキングされたコンタクト(MD2)1080(1080−1〜1080−4))も提供する。この構成では、スタッキングされたコンタクト1080、ならびに抵抗器1042への相互接続1082および1084を形成するために使用される第3のMOL導電層は、第1のキャパシタ電極プレート1030への相互接続1090−1、および第2のキャパシタ電極プレート1050への相互接続1090−2を形成するためにも使用される。抵抗器1042は、high−K誘電層1060−1に堆積され、第2のキャパシタ電極プレート1050は、high−K誘電層1060−2に堆積されている。   In this configuration, the third MOL conductive layer is connected to a second set of local interconnects (stacked contacts (MD2) 1080 (1080-1 to 1080-) to the active contacts 120 using existing process technology. 4)) is also provided. In this configuration, the third MOL conductive layer used to form the stacked contacts 1080 and the interconnects 1082 and 1084 to the resistor 1042 are interconnected to the first capacitor electrode plate 1030- Also used to form an interconnect 1090-2 to the first and second capacitor electrode plates 1050. Resistor 1042 is deposited on high-K dielectric layer 1060-1, and second capacitor electrode plate 1050 is deposited on high-K dielectric layer 1060-2.

図11は、本開示の一態様による、ミドルオブライン(MOL)相互接続層を使用して金属絶縁体金属(MIM)高密度キャパシタを作製するための方法1100を示す。ブロック1102で、キャパシタの第1のプレートが、半導体基板のシャロートレンチアイソレーション(STI)領域上のミドルオブライン(MOL)相互接続層内に画定される。ブロック1104で、キャパシタの第1のプレートを形成するために、第1のMOL導電層が堆積される。たとえば、図6に示すように、第1のキャパシタプレート(電極)630は、半導体基板102のSTI領域103上のMOL相互接続層110内に画定される。ひとたび画定されると、第1のキャパシタ電極プレート630を形成するために、第1のMOL導電層が堆積される。   FIG. 11 illustrates a method 1100 for making a metal insulator metal (MIM) high density capacitor using a middle-of-line (MOL) interconnect layer according to one aspect of the present disclosure. At block 1102, a first plate of capacitors is defined in a middle-of-line (MOL) interconnect layer over a shallow trench isolation (STI) region of a semiconductor substrate. At block 1104, a first MOL conductive layer is deposited to form a first plate of capacitors. For example, as shown in FIG. 6, a first capacitor plate (electrode) 630 is defined in the MOL interconnect layer 110 on the STI region 103 of the semiconductor substrate 102. Once defined, a first MOL conductive layer is deposited to form a first capacitor electrode plate 630.

図11を再度参照すると、ブロック1106で、第1の誘電体層が第1のMOL導電層上に堆積される。たとえば、図7に示すように、第1の誘電体層(たとえば、酸化物層)740がアクティブコンタクト120および第1のキャパシタ電極プレート730の上に堆積される。ブロック1108で、第1のキャパシタプレートを露出させるために、誘電体層がマスクされ、エッチングされる。第1のキャパシタプレートが露出されると、ブロック1110および図7に示すように、high−K誘電層が第1の誘電体層740および第1のキャパシタ電極プレート730の露出された表面に堆積される。   Referring again to FIG. 11, at block 1106, a first dielectric layer is deposited on the first MOL conductive layer. For example, as shown in FIG. 7, a first dielectric layer (eg, oxide layer) 740 is deposited over active contact 120 and first capacitor electrode plate 730. At block 1108, the dielectric layer is masked and etched to expose the first capacitor plate. When the first capacitor plate is exposed, a high-K dielectric layer is deposited on the exposed surfaces of the first dielectric layer 740 and the first capacitor electrode plate 730, as shown in block 1110 and FIG. The

ブロック1112で、第2のMOL導電層がhigh−K誘電体層上に堆積される。ブロック1114で、キャパシタの第2のプレートを形成するために、第2のMOL導電層がパターニングされ、エッチングされる。たとえば、図8に示すように、第2のMOL導電層は、high−K誘電体層860の表面上に堆積される。第1のキャパシタ電極プレート830上に第2のキャパシタ電極プレート850を形成し、第1の誘電体層840を露出させるために、第2のMOL導電層がパターニングされ、エッチングされる。   At block 1112, a second MOL conductive layer is deposited on the high-K dielectric layer. At block 1114, the second MOL conductive layer is patterned and etched to form a second plate of the capacitor. For example, as shown in FIG. 8, a second MOL conductive layer is deposited on the surface of the high-K dielectric layer 860. A second MOL conductive layer is patterned and etched to form a second capacitor electrode plate 850 over the first capacitor electrode plate 830 and expose the first dielectric layer 840.

ブロック1116で、第2の誘電体層が第2のMOL導電層上に堆積される。ブロック1118で、第1および第2のキャパシタプレートを露出させるために、第2の誘電体層がパターニングされ、エッチングされる。ブロック1120で、第1および第2のキャパシタプレートへの相互接続を形成するために、第3のMOL導電層が第2の誘電体層上に堆積される。たとえば、図10に示すように、第1のキャパシタ電極プレート1030および第2のキャパシタ電極プレート1050を露出させるために、第2の誘電体層1070がパターニングされ、エッチングされる。このパターニングおよびエッチングは、現在のプロセス技術の一部として抵抗器1042およびアクティブコンタクト120を露出させるために実行される。ひとたび露出されると、第1のキャパシタ電極プレート1030への相互接続1090−1および第2のキャパシタ電極プレート1050を形成するために、第3のMOL導電層が第2の誘電体層1070上に堆積される。   At block 1116, a second dielectric layer is deposited on the second MOL conductive layer. At block 1118, the second dielectric layer is patterned and etched to expose the first and second capacitor plates. At block 1120, a third MOL conductive layer is deposited on the second dielectric layer to form an interconnect to the first and second capacitor plates. For example, as shown in FIG. 10, the second dielectric layer 1070 is patterned and etched to expose the first capacitor electrode plate 1030 and the second capacitor electrode plate 1050. This patterning and etching is performed to expose resistor 1042 and active contact 120 as part of current process technology. Once exposed, a third MOL conductive layer is formed on the second dielectric layer 1070 to form an interconnect 1090-1 to the first capacitor electrode plate 1030 and a second capacitor electrode plate 1050. Is deposited.

一構成では、金属絶縁体金属(MIM)キャパシタデバイスは、半導体基板上の第1の電荷を記憶するための手段を有する、第1のミドルオブライン(MOL)導電層を含む。本開示の一態様では、第1の電荷記憶手段は、第1の電荷記憶手段によって具陳された機能を実行するように構成された第1のキャパシタプレート430/1030であり得る。デバイスは、第1の電荷記憶手段上に堆積された絶縁体層上の第2の電荷を記憶するための手段を有する第2のMOL導電層も含み得る。本開示の一態様では、第2の電荷記憶手段は、第2の電荷記憶手段によって具陳された機能を実行するように構成された第2のキャパシタプレート450/1050であり得る。別の態様では、上述の手段は、上述の手段によって具陳された機能を実行するように構成された任意のデバイスであり得る。   In one configuration, a metal insulator metal (MIM) capacitor device includes a first middle-of-line (MOL) conductive layer having means for storing a first charge on a semiconductor substrate. In one aspect of the present disclosure, the first charge storage means may be a first capacitor plate 430/1030 configured to perform the function provided by the first charge storage means. The device may also include a second MOL conductive layer having means for storing a second charge on an insulator layer deposited on the first charge storage means. In one aspect of the present disclosure, the second charge storage means may be a second capacitor plate 450/1050 configured to perform the function provided by the second charge storage means. In another aspect, the means described above may be any device configured to perform the function provided by the means described above.

図12は、本開示の一態様を有利に利用できる、例示的なワイヤレス通信システム1200を示す。説明のために、図12は、3つの遠隔ユニット1220、1230、1250および2つの基地局1240を示す。ワイヤレス通信システムは、これよりも多くの遠隔ユニットおよび基地局を有し得ることが認識されよう。遠隔ユニット1220、1230、および1250は、MIMキャパシタ1225A、1225B、1225Cを含む。図12は、基地局1240から遠隔ユニット1220、1230、および1250への順方向リンク信号1280、ならびに、遠隔ユニット1220、1230、および1250から基地局1240への逆方向リンク信号1290を示す。   FIG. 12 illustrates an example wireless communication system 1200 in which an aspect of the present disclosure can be advantageously utilized. For illustration purposes, FIG. 12 shows three remote units 1220, 1230, 1250 and two base stations 1240. It will be appreciated that a wireless communication system may have more remote units and base stations. Remote units 1220, 1230, and 1250 include MIM capacitors 1225A, 1225B, 1225C. FIG. 12 shows forward link signal 1280 from base station 1240 to remote units 1220, 1230, and 1250, and reverse link signal 1290 from remote units 1220, 1230, and 1250 to base station 1240.

図12では、遠隔ユニット1220は携帯電話として示され、遠隔ユニット1230はポータブルコンピュータとして示され、遠隔ユニット1250はワイヤレスローカルループシステム内の固定ロケーション遠隔ユニットとして示されている。たとえば、遠隔ユニットは、セルフォン、ハンドヘルドパーソナル通信システム(PCS)ユニット、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、携帯情報端末などのポータブルデータユニット、またはメータ読取り機器などの固定位置データユニットであり得る。図12は、本開示の教示に従ったMIMキャパシタ1225A、1225B、1225Cを利用し得る遠隔ユニットを示すが、本開示は、これらの例示的な示されたユニットには限定されない。たとえば、本開示の態様によるMIMキャパシタは、任意のデバイスにおいて最適に使用することができる。   In FIG. 12, remote unit 1220 is shown as a mobile phone, remote unit 1230 is shown as a portable computer, and remote unit 1250 is shown as a fixed location remote unit in a wireless local loop system. For example, the remote unit can be a fixed location such as a cell phone, handheld personal communication system (PCS) unit, set top box, music player, video player, entertainment unit, portable data unit such as a navigation device, personal digital assistant, or meter reader It can be a data unit. Although FIG. 12 illustrates remote units that may utilize MIM capacitors 1225A, 1225B, 1225C in accordance with the teachings of the present disclosure, the present disclosure is not limited to these exemplary illustrated units. For example, an MIM capacitor according to aspects of the present disclosure can be optimally used in any device.

図13は、上記で開示したMIMキャパシタデバイスなど、半導体構成要素の回路設計、レイアウト設計、および論理設計のために使用される、設計用ワークステーションを示すブロック図である。設計用ワークステーション1300は、オペレーティングシステムソフトウェア、支援ファイル、およびCadenceまたはOrCADなどの設計用ソフトウェアを含むハードディスク1301を含む。設計用ワークステーション1300はまた、回路1310の設計または、MRAMなどの半導体構成要素1312の設計を容易にするために、ディスプレイ1302を含む。記憶媒体1304は、回路設計1310または半導体構成要素1312を有形に記憶するために提供される。回路設計1310または半導体構成要素1312は、GDSIIまたはGERBERなどのファイル形式で、記憶媒体1304に記憶され得る。記憶媒体1304は、CD−ROM、DVD、ハードディスク、フラッシュメモリ、または他の適切なデバイスであり得る。さらに、設計用ワークステーション1300は、記憶媒体1304からの入力を受け入れるか、または記憶媒体1304に出力を書き込むための駆動装置1303を含む。   FIG. 13 is a block diagram illustrating a design workstation used for circuit design, layout design, and logic design of semiconductor components, such as the MIM capacitor device disclosed above. The design workstation 1300 includes a hard disk 1301 that includes operating system software, support files, and design software such as Cadence or OrCAD. The design workstation 1300 also includes a display 1302 to facilitate the design of the circuit 1310 or the semiconductor component 1312 such as MRAM. Storage medium 1304 is provided for tangibly storing circuit design 1310 or semiconductor component 1312. The circuit design 1310 or the semiconductor component 1312 may be stored in the storage medium 1304 in a file format such as GDSII or GERBER. Storage medium 1304 may be a CD-ROM, DVD, hard disk, flash memory, or other suitable device. In addition, the design workstation 1300 includes a drive 1303 for accepting input from the storage medium 1304 or writing output to the storage medium 1304.

記憶媒体1304に記録されるデータは、論理回路構成、フォトリソグラフィマスク用のパターンデータ、または電子ビームリソグラフィなどの連続書込みツール用のマスクパターンデータを指定し得る。データは、論理シミュレーションに関連付けられるタイミング図またはネット回路などの論理検証データをさらに含み得る。記憶媒体1304にデータを提供すると、半導体ウェハを設計するためのプロセス数を減少させることによって、回路設計1310または半導体構成要素1312の設計が容易になる。   Data recorded on storage medium 1304 may specify logic circuitry, pattern data for photolithography masks, or mask pattern data for continuous writing tools such as electron beam lithography. The data may further include logic verification data such as timing diagrams or net circuits associated with the logic simulation. Providing data on storage medium 1304 facilitates the design of circuit design 1310 or semiconductor component 1312 by reducing the number of processes for designing a semiconductor wafer.

特定の回路について記載してきたが、当業者には、開示する実施形態を実践するために開示された回路のすべてが必要とされるわけではないことが諒解されよう。さらに、本開示に対する注目を維持するために、いくつかのよく知られた回路については説明していない。   Although specific circuits have been described, those skilled in the art will appreciate that not all of the disclosed circuits are required to practice the disclosed embodiments. In addition, in order to maintain attention to the present disclosure, some well-known circuits have not been described.

本明細書に記載された方法論は、アプリケーションに依存する様々な手段によって実施され得る。たとえば、これらの方法論は、ハードウェア、ファームウェア、ソフトウェア、またはそれらの任意の組合せで実施され得る。ハードウェア実装形態の場合、各処理ユニットは、本明細書に記載された機能を実行するように設計された、1つまたは複数の特定用途向け集積回路(ASIC)、デジタル信号プロセッサ(DSP)、デジタル信号処理デバイス(DSPD)、プログラマブル論理デバイス(PLD)、フィールドプログラマブルゲートアレイ(FPGA)、プロセッサ、コントローラ、マイクロコントローラ、マイクロプロセッサ、電子デバイス、他の電子ユニット、あるいはそれらの組合せ内で実装されてもよい。   The methodology described herein may be implemented by various means depending on the application. For example, these methodologies may be implemented in hardware, firmware, software, or any combination thereof. For a hardware implementation, each processing unit is one or more application specific integrated circuits (ASICs), digital signal processors (DSPs), designed to perform the functions described herein, Implemented in a digital signal processing device (DSPD), programmable logic device (PLD), field programmable gate array (FPGA), processor, controller, microcontroller, microprocessor, electronic device, other electronic unit, or combinations thereof Also good.

ファームウェアおよび/またはソフトウェア実装形態の場合、これらの方法論は、本明細書に記載された機能を実行するモジュール(たとえば、手順、機能等)で実施され得る。命令を有形に具現化する任意の機械可読媒体またはコンピュータ可読媒体は、本明細書で説明した方法を実装する際に使用され得る。たとえば、ソフトウェアコードは、メモリに記憶され、プロセッサによって実行され得る。実行中のソフトウェアコードは、プロセッサによって実行される場合、本明細書で提示された異なる態様の教示における様々な方法および機能を実装する動作環境をもたらす。メモリは、プロセッサの内部またはプロセッサの外部に実装されてもよい。本明細書で使用する場合、「メモリ」という用語は、長期メモリ、短期メモリ、揮発性メモリ、不揮発性メモリ、または他のメモリのいずれかのタイプを指し、メモリの任意の特定のタイプもしくはメモリの数、またはメモリが記憶される媒体のタイプに限定されない。   For firmware and / or software implementations, these methodologies may be implemented in modules (eg, procedures, functions, etc.) that perform the functions described herein. Any machine-readable or computer-readable medium that tangibly embodies the instructions may be used in implementing the methods described herein. For example, software code may be stored in memory and executed by a processor. The executing software code, when executed by a processor, provides an operating environment that implements various methods and functions in the teachings of the different aspects presented herein. The memory may be implemented within the processor or external to the processor. As used herein, the term “memory” refers to any type of long-term memory, short-term memory, volatile memory, non-volatile memory, or other memory, and any particular type or memory of memory Or the type of medium on which the memory is stored.

本明細書で説明する方法および機能を定義するソフトウェアコードを記憶する機械可読媒体またはコンピュータ可読媒体は、物理的なコンピュータ記憶媒体を含む。記憶媒体は、コンピュータによってアクセスされ得る任意の利用可能な媒体でもよい。限定ではなく例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD−ROMもしくは他の光ディスクストレージ、磁気ディスクストレージデバイスもしくは他の磁気ストレージデバイス、または命令もしくはデータ構造の形態の所望のプログラムコードを記憶するために使用され、コンピュータによってアクセスされ得る任意の他の媒体を含むことができる。本明細書で用いられる場合、ディスク(disk)および/またはディスク(disc)は、コンパクトディスク(CD)、レーザーディスク(登録商標)、光ディスク、デジタル多用途ディスク(DVD)、フロッピーディスク(登録商標)、およびブルーレイディスクを含み、このうち、ディスク(disk)は、通常、データを磁気的に再生するが、ディスク(disc)は、レーザーを用いてデータを光学的に再生する。上記の組合せもコンピュータ可読媒体の範囲内に含めるべきである。   Machine-readable or computer-readable media that store software code defining methods and functions described herein include physical computer storage media. A storage media may be any available media that can be accessed by a computer. By way of example, and not limitation, such computer readable media may be RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage device or other magnetic storage device, or any desired form in the form of instructions or data structures. Any other medium that can be used to store program code and accessed by a computer can be included. As used herein, a disc and / or disc is a compact disc (CD), a laser disc (registered trademark), an optical disc, a digital versatile disc (DVD), a floppy disc (registered trademark). , And Blu-ray discs, of which discs typically reproduce data magnetically, while discs optically reproduce data using a laser. Combinations of the above should also be included within the scope of computer-readable media.

コンピュータ読取り可能な媒体における記憶に加えて、命令および/またはデータは、通信装置に含まれる送信媒体における信号として提供され得る。たとえば、通信装置は、命令およびデータを示す信号を有する送受信機を含むことができる。これら命令およびデータは、1つまたは複数のプロセッサに、特許請求の範囲で概説される機能を実施させるように構成されている。   In addition to storage on computer-readable media, instructions and / or data may be provided as signals on transmission media included in the communication devices. For example, the communication device can include a transceiver having signals indicative of instructions and data. These instructions and data are configured to cause one or more processors to perform the functions outlined in the claims.

本教示およびそれらの利点を詳細に説明してきたが、様々な変形、代用、および変更が、添付の特許請求の範囲によって定義された本教示の技術から逸脱することなく本明細書においてなされ得ることを理解されたい。さらに、本出願の範囲は、本明細書で説明したプロセス、機械、製造、物質組成、手段、方法、およびステップの特定の態様に限定されることを意図していない。当業者が本開示から容易に諒解するように、本明細書で説明する対応する態様と実質的に同じ機能を実行するまたは実質的に同じ結果を達成する、現在存在するまたは後で開発されることになる、プロセス、機械、製造品、組成物、手段、方法、またはステップは、本教示に従って利用されてもよい。したがって、添付の特許請求の範囲は、そのようなプロセス、機械、製造、物質組成、手段、方法、またはステップをそれらの範囲内に含むことが意図される。   Although the present teachings and their advantages have been described in detail, various modifications, substitutions, and alterations can be made herein without departing from the techniques of the present teachings as defined by the appended claims. I want you to understand. Furthermore, the scope of the present application is not intended to be limited to the specific aspects of the processes, machines, manufacture, material compositions, means, methods, and steps described herein. As those of ordinary skill in the art will readily appreciate from this disclosure, present or later developed that perform substantially the same function or achieve substantially the same results as the corresponding aspects described herein. Any process, machine, article of manufacture, composition, means, method, or step that may be utilized may be utilized in accordance with the present teachings. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

100 集積回路(IC)デバイス
102 半導体基板
103 シャロートレンチアイソレーション(STI)領域
104 ソース領域
106 ドレイン領域
108 ゲート領域
110 ミドルオブライン(MOL)相互接続層
112 シリコン窒化物層
120 アクティブコンタクト
130 第1のキャパシタ電極プレート
200 集積回路(IC)デバイス
230 第1のキャパシタ電極プレート
240 第1の誘電体層
242 導電性抵抗器
250 第2のキャパシタ電極プレート
300 ICデバイス
330 第1のキャパシタ電極プレート
340 第1の誘電体層
342 抵抗器
350 第2のキャパシタ電極プレート
370 第2の誘電体層
400 ICデバイス
430 第1のキャパシタ電極プレート
442 抵抗器
450 第2のキャパシタ電極プレート
470 第2の誘電体層
480 スタッキングされたコンタクト
482 相互接続
484 相互接続
490−1 相互接続
490−2 相互接続
600 集積回路(IC)デバイス
630 第1のキャパシタ電極プレート
700 ICデバイス
730 第1のキャパシタ電極プレート
740 第1の誘電体層
760 high−K誘電体層
800 ICデバイス
830 第1のキャパシタ電極プレート
840 第1の誘電体層
842 導電性抵抗器
850 第2のキャパシタ電極プレート
860 high−K誘電体層
900 ICデバイス
940 第1の誘電体層
942 抵抗器
950 第2のキャパシタ電極プレート
960−1 high−K誘電体層
960−2 high−K誘電体層
970 第2の誘電体層
1000 ICデバイス
1030 第1のキャパシタ電極プレート
1040 第1の誘電体層
1042 抵抗器
1050 第2のキャパシタ電極プレート
1060−1 high−K誘電層
1060−2 high−K誘電層
1070 第2の誘電体層
1080 スタッキングされたコンタクト
1082 相互接続
1084 相互接続
1090−1 相互接続
1090−2 相互接続
1200 ワイヤレス通信システム
1220 遠隔ユニット
1225A MIMキャパシタ
1225B MIMキャパシタ
1225C MIMキャパシタ
1230 遠隔ユニット
1240 基地局
1250 遠隔ユニット
1280 順方向リンク信号
1290 逆方向リンク信号
1300 設計用ワークステーション
1302 ディスプレイ
1303 駆動装置
1304 記憶媒体
1310 回路
1312 半導体コンポーネント
DESCRIPTION OF SYMBOLS 100 Integrated circuit (IC) device 102 Semiconductor substrate 103 Shallow trench isolation (STI) region 104 Source region 106 Drain region 108 Gate region 110 Middle of line (MOL) interconnection layer 112 Silicon nitride layer 120 Active contact 130 1st contact Capacitor electrode plate 200 integrated circuit (IC) device 230 first capacitor electrode plate 240 first dielectric layer 242 conductive resistor 250 second capacitor electrode plate 300 IC device 330 first capacitor electrode plate 340 first Dielectric layer 342 Resistor 350 Second capacitor electrode plate 370 Second dielectric layer 400 IC device 430 First capacitor electrode plate 442 Resistor 450 Second capacitor electrode Pole plate 470 Second dielectric layer 480 Stacked contacts 482 Interconnect 484 Interconnect 490-1 Interconnect 490-2 Interconnect 600 Integrated circuit (IC) device 630 First capacitor electrode plate 700 IC device 730 First Capacitor electrode plate 740 first dielectric layer 760 high-K dielectric layer 800 IC device 830 first capacitor electrode plate 840 first dielectric layer 842 conductive resistor 850 second capacitor electrode plate 860 high- K dielectric layer 900 IC device 940 First dielectric layer 942 Resistor 950 Second capacitor electrode plate 960-1 high-K dielectric layer 960-2 high-K dielectric layer 970 Second dielectric layer 1000 IC device 103 First capacitor electrode plate 1040 First dielectric layer 1042 Resistor 1050 Second capacitor electrode plate 1060-1 high-K dielectric layer 1060-2 high-K dielectric layer 1070 Second dielectric layer 1080 Stacked Contacts 1082 Interconnect 1084 Interconnect 1090-1 Interconnect 1090-2 Interconnect 1200 Wireless communication system 1220 Remote unit 1225A MIM capacitor 1225B MIM capacitor 1225C MIM capacitor 1230 Remote unit 1240 Base station 1250 Remote unit 1280 Forward link signal 1290 Reverse direction Link signal 1300 Design workstation 1302 Display 1303 Drive unit 1304 Storage medium 1310 Circuit 1312 Semiconductor Component

Claims (20)

キャパシタを作製する方法であって、
前記キャパシタの第1のプレートとして、ならびに半導体デバイスのソースおよびドレイン領域への第1の組のローカル相互接続として、半導体基板のシャロートレンチアイソレーション(STI)領域の上に第1のミドルオブライン(MOL)導電層を堆積するステップと、
絶縁体層を前記第1のMOL導電層上に堆積するステップと、
第2のMOL導電層を前記キャパシタの第2のプレートとして前記絶縁体層上に堆積するステップと
を含む方法。
A method for producing a capacitor, comprising:
As a first plate of the capacitor and as a first set of local interconnections to the source and drain regions of the semiconductor device, a first middle of line (STI) region above the shallow trench isolation (STI) region of the semiconductor substrate. MOL) depositing a conductive layer;
Depositing an insulator layer on the first MOL conductive layer;
Depositing a second MOL conductive layer on the insulator layer as a second plate of the capacitor.
前記絶縁体層を堆積する前に前記第1のプレートをマスキングするステップと、
前記絶縁体層の代わりに、high−K絶縁体層を前記第1のプレートに堆積し、パターニングするステップであり、前記第2のMOL導電層が、前記絶縁体層の代わりに、前記high−K絶縁体層上に堆積される、ステップと
をさらに含む、請求項1に記載の方法。
Masking the first plate before depositing the insulator layer;
Depositing and patterning a high-K insulator layer on the first plate instead of the insulator layer, wherein the second MOL conductive layer is replaced with the high- The method of claim 1, further comprising depositing on the K insulator layer.
前記第2のMOL導電層を抵抗器としてパターニングするステップをさらに含む、請求項1に記載の方法。   The method of claim 1, further comprising patterning the second MOL conductive layer as a resistor. 前記第1のプレート、前記第2のプレート、および前記第1の組のローカル相互接続に結合する第2の組のローカル相互接続をパターニングするステップをさらに含む、請求項1に記載の方法。   The method of claim 1, further comprising patterning a second set of local interconnects that couple to the first plate, the second plate, and the first set of local interconnects. 前記第1の組のローカル相互接続がアクティブコンタクトを備え、前記第2の組のローカル相互接続がスタッキングされたコンタクトを備える、請求項4に記載の方法。   The method of claim 4, wherein the first set of local interconnects comprises active contacts and the second set of local interconnects comprises stacked contacts. セルフォン、ハンドヘルドパーソナル通信システム(PCS)ユニット、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、ポータブルデータユニット、および/または固定位置データユニットに前記キャパシタを組み込むステップをさらに含む、請求項1に記載の方法。   Further comprising incorporating the capacitor in a cell phone, handheld personal communication system (PCS) unit, set top box, music player, video player, entertainment unit, navigation device, portable data unit, and / or fixed position data unit. The method according to 1. 半導体基板と、
前記半導体基板上の第1のキャパシタプレートを備える、第1のミドルオブライン(MOL)導電層と、
前記第1のキャパシタプレート上の絶縁体層と、
前記絶縁体層上の第2のキャパシタプレートを備える、第2のMOL導電層と、
前記第1のキャパシタプレートに結合された第1の相互接続と、
前記第2のキャパシタプレートに結合された第2の相互接続と
を備えるデバイス。
A semiconductor substrate;
A first middle-of-line (MOL) conductive layer comprising a first capacitor plate on the semiconductor substrate;
An insulator layer on the first capacitor plate;
A second MOL conductive layer comprising a second capacitor plate on the insulator layer;
A first interconnect coupled to the first capacitor plate;
And a second interconnect coupled to the second capacitor plate.
前記第1の導電MOL層が前記半導体基板上のアクティブコンタクト層を備える、請求項7に記載のデバイス。   The device of claim 7, wherein the first conductive MOL layer comprises an active contact layer on the semiconductor substrate. 前記第2の導電MOL層が前記半導体基板上のスタッキングされたコンタクト層を備える、請求項7に記載のデバイス。   The device of claim 7, wherein the second conductive MOL layer comprises a stacked contact layer on the semiconductor substrate. セルフォン、ハンドヘルドパーソナル通信システム(PCS)ユニット、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、ポータブルデータユニット、および/または固定位置データユニットに組み込まれる、請求項7に記載のデバイス。   8. The device of claim 7, incorporated into a cell phone, handheld personal communication system (PCS) unit, set top box, music player, video player, entertainment unit, navigation device, portable data unit, and / or fixed position data unit. 半導体基板と、
前記半導体基板上の第1の電荷を記憶するための手段を備える、第1のミドルオブライン(MOL)導電層と、
第1の電荷記憶手段上の絶縁体層と、
前記絶縁体層上の第2の電荷を記憶するための手段を備える、第2のMOL導電層と、
前記第1の電荷記憶手段に結合された第1の相互接続と、
前記第2の電荷記憶手段に結合された第2の相互接続と
を備えるデバイス。
A semiconductor substrate;
A first middle-of-line (MOL) conductive layer comprising means for storing a first charge on the semiconductor substrate;
An insulator layer on the first charge storage means;
A second MOL conductive layer comprising means for storing a second charge on the insulator layer;
A first interconnect coupled to the first charge storage means;
And a second interconnect coupled to the second charge storage means.
前記第1のMOL導電層が前記半導体基板上のアクティブコンタクト層を備える、請求項11に記載のデバイス。   The device of claim 11, wherein the first MOL conductive layer comprises an active contact layer on the semiconductor substrate. 前記第2のMOL導電層が前記半導体基板上のスタッキングされたコンタクト層を備える、請求項11に記載のデバイス。   The device of claim 11, wherein the second MOL conductive layer comprises a stacked contact layer on the semiconductor substrate. セルフォン、ハンドヘルドパーソナル通信システム(PCS)ユニット、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、ポータブルデータユニット、および/または固定位置データユニットに組み込まれる、請求項11に記載のデバイス。   12. A device according to claim 11, incorporated in a cell phone, handheld personal communication system (PCS) unit, set top box, music player, video player, entertainment unit, navigation device, portable data unit, and / or fixed position data unit. キャパシタを作製する方法であって、
前記キャパシタの第1のプレートとして、ならびに半導体デバイスのソースおよびドレイン領域への第1の組のローカル相互接続として、半導体基板のシャロートレンチアイソレーション(STI)領域の上に第1のミドルオブライン(MOL)導電層を堆積するステップと、
絶縁体層を前記第1のMOL導電層上に堆積するステップと、
第2のMOL導電層を前記キャパシタの第2のプレートとして前記絶縁体層上に堆積するステップと
を含む方法。
A method for producing a capacitor, comprising:
As a first plate of the capacitor and as a first set of local interconnections to the source and drain regions of the semiconductor device, a first middle of line (STI) region above the shallow trench isolation (STI) region of the semiconductor substrate. MOL) depositing a conductive layer;
Depositing an insulator layer on the first MOL conductive layer;
Depositing a second MOL conductive layer on the insulator layer as a second plate of the capacitor.
前記絶縁体層を堆積する前に前記第1のプレートをマスキングするステップと、
前記絶縁体層の代わりに、high−K絶縁体層を前記第1のプレートに堆積し、パターニングするステップであり、前記第2のMOL導電層が、前記絶縁体層の代わりに、前記high−K絶縁体層上に堆積される、ステップと
をさらに含む、請求項15に記載の方法。
Masking the first plate before depositing the insulator layer;
Depositing and patterning a high-K insulator layer on the first plate instead of the insulator layer, wherein the second MOL conductive layer is replaced with the high- The method of claim 15, further comprising: depositing on the K insulator layer.
前記第2のMOL導電層を抵抗器としてパターニングするステップをさらに含む、請求項15に記載の方法。   The method of claim 15, further comprising patterning the second MOL conductive layer as a resistor. 前記第1のプレート、前記第2のプレート、および前記第1の組のローカル相互接続に結合する第2の組のローカル相互接続をパターニングするステップをさらに含む、請求項15に記載の方法。   16. The method of claim 15, further comprising patterning a second set of local interconnects that couple to the first plate, the second plate, and the first set of local interconnects. 前記第1の組のローカル相互接続がアクティブコンタクトを備え、前記第2の組のローカル相互接続がスタッキングされたコンタクトを備える、請求項18に記載の方法。   The method of claim 18, wherein the first set of local interconnects comprises active contacts and the second set of local interconnects comprises stacked contacts. セルフォン、ハンドヘルドパーソナル通信システム(PCS)ユニット、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、エンターテインメントユニット、ナビゲーションデバイス、ポータブルデータユニット、および/または固定位置データユニットに前記キャパシタを組み込むステップをさらに含む、請求項15に記載の方法。   Further comprising incorporating the capacitor in a cell phone, handheld personal communication system (PCS) unit, set top box, music player, video player, entertainment unit, navigation device, portable data unit, and / or fixed position data unit. 15. The method according to 15.
JP2018134843A 2012-11-21 2018-07-18 Capacitor using middle of line (mol) conductive layers Pending JP2018164113A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/684,059 US9012966B2 (en) 2012-11-21 2012-11-21 Capacitor using middle of line (MOL) conductive layers
US13/684,059 2012-11-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015543161A Division JP2015535147A (en) 2012-11-21 2013-11-21 Capacitor using a middle-of-line (MIDLEOFLINE) (MOL) conductive layer

Publications (1)

Publication Number Publication Date
JP2018164113A true JP2018164113A (en) 2018-10-18

Family

ID=49726882

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2015543161A Pending JP2015535147A (en) 2012-11-21 2013-11-21 Capacitor using a middle-of-line (MIDLEOFLINE) (MOL) conductive layer
JP2018134843A Pending JP2018164113A (en) 2012-11-21 2018-07-18 Capacitor using middle of line (mol) conductive layers

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015543161A Pending JP2015535147A (en) 2012-11-21 2013-11-21 Capacitor using a middle-of-line (MIDLEOFLINE) (MOL) conductive layer

Country Status (6)

Country Link
US (2) US9012966B2 (en)
EP (1) EP2923388A1 (en)
JP (2) JP2015535147A (en)
KR (1) KR20150087312A (en)
CN (1) CN104798219B (en)
WO (1) WO2014081982A1 (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9012966B2 (en) 2012-11-21 2015-04-21 Qualcomm Incorporated Capacitor using middle of line (MOL) conductive layers
FR3007198B1 (en) * 2013-06-13 2015-06-19 St Microelectronics Rousset COMPONENT, FOR EXAMPLE NMOS TRANSISTOR, ACTIVE REGION WITH RELEASED COMPRESSION STRESSES, AND METHOD OF MANUFACTURING
FR3018139B1 (en) 2014-02-28 2018-04-27 Stmicroelectronics (Rousset) Sas COMPONENT INTEGRATED CIRCUIT, FOR EXAMPLE NMOS TRANSISTORS, WITH ACTIVATED REGIONS WITH COMPRESSED COMPRESSION STRESSES
US9269610B2 (en) * 2014-04-15 2016-02-23 Qualcomm Incorporated Pattern between pattern for low profile substrate
FR3025335B1 (en) 2014-08-29 2016-09-23 Stmicroelectronics Rousset METHOD FOR MANUFACTURING AN INTEGRATED CIRCUIT FOR IMPROVING INTEGRATED CIRCUIT RETRO-DESIGN AND CORRESPONDING INTEGRATED CIRCUIT
US9755013B2 (en) 2015-04-22 2017-09-05 Globalfoundries Inc. High density capacitor structure and method
US9673101B2 (en) 2015-09-30 2017-06-06 International Business Machines Corporation Minimize middle-of-line contact line shorts
US9893145B1 (en) * 2016-08-09 2018-02-13 International Business Machines Corporation On chip MIM capacitor
US10026731B1 (en) * 2017-04-14 2018-07-17 Qualcomm Incorporated Compound semiconductor transistor integration with high density capacitor
CN107170752B (en) * 2017-05-10 2020-05-01 京东方科技集团股份有限公司 Array substrate preparation method, array substrate and display device
US20190103320A1 (en) * 2017-10-03 2019-04-04 Qualcomm Incorporated Middle-of-line shielded gate for integrated circuits
CN110634845A (en) * 2019-09-12 2019-12-31 上海华力集成电路制造有限公司 MIM capacitor and manufacturing method thereof
US11462471B2 (en) * 2019-09-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Middle-of-line interconnect structure and manufacturing method

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5406447A (en) * 1992-01-06 1995-04-11 Nec Corporation Capacitor used in an integrated circuit and comprising opposing electrodes having barrier metal films in contact with a dielectric film
JP3369827B2 (en) * 1995-01-30 2003-01-20 株式会社東芝 Semiconductor device and manufacturing method thereof
US5559349A (en) * 1995-03-07 1996-09-24 Northrop Grumman Corporation Silicon integrated circuit with passive devices over high resistivity silicon substrate portion, and active devices formed in lower resistivity silicon layer over the substrate
JPH08316430A (en) * 1995-05-15 1996-11-29 Mitsubishi Electric Corp Semiconductor storage device, its manufacture, and stacked capacitor
US5614727A (en) * 1995-06-06 1997-03-25 International Business Machines Corporation Thin film diode having large current capability with low turn-on voltages for integrated devices
JPH1022457A (en) * 1996-07-03 1998-01-23 Mitsubishi Electric Corp Capacitance device and semiconductor device, and manufacture thereof
JPH10303372A (en) * 1997-01-31 1998-11-13 Sanyo Electric Co Ltd Semiconductor integrated circuit and producing method therefor
JPH1117124A (en) * 1997-06-24 1999-01-22 Toshiba Corp Semiconductor device and manufacture thereof
KR100257079B1 (en) * 1997-12-05 2000-05-15 김영환 Semiconductor device and method for fabricating the same
JP2000188383A (en) * 1998-10-14 2000-07-04 Fujitsu Ltd Semiconductor device and manufacture thereof, semiconductor integrated circuit and manufacture thereof
US6274435B1 (en) * 1999-01-04 2001-08-14 Taiwan Semiconductor Manufacturing Company High performance MIM (MIP) IC capacitor process
US6232197B1 (en) * 1999-04-07 2001-05-15 United Microelectronics Corp, Metal-insulator-metal capacitor
US6291307B1 (en) * 1999-08-06 2001-09-18 Chartered Semiconductor Manufacturing Ltd. Method and structure to make planar analog capacitor on the top of a STI structure
JP3450242B2 (en) * 1999-11-26 2003-09-22 Necエレクトロニクス株式会社 Method for manufacturing compound semiconductor integrated circuit
JP2001284526A (en) * 2000-03-28 2001-10-12 Nec Yamagata Ltd Mim capacitor for semiconductor integrated circuit
US6342734B1 (en) * 2000-04-27 2002-01-29 Lsi Logic Corporation Interconnect-integrated metal-insulator-metal capacitor and method of fabricating same
US6313003B1 (en) * 2000-08-17 2001-11-06 Taiwan Semiconductor Manufacturing Company Fabrication process for metal-insulator-metal capacitor with low gate resistance
US6885081B2 (en) * 2000-11-13 2005-04-26 Sharp Kabushiki Kaisha Semiconductor capacitor device having reduced voltage dependence
JP4226804B2 (en) * 2001-06-25 2009-02-18 株式会社東芝 Semiconductor device and manufacturing method thereof
US6576526B2 (en) * 2001-07-09 2003-06-10 Chartered Semiconductor Manufacturing Ltd. Darc layer for MIM process integration
US6492224B1 (en) * 2001-07-16 2002-12-10 Taiwan Semiconductor Manufacturing Company Buried PIP capacitor for mixed-mode process
TWI240352B (en) 2001-08-03 2005-09-21 Winbond Electronics Corp Integrated circuit device of high Q MIM capacitor and manufacturing process thereof
US6503793B1 (en) * 2001-08-10 2003-01-07 Agere Systems Inc. Method for concurrently forming an ESD protection device and a shallow trench isolation region
US6661049B2 (en) * 2001-09-06 2003-12-09 Taiwan Semiconductor Manufacturing Co., Ltd Microelectronic capacitor structure embedded within microelectronic isolation region
KR100431810B1 (en) * 2001-10-19 2004-05-17 주식회사 하이닉스반도체 A semiconductor device and a manufacturing method for a metal-insulator-metal capacitor of semiconductor device
US20030080366A1 (en) * 2001-10-29 2003-05-01 Matsushita Electric Industrial Co., Ltd. Non-volatile semiconductor memory device and manufacturing method thereof
JP4011334B2 (en) * 2001-12-04 2007-11-21 富士通株式会社 Ferroelectric capacitor manufacturing method and target
US6555844B1 (en) * 2002-03-21 2003-04-29 Macronix International Co., Ltd. Semiconductor device with minimal short-channel effects and low bit-line resistance
JP2003282726A (en) 2002-03-27 2003-10-03 Nec Electronics Corp Semiconductor device and its manufacturing method
US6720608B2 (en) * 2002-05-22 2004-04-13 United Microelectronics Corp. Metal-insulator-metal capacitor structure
US6818936B2 (en) * 2002-11-05 2004-11-16 Taiwan Semiconductor Manufacturing Company Scaled EEPROM cell by metal-insulator-metal (MIM) coupling
US6960796B2 (en) * 2002-11-26 2005-11-01 Micron Technology, Inc. CMOS imager pixel designs with storage capacitor
KR100505658B1 (en) * 2002-12-11 2005-08-03 삼성전자주식회사 Semiconductor device having MIM capacitor
JP4451594B2 (en) * 2002-12-19 2010-04-14 株式会社ルネサステクノロジ Semiconductor integrated circuit device and manufacturing method thereof
US7022246B2 (en) 2003-01-06 2006-04-04 International Business Machines Corporation Method of fabrication of MIMCAP and resistor at same level
JP3842745B2 (en) * 2003-02-28 2006-11-08 株式会社東芝 Semiconductor device and manufacturing method thereof
US20040188777A1 (en) * 2003-03-31 2004-09-30 Macronix International Co., Ltd. Mixed signal embedded mask ROM with virtual ground array and method for manufacturing same
US6777777B1 (en) * 2003-05-28 2004-08-17 Newport Fab, Llc High density composite MIM capacitor with flexible routing in semiconductor dies
US6949785B2 (en) * 2004-01-14 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Random access memory (RAM) capacitor in shallow trench isolation with improved electrical isolation to overlying gate electrodes
KR100597093B1 (en) * 2003-12-31 2006-07-04 동부일렉트로닉스 주식회사 Method for fabricating capacitor
US6900507B1 (en) * 2004-01-07 2005-05-31 Micron Technology, Inc. Apparatus with silicide on conductive structures
DE102004003084B3 (en) * 2004-01-21 2005-10-06 Infineon Technologies Ag Semiconductor memory cell and associated manufacturing method
KR100593444B1 (en) 2004-02-12 2006-06-28 삼성전자주식회사 Semiconductor device having MOS varistor and method for manufacturing same
JP4559757B2 (en) 2004-03-18 2010-10-13 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7195970B2 (en) * 2004-03-26 2007-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal capacitors
US7180116B2 (en) * 2004-06-04 2007-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal electrode to eliminate native oxide effect for metal insulator semiconductor (MIS) capacitor
JP2006004959A (en) * 2004-06-15 2006-01-05 Renesas Technology Corp Semiconductor device and its manufacturing method
KR100614650B1 (en) * 2004-09-16 2006-08-22 삼성전자주식회사 Image sensor and method for forming the same
JP4785030B2 (en) * 2005-01-18 2011-10-05 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US7361950B2 (en) * 2005-09-12 2008-04-22 International Business Machines Corporation Integration of a MIM capacitor with a plate formed in a well region and with a high-k dielectric
US7425740B2 (en) * 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US20070090417A1 (en) 2005-10-26 2007-04-26 Chiaki Kudo Semiconductor device and method for fabricating the same
US20070158714A1 (en) * 2005-11-21 2007-07-12 International Business Machines Corporation One-mask high-k metal-insulator-metal capacitor integration in copper back-end-of-line processing
US20070141776A1 (en) * 2005-12-19 2007-06-21 Jung-Ching Chen Semiconductor device having capacitor and fabricating method thereof
KR100827437B1 (en) * 2006-05-22 2008-05-06 삼성전자주식회사 Semiconductor integrated circuit device having MIM capacitor and fabrication method thereof
US7855422B2 (en) * 2006-05-31 2010-12-21 Alpha & Omega Semiconductor, Ltd. Formation of high sheet resistance resistors and high capacitance capacitors by a single polysilicon process
JP2008010467A (en) * 2006-06-27 2008-01-17 Matsushita Electric Ind Co Ltd Semiconductor device, and its fabrication process
KR100833180B1 (en) * 2006-07-06 2008-05-28 삼성전자주식회사 Semiconductor device with STI and method for fabricating the same
US7977726B2 (en) * 2007-08-31 2011-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. DRAM cell with enhanced capacitor area and the method of manufacturing the same
US8022458B2 (en) * 2007-10-08 2011-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitors integrated with metal gate formation
US7754559B2 (en) * 2008-03-19 2010-07-13 Tower Semiconductor Ltd. Method for fabricating capacitor structures using the first contact metal
US20090250784A1 (en) * 2008-04-08 2009-10-08 Texas Instruments Incorporated Structure and method for elimination of process-related defects in poly/metal plate capacitors
US8158988B2 (en) * 2008-06-05 2012-04-17 International Business Machines Corporation Interlevel conductive light shield
US8294216B2 (en) 2008-08-14 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating the formation of I/O and core MOS devices with MOS capacitors and resistors
US8436408B2 (en) * 2008-09-17 2013-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with decoupling capacitor design
US7843005B2 (en) * 2009-02-11 2010-11-30 International Business Machines Corporation SOI radio frequency switch with reduced signal distortion
US8866260B2 (en) * 2009-02-27 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. MIM decoupling capacitors under a contact pad
US9245881B2 (en) * 2009-03-17 2016-01-26 Qualcomm Incorporated Selective fabrication of high-capacitance insulator for a metal-oxide-metal capacitor
US8614497B2 (en) * 2009-08-07 2013-12-24 Broadcom Corporation Method for fabricating a MIM capacitor using gate metal for electrode and related structure
DE102010003452B4 (en) 2010-03-30 2018-12-13 Globalfoundries Dresden Module One Llc & Co. Kg A method of manufacturing a semiconductor device having a capacitor formed in the contact plane
US8896087B2 (en) * 2010-06-02 2014-11-25 Infineon Technologies Ag Shallow trench isolation area having buried capacitor
US9041153B2 (en) * 2011-09-29 2015-05-26 Broadcom Corporation MIM capacitor having a local interconnect metal electrode and related structure
US8659066B2 (en) * 2012-01-06 2014-02-25 International Business Machines Corporation Integrated circuit with a thin body field effect transistor and capacitor
US8524556B1 (en) * 2012-03-14 2013-09-03 United Microelectronics Corp. Resistor and manufacturing method thereof
US9012966B2 (en) 2012-11-21 2015-04-21 Qualcomm Incorporated Capacitor using middle of line (MOL) conductive layers

Also Published As

Publication number Publication date
KR20150087312A (en) 2015-07-29
CN104798219A (en) 2015-07-22
WO2014081982A1 (en) 2014-05-30
US9496254B2 (en) 2016-11-15
US20140138793A1 (en) 2014-05-22
US9012966B2 (en) 2015-04-21
US20150221638A1 (en) 2015-08-06
EP2923388A1 (en) 2015-09-30
CN104798219B (en) 2018-03-30
JP2015535147A (en) 2015-12-07

Similar Documents

Publication Publication Date Title
JP2018164113A (en) Capacitor using middle of line (mol) conductive layers
US9768161B2 (en) FinFET capacitor circuit
CN102741934B (en) Magnetic tunnel junction device and fabrication
JP2018093232A (en) Complementary back end of line (BEOL) capacitor
KR101315911B1 (en) High breakdown voltage embedded mim capacitor structure
US20120199949A1 (en) High Density Metal-Insulator-Metal Trench Capacitor
US8975724B2 (en) Anti-fuse device
CN110770895B (en) On-chip coupling capacitor with patterned radio frequency shielding structure for reduced loss
US10269490B2 (en) Metal-oxide-metal capacitor using vias within sets of interdigitated fingers
US20150137201A1 (en) High density linear capacitor
US9508589B2 (en) Conductive layer routing
WO2019112766A1 (en) Integrated circuit with metal gate having dielectric portion over isolation area
JP2018523299A (en) Capacitor structure for power delivery applications
TW202147652A (en) Back-end-of-line (beol) sidewall metal-insulator-metal (mim) capacitor

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180719

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190408

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190410

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20191105