JP2014194081A - Manufacturing method of semiconductor device, substrate treatment method, substrate treatment apparatus, semiconductor device - Google Patents

Manufacturing method of semiconductor device, substrate treatment method, substrate treatment apparatus, semiconductor device Download PDF

Info

Publication number
JP2014194081A
JP2014194081A JP2014070516A JP2014070516A JP2014194081A JP 2014194081 A JP2014194081 A JP 2014194081A JP 2014070516 A JP2014070516 A JP 2014070516A JP 2014070516 A JP2014070516 A JP 2014070516A JP 2014194081 A JP2014194081 A JP 2014194081A
Authority
JP
Japan
Prior art keywords
film
ald
aln
processing chamber
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014070516A
Other languages
Japanese (ja)
Other versions
JP5801916B2 (en
Inventor
Kazuhiro Harada
和宏 原田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2014070516A priority Critical patent/JP5801916B2/en
Publication of JP2014194081A publication Critical patent/JP2014194081A/en
Application granted granted Critical
Publication of JP5801916B2 publication Critical patent/JP5801916B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

PROBLEM TO BE SOLVED: To provide a manufacturing method of a semiconductor device, which allows reducing a residual impurity in a film without giving plasma damage, improving flatness of the film, and improving deposition rate while usage amount of a precursor is suppressed, and a substrate treatment apparatus.SOLUTION: A manufacturing method includes steps of: forming an insulator film on a substrate; forming an insulator film with a high dielectric constant on the insulator film; forming an aluminum nitride titanium film on the insulator film with the high dielectric constant. In the step of forming the aluminum nitride titanium film, formation of an aluminum nitride film and a titanium nitride film are alternately repeated, in which the aluminum nitride film is formed firstly and/or lastly.

Description

本発明は、半導体装置の製造方法および基板処理装置に関し、特に、基板上にメタル膜を形成する工程を備える半導体装置の製造方法および基板上にメタル膜を形成する基板処理装置に関する。   The present invention relates to a method for manufacturing a semiconductor device and a substrate processing apparatus, and more particularly to a method for manufacturing a semiconductor device including a step of forming a metal film on a substrate and a substrate processing apparatus for forming a metal film on a substrate.

Metal/High−kゲートスタックでは、高温熱処理を行うとフェルミレベルピニング現象により、メタルゲート電極の実効仕事関数がミッドギャップへシフトしてしまうという問題が生じる。この現象は、特にp−MOSFETにおいて顕著に現れる。その回避方法としてゲート電極にメタル複合膜、例えばMetal−Al−N膜を使用する研究が行われている。Metal−Al−N膜としては、例えばTiAlN膜、RuAlN膜などが例示される。   In the Metal / High-k gate stack, the high temperature heat treatment causes a problem that the effective work function of the metal gate electrode shifts to the mid gap due to the Fermi level pinning phenomenon. This phenomenon particularly appears in the p-MOSFET. As a method for avoiding this, studies have been conducted on the use of a metal composite film such as a Metal-Al-N film as the gate electrode. Examples of the Metal-Al-N film include a TiAlN film and a RuAlN film.

従来のメタル複合膜の成膜法としては、2つのプリカーサと反応ガスとを交互に供給するALD法が主流である(例えば、非特許文献1、2参照)。非特許文献1、2には、プラズマを使用したPEALD(Plasma Enhanced ALD)法で成膜する例が開示されている。   As a conventional method for forming a metal composite film, an ALD method in which two precursors and a reactive gas are alternately supplied is a mainstream (see, for example, Non-Patent Documents 1 and 2). Non-Patent Documents 1 and 2 disclose an example in which a film is formed by a PEALD (Plasma Enhanced ALD) method using plasma.

Yong Ju Lee and Sang-Won Kang:Electrochemical and Solid-State Letters, 6 (5) C70-C72 (2003) “Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN”Yong Ju Lee and Sang-Won Kang: Electrochemical and Solid-State Letters, 6 (5) C70-C72 (2003) “Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Layer Deposition of Al and TiN ” Yong Ju Lee and Sang-Won Kang: J.Vac.Sci.Technol.A,Vol.21,No.5,Sep/Oct 2003 “Controlling the composition of Ti1-XAlXN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition”Yong Ju Lee and Sang-Won Kang: J.Vac.Sci.Technol.A, Vol.21, No.5, Sep / Oct 2003 “Controlling the composition of Ti1-XAlXN thin films by modifying the number of TiN and AlN subcycles in atomic layer deposition ”

しかしながら、メタル複合膜をALD法で成膜する場合、その処理温度の低さからプリカーサ由来の残留不純物が除去しきれないという問題がある。これに対して非特許文献1のようにプラズマを使用したPEALD法でのメタル複合膜の成膜も行われているが、PALD法で成膜する場合、膜の平坦性が悪くなることがあり、また、プラズマを使用することからゲート電極の形成に適用する場合、ゲート絶縁膜等へのプラズマダメージおよびEOTの増加が懸念される。   However, when the metal composite film is formed by the ALD method, there is a problem that residual impurities derived from the precursor cannot be removed due to the low processing temperature. On the other hand, the metal composite film is formed by PEALD method using plasma as in Non-Patent Document 1, but when the film is formed by PALD method, the flatness of the film may be deteriorated. In addition, since plasma is used, when it is applied to the formation of a gate electrode, there is a concern about plasma damage to the gate insulating film or the like and an increase in EOT.

また2種類のプリカーサと反応ガスとの交互供給によるALD法によりメタル複合膜を成膜する場合、その堆積速度が問題となり、メタル複合膜の形成には、莫大な時間とプリカーサを費やしてしまうという大きな問題がある。   In addition, when a metal composite film is formed by the ALD method by alternately supplying two kinds of precursors and a reactive gas, the deposition speed becomes a problem, and it takes a lot of time and a precursor to form the metal composite film. There is a big problem.

そこで本発明は、プラズマダメージを与えることなく膜中の残留不純物を低減でき、膜の平坦性を向上でき、さらには、プリカーサの使用量を抑えつつ堆積速度を向上させることができる半導体装置の製造方法および基板処理装置を提供することを目的とする。   Therefore, the present invention can reduce the residual impurities in the film without causing plasma damage, improve the flatness of the film, and further manufacture a semiconductor device capable of improving the deposition rate while suppressing the amount of precursor used. It is an object to provide a method and a substrate processing apparatus.

本発明の一態様によれば、基板上に絶縁膜を形成する工程と、前記絶縁膜上に高誘電率絶縁膜を形成する工程と、前記高誘電率絶縁膜上に窒化アルミニウムチタン膜を形成する工程と、を有し、前記窒化アルミニウムチタン膜を形成する工程では、窒化アルミニウム膜の形成と、窒化チタン膜の形成と、を交互に繰り返し行い、その際、最初および/または最後に前記窒化アルミニウム膜を形成する半導体装置の製造方法が提供される。ここで、高誘電率絶縁膜とは、SiOの比誘電率(4程度)よりも高い比誘電率を有する絶縁膜のことを意味している。また、最初および/または最後に前記窒化アルミニウム膜を形成するとは、最初に前記窒化アルミニウム膜を形成するか(AlNファースト)、最後に前記窒化アルミニウム膜を形成するか(AlNラスト)、もしくは、最初および最後に前記窒化アルミニウム膜を形成する(AlNファースト・ラスト)ことを意味している。 According to one aspect of the present invention, an insulating film is formed on a substrate, a high dielectric constant insulating film is formed on the insulating film, and an aluminum titanium nitride film is formed on the high dielectric constant insulating film. In the step of forming the aluminum titanium nitride film, the formation of the aluminum nitride film and the formation of the titanium nitride film are alternately repeated. At this time, the nitridation is performed first and / or last. A method of manufacturing a semiconductor device for forming an aluminum film is provided. Here, the high dielectric constant insulating film means an insulating film having a relative dielectric constant higher than that of SiO 2 (about 4). In addition, the formation of the aluminum nitride film at the beginning and / or the last is that the aluminum nitride film is formed first (AlN first), the aluminum nitride film is formed last (AlN last), or the first Finally, it means that the aluminum nitride film is formed (AlN first last).

本発明の他の態様によれば、基板上に絶縁膜を形成する工程と、前記絶縁膜上に高誘電率絶縁膜を形成する工程と、前記高誘電率絶縁膜上に窒化アルミニウムチタン膜を形成する工程と、を有し、前記窒化アルミニウムチタン膜を形成する工程では、ALD法による窒化アルミニウム膜の形成と、CVD法による窒化チタン膜の形成とを、同一の処理室内で、前記基板の温度を同一温度に設定した状態で、間に前記処理室内のパージを挟みつつ、交互に繰り返し行い、その際、最初および/または最後に前記窒化アルミニウム膜を形成する半導体装置の製造方法が提供される。   According to another aspect of the present invention, an insulating film is formed on a substrate, a high dielectric constant insulating film is formed on the insulating film, and an aluminum titanium nitride film is formed on the high dielectric constant insulating film. Forming the aluminum titanium nitride film, and forming the aluminum nitride film by the ALD method and forming the titanium nitride film by the CVD method in the same processing chamber. Provided is a method of manufacturing a semiconductor device in which the aluminum nitride film is formed at the beginning and / or at the end, alternately and repeatedly with the temperature set at the same temperature, with the purge in the processing chamber interposed therebetween. The

本発明の更に他の態様によれば、表面に絶縁膜を介して高誘電率絶縁膜が形成された基板を処理する処理室と、前記処理室内にアルミニウム原子を含む第1原料を供給する第1原料供給系と、前記処理室内にチタン原子を含む第2原料を供給する第2原料供給系と、前記処理室内に窒素原子を含む反応ガスを供給する反応ガス供給系と、前記処理室内の基板を加熱するヒータと、前記処理室内への前記第1原料および前記反応ガスの供給による窒化アルミニウム膜の形成と、前記処理室内への前記第2原料および前記反応ガスの供給による窒化チタン膜の形成と、を交互に繰り返し行うことで、前記基板上に形成された前記高誘電率絶縁膜上に窒化アルミニウムチタン膜を形成すると共に、その際、最初および/または最後に前記窒化アルミニウム膜を形成するように、前記第1原料供給系、前記第2原料供給系、前記反応ガス供給系、および、前記ヒータを制御するコントローラと、を有する基板処理装置が提供される。   According to still another aspect of the present invention, there is provided a processing chamber for processing a substrate having a high dielectric constant insulating film formed on the surface via an insulating film, and a first raw material containing aluminum atoms is supplied into the processing chamber. A raw material supply system, a second raw material supply system for supplying a second raw material containing titanium atoms into the processing chamber, a reactive gas supply system for supplying a reactive gas containing nitrogen atoms into the processing chamber, A heater for heating a substrate; formation of an aluminum nitride film by supplying the first raw material and the reactive gas into the processing chamber; and forming a titanium nitride film by supplying the second raw material and the reactive gas into the processing chamber. The aluminum nitride titanium film is formed on the high dielectric constant insulating film formed on the substrate by alternately and repeatedly forming the aluminum nitride film. So as to form a um membrane, the first raw material supply system, said second source supply system, the reactive gas supply system, and a substrate processing apparatus is provided with a controller for controlling the heater.

本発明にかかる半導体装置の製造方法及び基板処理装置によれば、プラズマダメージを与えることなく膜中の残留不純物を低減でき、膜の平坦性を向上でき、さらには、プリカーサの使用量を抑えつつ堆積速度を向上させることができる。   According to the method for manufacturing a semiconductor device and the substrate processing apparatus according to the present invention, it is possible to reduce residual impurities in the film without causing plasma damage, improve the flatness of the film, and further suppress the use amount of the precursor. The deposition rate can be improved.

本発明の実施形態にかかる基板処理工程における成膜シーケンス図である。It is a film-forming sequence diagram in the substrate processing process concerning the embodiment of the present invention. 本発明の実施形態にかかる基板処理装置の有するガス供給系の構成図である。It is a block diagram of the gas supply system which the substrate processing apparatus concerning embodiment of this invention has. 本発明の実施形態にかかる基板処理装置のウェハ処理時における断面構成図である。It is a section lineblock diagram at the time of wafer processing of a substrate processing device concerning an embodiment of the present invention. 本発明の実施形態にかかる基板処理装置のウェハ搬送時における断面構成図である。It is a section lineblock diagram at the time of wafer conveyance of a substrate processing device concerning an embodiment of the present invention. 本発明の実施形態にかかる基板処理工程のフロー図である。It is a flowchart of the substrate processing process concerning embodiment of this invention. 実施例1の評価結果を示す図であり、(a)は、CVD−TiN成膜におけるHfSiON、AlN、SiO上でのCVD−TiN膜厚のTDMAT供給時間依存性を示しており、(b)は、ALD−AlN成膜におけるHfSiON、TiN、SiO上でのALD−AlN膜厚のALDサイクル数依存性を示している。Is a diagram showing the evaluation results of Example 1, (a) shows HfSiON, AlN, the TDMAT supply time dependence of CVD-TiN film thickness of on SiO 2 in the CVD-TiN film formation, (b ) Shows the ALD cycle number dependence of the ALD-AlN film thickness on HfSiON, TiN, and SiO 2 in ALD-AlN film formation. 実施例2の評価結果を示す図であり、(a)は、ALD−AlN成膜とCVD−TiN成膜とを繰り返し行い、5層、11層、21層からなる積層膜を形成した後の、それぞれの断面TEM写真を示しており、(b)は、ALD−AlN成膜とCVD−TiN成膜とを繰り返し行い、5層、11層、21層からなる積層膜を形成し、900℃でNアニールを行った後の、それぞれの断面TEM写真を示している。It is a figure which shows the evaluation result of Example 2, (a) repeats ALD-AlN film-forming and CVD-TiN film-forming, and after forming the laminated film which consists of 5 layers, 11 layers, and 21 layers 4A and 4B show cross-sectional TEM photographs, respectively, in which (b) repeats ALD-AlN film formation and CVD-TiN film formation to form a laminated film of five layers, eleven layers, and twenty-one layers, and 900 ° C. after the N 2 annealing in shows the respective cross-sectional TEM photograph. 実施例3の評価結果を示す図であり、(a)は、11層からなる積層膜を形成し、900℃でNアニールを行った後のXPS深さ方向プロファイルを示しており、(b)は、21層からなる積層膜を形成し、900℃でNアニールを行った後のXPS深さ方向プロファイルを示している。Is a diagram showing the evaluation results of Example 3, (a) forms a laminated film composed of 11 layers, it shows the XPS depth profile after the N 2 annealing at 900 ° C., (b ) Shows an XPS depth direction profile after forming a laminated film of 21 layers and performing N 2 annealing at 900 ° C. 実施例4の評価結果を示す図であり、(a)は、TiAlN膜におけるAl/Ti濃度のALD−AlNサイクル数依存性を示す図であり、(b)は、抵抗率のAl濃度依存性を示す図である。It is a figure which shows the evaluation result of Example 4, (a) is a figure which shows the ALD-AlN cycle number dependence of Al / Ti density | concentration in a TiAlN film | membrane, (b) is an Al density | concentration dependence of resistivity. FIG. 実施例5の評価結果を示す図であり、(a)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成し、900℃でNアニールを行った後のSEM写真を示しており、(b)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成し、900℃でNアニールを行った後の断面TEM写真を示しており、(c)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成し、900℃でNアニールを行った後のAFM写真を示している。Is a diagram showing the evaluation results of Examples. 5, (a) shows the, by repeating the CVD-TiN film formation and ALD-AlN film formation to form a TiAlN film, SEM photographs after the N 2 annealing at 900 ° C. (B) shows a cross-sectional TEM photograph after forming a TiAlN film by repeating CVD-TiN film formation and ALD-AlN film formation and performing N 2 annealing at 900 ° C. c) shows an AFM photograph after forming a TiAlN film by repeating CVD-TiN film formation and ALD-AlN film formation and performing N 2 annealing at 900 ° C. 実施例6の評価結果を示す図であり、(a)は、CVD−TiN成膜とALD−AlN成膜の繰り返しにより形成したTiAlN膜をゲート電極に適用したp−MOSFETのゲート構造(評価サンプル構造)を示しており、(b)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成する際のコンディションとその積層構造を示しており、(c)は、実効仕事関数のTiAlN膜におけるAl濃度依存性を示す図である。It is a figure which shows the evaluation result of Example 6, (a) is the gate structure (evaluation sample) of the p-MOSFET which applied the TiAlN film | membrane formed by repeating CVD-TiN film-forming and ALD-AlN film-forming to a gate electrode. (B) shows the condition when the TiAlN film is formed by repeating the CVD-TiN film formation and the ALD-AlN film formation and the laminated structure, and (c) shows the effective work. It is a figure which shows Al concentration dependence in the TiAlN film | membrane of a function. 実施例7の評価結果を示す図であり、(a)は、CVD−TiN成膜とALD−AlN成膜の繰り返しにより形成したTiAlN膜をゲート電極に適用したp−MOSFETのゲート構造(評価サンプル構造)を示しており、(b)及び(c)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成する際のコンディションとその積層構造を示しており、(d)は、実効仕事関数のTiAlN膜におけるAl濃度依存性を示す図である。It is a figure which shows the evaluation result of Example 7, (a) is the gate structure (evaluation sample) of the p-MOSFET which applied the TiAlN film | membrane formed by repeating CVD-TiN film-forming and ALD-AlN film-forming to a gate electrode. (B) and (c) show the condition when the TiAlN film is formed by repeating the CVD-TiN film formation and the ALD-AlN film formation, and the laminated structure thereof, (d) These are figures which show the Al concentration dependence in the TiAlN film | membrane of an effective work function. 実施例7の評価結果を示す図であり、(a)は、CVD−TiN成膜とALD−AlN成膜の繰り返しにより形成したTiAlN膜をゲート電極に適用したp−MOSFETのゲート構造(評価サンプル構造)を示しており、(b)及び(c)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成する際のコンディションとその積層構造を示しており、(d)は、実効仕事関数のTiAlN膜におけるAl濃度依存性を示す図である。It is a figure which shows the evaluation result of Example 7, (a) is the gate structure (evaluation sample) of the p-MOSFET which applied the TiAlN film | membrane formed by repeating CVD-TiN film-forming and ALD-AlN film-forming to a gate electrode. (B) and (c) show the condition when the TiAlN film is formed by repeating the CVD-TiN film formation and the ALD-AlN film formation, and the laminated structure thereof, (d) These are figures which show the Al concentration dependence in the TiAlN film | membrane of an effective work function. (a)は、最上層をCVD−TiN膜としたTiAlN膜の酸化度合いの経時変化を示す図であり、(b)は、最上層をALD−AlN膜としたTiAlN膜の酸化度合いの経時変化を示す図である。(A) is a figure which shows the time-dependent change of the oxidation degree of the TiAlN film which used the uppermost layer as the CVD-TiN film, and (b) is the time change of the degree of oxidation of the TiAlN film which used the uppermost layer as the ALD-AlN film. FIG. 本実施形態で好適に用いられる縦型ALD装置の縦型処理炉の概略構成図であり、(a)は、処理炉302部分を縦断面で示し、(b)は、処理炉302部分を図15(a)のA−A線断面図で示す。It is a schematic block diagram of the vertical processing furnace of the vertical ALD apparatus used suitably by this embodiment, (a) shows the processing furnace 302 part in a longitudinal cross section, (b) is a figure showing the processing furnace 302 part. It is shown by the AA line sectional view of 15 (a). 本発明の更に他の実施形態にかかる基板処理工程における成膜シーケンス図である。It is a film-forming sequence diagram in the substrate processing process concerning further another embodiment of the present invention.

(1)基板処理装置の構成
まず、本実施形態にかかる基板処理装置の構成について、図3,4を参照しながら説明する。図3は、本発明の一実施形態にかかる基板処理装置のウェハ処理時における断面構成図であり、図4は、本発明の一実施形態にかかる基板処理装置のウェハ搬送時における断面構成図である。
(1) Configuration of Substrate Processing Apparatus First, the configuration of the substrate processing apparatus according to the present embodiment will be described with reference to FIGS. FIG. 3 is a cross-sectional configuration diagram of the substrate processing apparatus according to one embodiment of the present invention during wafer processing, and FIG. 4 is a cross-sectional configuration diagram of the substrate processing apparatus according to one embodiment of the present invention during wafer transfer. is there.

(処理室)
図3,4に示すとおり、本実施形態にかかる基板処理装置は処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料により構成されている。処理容器202内には、基板としてのシリコンウェハ等のウェハ200を処理する処理室201が形成されている。
(Processing room)
As shown in FIGS. 3 and 4, the substrate processing apparatus according to this embodiment includes a processing container 202. The processing container 202 is configured as a flat sealed container having a circular cross section, for example. Moreover, the processing container 202 is comprised, for example with metal materials, such as aluminum (Al) and stainless steel (SUS). A processing chamber 201 for processing a wafer 200 such as a silicon wafer as a substrate is formed in the processing container 202.

処理室201内には、ウェハ200を支持する支持台203が設けられている。ウェハ200が直接触れる支持台203の上面には、例えば、石英(SiO)、カーボン、セラミックス、炭化ケイ素(SiC)、酸化アルミニウム(Al)、又は窒化アルミニウム(AlN)などから構成された支持板としてのサセプタ217が設けられている。
また、支持台203には、ウェハ200を加熱する加熱手段(加熱源)としてのヒータ206が内蔵されている。なお、支持台203の下端部は、処理容器202の底部を貫通している。
A support table 203 that supports the wafer 200 is provided in the processing chamber 201. For example, quartz (SiO 2 ), carbon, ceramics, silicon carbide (SiC), aluminum oxide (Al 2 O 3 ), or aluminum nitride (AlN) is formed on the upper surface of the support base 203 that the wafer 200 directly touches. A susceptor 217 is provided as a support plate.
In addition, the support base 203 incorporates a heater 206 as a heating means (heating source) for heating the wafer 200. Note that the lower end portion of the support base 203 passes through the bottom portion of the processing container 202.

処理室201の外部には、支持台203を昇降させる昇降機構207bが設けられている。この昇降機構207bを作動させて支持台203を昇降させることにより、サセプタ217上に支持されるウェハ200を昇降させることが可能となっている。支持台203は、ウェハ200の搬送時には図4で示される位置(ウェハ搬送位置)まで下降し、ウェハ200の処理時には図3で示される位置(ウェハ処理位置)まで上昇する。なお、支持台203下端部の周囲は、ベローズ203aにより覆われており、処理室201内は気密に保持されている。   Outside the processing chamber 201, an elevating mechanism 207b for elevating the support base 203 is provided. The wafer 200 supported on the susceptor 217 can be moved up and down by operating the lifting mechanism 207 b to raise and lower the support base 203. The support table 203 is lowered to the position shown in FIG. 4 (wafer transfer position) when the wafer 200 is transferred, and is raised to the position shown in FIG. 3 (wafer processing position) when the wafer 200 is processed. The periphery of the lower end portion of the support base 203 is covered with a bellows 203a, and the inside of the processing chamber 201 is kept airtight.

また、処理室201の底面(床面)には、例えば3本のリフトピン208bが鉛直方向に立ち上がるように設けられている。また、支持台203(サセプタ217も含む)には、かかるリフトピン208bを貫通させるための貫通孔208aが、リフトピン208bに対応する位置にそれぞれ設けられている。そして、支持台203をウェハ搬送位置まで下降させた時には、図4に示すように、リフトピン208bの上端部がサセプタ217の上面から突出して、リフトピン208bがウェハ200を下方から支持するようになっている。また、支持台203をウェハ処理位置まで上昇させたときには、図3に示すようにリフトピン208bはサセプタ217の上面から埋没して、サセプタ217がウェハ200を下方から支持するようになっている。なお、リフトピン208bは、ウェハ200と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。   In addition, on the bottom surface (floor surface) of the processing chamber 201, for example, three lift pins 208b are provided so as to rise in the vertical direction. Further, the support base 203 (including the susceptor 217) is provided with through holes 208a through which the lift pins 208b pass, at positions corresponding to the lift pins 208b. When the support table 203 is lowered to the wafer transfer position, as shown in FIG. 4, the upper ends of the lift pins 208b protrude from the upper surface of the susceptor 217, and the lift pins 208b support the wafer 200 from below. Yes. When the support table 203 is raised to the wafer processing position, as shown in FIG. 3, the lift pins 208b are buried from the upper surface of the susceptor 217, and the susceptor 217 supports the wafer 200 from below. In addition, since the lift pins 208b are in direct contact with the wafer 200, it is desirable to form the lift pins 208b with a material such as quartz or alumina.

(ウェハ搬送口)
処理室201(処理容器202)の内壁側面には、処理室201の内外にウェハ200を搬送するためのウェハ搬送口250が設けられている。ウェハ搬送口250にはゲートバルブ251が設けられており、ゲートバルブ251を開くことにより、処理室201内と搬送室(予備室)271内とが連通するようになっている。搬送室271は搬送容器(密閉容器)272内に形成されており、搬送室271内にはウェハ200を搬送する搬送ロボット273が設けられている。搬送ロボット273には、ウェハ200を搬送する際にウェハ200を支持する搬送アーム273aが備えられている。支持台203をウェハ搬送位置まで下降させた状態で、ゲートバルブ251を開くことにより、搬送ロボット273により処理室201内と搬送室271内との間でウェハ200を搬送することが可能となっている。処理室201内に搬送されたウェハ200は、上述したようにリフトピン208b上に一時的に載置される。なお、搬送室271のウェハ搬送口250が設けられた側と反対側には、図示しないロードロック室が設けられており、搬送ロボット273によりロードロック室内と搬送室271内との間でウェハ200を搬送することが可能となっている。なお、ロードロック室は、未処理もしくは処理済のウェハ200を一時的に収容する予備室として機能する。
(Wafer transfer port)
On the inner wall side surface of the processing chamber 201 (processing container 202), a wafer transfer port 250 for transferring the wafer 200 into and out of the processing chamber 201 is provided. The wafer transfer port 250 is provided with a gate valve 251. By opening the gate valve 251, the processing chamber 201 and the transfer chamber (preliminary chamber) 271 communicate with each other. The transfer chamber 271 is formed in a transfer container (sealed container) 272, and a transfer robot 273 that transfers the wafer 200 is provided in the transfer chamber 271. The transfer robot 273 includes a transfer arm 273 a that supports the wafer 200 when the wafer 200 is transferred. By opening the gate valve 251 while the support table 203 is lowered to the wafer transfer position, the transfer robot 273 can transfer the wafer 200 between the processing chamber 201 and the transfer chamber 271. Yes. The wafer 200 transferred into the processing chamber 201 is temporarily placed on the lift pins 208b as described above. Note that a load lock chamber (not shown) is provided on the opposite side of the transfer chamber 271 from the side where the wafer transfer port 250 is provided, and the transfer robot 273 moves the wafer 200 between the load lock chamber and the transfer chamber 271. Can be transported. The load lock chamber functions as a spare chamber for temporarily storing unprocessed or processed wafers 200.

(排気系)
処理室201(処理容器202)の内壁側面であって、ウェハ搬送口250の反対側には、処理室201内の雰囲気を排気する排気口260が設けられている。排気口260には排気チャンバ260aを介して排気管261が接続されており、排気管261には、処理室201内を所定の圧力に制御するAPC(Auto Pressure Controller)等の圧力調整器262、原料回収トラップ263、及び真空ポンプ264が順に直列に接続されている。主に、排気口260、排気チャンバ260a、排気管261、圧力調整器262、原料回収トラップ263、真空ポンプ264により排気系(排気ライン)が構成される。
(Exhaust system)
An exhaust port 260 for exhausting the atmosphere in the processing chamber 201 is provided on the inner wall side surface of the processing chamber 201 (processing container 202) on the opposite side of the wafer transfer port 250. An exhaust pipe 261 is connected to the exhaust port 260 via an exhaust chamber 260a. The exhaust pipe 261 has a pressure regulator 262 such as an APC (Auto Pressure Controller) that controls the inside of the processing chamber 201 at a predetermined pressure. A raw material recovery trap 263 and a vacuum pump 264 are connected in series in this order. An exhaust system (exhaust line) is mainly configured by the exhaust port 260, the exhaust chamber 260a, the exhaust pipe 261, the pressure regulator 262, the raw material recovery trap 263, and the vacuum pump 264.

(ガス導入口)
処理室201の上部に設けられる後述のシャワーヘッド240の上面(天井壁)には、処理室201内に各種ガスを供給するためのガス導入口210が設けられている。なお、ガス導入口210に接続されるガス供給系の構成については後述する。
(Gas inlet)
A gas inlet 210 for supplying various gases into the processing chamber 201 is provided on the upper surface (ceiling wall) of a shower head 240 described later provided in the upper portion of the processing chamber 201. The configuration of the gas supply system connected to the gas inlet 210 will be described later.

(シャワーヘッド)
ガス導入口210と処理室201との間には、ガス分散機構としてのシャワーヘッド240が設けられている。シャワーヘッド240は、ガス導入口210から導入されるガスを分散させるための分散板240aと、分散板240aを通過したガスをさらに均一に分散させて支持台203上のウェハ200の表面に供給するためのシャワー板240bと、を備えている。分散板240aおよびシャワー板240bには、複数の通気孔が設けられている。分散板240aは、シャワーヘッド240の上面及びシャワー板240bと対向するように配置されており、シャワー板240bは、支持台203上のウェハ200と対向するように配置されている。なお、シャワーヘッド240の上面と分散板240aとの間、および分散板240aとシャワー板240bとの間には、それぞれ空間が設けられており、かかる空間は、ガス導入口210から供給されるガスを分散させるための第1バッファ空間(分散室)240c、および分散板240aを通過したガスを拡散させるための第2バッファ空間240dとしてそれぞれ機能する。
(shower head)
A shower head 240 as a gas dispersion mechanism is provided between the gas inlet 210 and the processing chamber 201. The shower head 240 disperses the gas introduced from the gas introduction port 210 and the gas that has passed through the dispersion plate 240 a are more uniformly dispersed and supplied to the surface of the wafer 200 on the support table 203. A shower plate 240b. The dispersion plate 240a and the shower plate 240b are provided with a plurality of vent holes. The dispersion plate 240 a is disposed so as to face the upper surface of the shower head 240 and the shower plate 240 b, and the shower plate 240 b is disposed so as to face the wafer 200 on the support table 203. Note that spaces are provided between the upper surface of the shower head 240 and the dispersion plate 240a, and between the dispersion plate 240a and the shower plate 240b, respectively, and the spaces are supplied from the gas inlet 210. Function as a first buffer space (dispersion chamber) 240c for dispersing the gas and a second buffer space 240d for diffusing the gas that has passed through the dispersion plate 240a.

(排気ダクト)
処理室201(処理容器202)の内壁側面には、段差部201aが設けられている。そして、この段差部201aは、コンダクタンスプレート204をウェハ処理位置近傍に保持するように構成されている。コンダクタンスプレート204は、内周部にウェハ200を収容する穴が設けられた1枚のドーナツ状(リング状)をした円板として構成されている。コンダクタンスプレート204の外周部には、所定間隔を開けて周方向に配列された複数の排出口204aが設けられている。排出口204aは、コンダクタンスプレート204の外周部がコンダクタンスプレート204の内周部を支えることができるよう、不連続に形成されている。
(Exhaust duct)
A step portion 201a is provided on the side surface of the inner wall of the processing chamber 201 (processing vessel 202). The step portion 201a is configured to hold the conductance plate 204 in the vicinity of the wafer processing position. The conductance plate 204 is configured as a single donut-shaped (ring-shaped) disk in which a hole for accommodating the wafer 200 is provided in the inner periphery. A plurality of discharge ports 204 a arranged in the circumferential direction with a predetermined interval are provided on the outer periphery of the conductance plate 204. The discharge port 204 a is formed discontinuously so that the outer periphery of the conductance plate 204 can support the inner periphery of the conductance plate 204.

一方、支持台203の外周部には、ロワープレート205が係止している。ロワープレート205は、リング状の凹部205bと、凹部205bの内側上部に一体的に設けられたフランジ部205aとを備えている。凹部205bは、支持台203の外周部と、処理室201の内壁側面との隙間を塞ぐように設けられている。凹部205bの底部のうち排気口260付近の一部には、凹部205b内から排気口260側へガスを排出(流通)させるためのプレート排気口205cが設けられている。フランジ部205aは、支持台203の上部外周縁上に係止する係止部として機能する。フランジ部205aが支持台203の上部外周縁上に係止することにより、ロワープレート205が、支持台203の昇降に伴い、支持台203と共に昇降されるようになっている。   On the other hand, a lower plate 205 is locked to the outer peripheral portion of the support base 203. The lower plate 205 includes a ring-shaped concave portion 205b and a flange portion 205a provided integrally on the inner upper portion of the concave portion 205b. The recess 205 b is provided so as to close a gap between the outer peripheral portion of the support base 203 and the inner wall side surface of the processing chamber 201. A part of the bottom of the recess 205b near the exhaust port 260 is provided with a plate exhaust port 205c for discharging (circulating) gas from the recess 205b to the exhaust port 260 side. The flange portion 205 a functions as a locking portion that locks on the upper outer periphery of the support base 203. When the flange portion 205 a is locked on the upper outer periphery of the support base 203, the lower plate 205 is moved up and down together with the support base 203 as the support base 203 is moved up and down.

支持台203がウェハ処理位置まで上昇したとき、ロワープレート205もウェハ処理位置まで上昇する。その結果、ウェハ処理位置近傍に保持されているコンダクタンスプレート204が、ロワープレート205の凹部205bの上面部分を塞ぎ、凹部205bの内部をガス流路領域とする排気ダクト259が形成されることとなる。なお、このとき、排気ダクト259(コンダクタンスプレート204及びロワープレート205)及び支持台203によって、処理室201内が、排気ダクト259よりも上方の処理室上部と、排気ダクト259よりも下方の処理室下部と、に仕切られることとなる。なお、コンダクタンスプレート204およびロワープレート205は、排気ダクト259の内壁に堆積する反応生成物をエッチングする場合(セルフクリーニングする場合)を考慮して、高温保持が可能な材料、例えば、耐高温高負荷用石英で構成することが好ましい。   When the support table 203 is raised to the wafer processing position, the lower plate 205 is also raised to the wafer processing position. As a result, the conductance plate 204 held in the vicinity of the wafer processing position closes the upper surface portion of the recess 205b of the lower plate 205, and the exhaust duct 259 having the gas passage region inside the recess 205b is formed. . At this time, due to the exhaust duct 259 (the conductance plate 204 and the lower plate 205) and the support base 203, the inside of the processing chamber 201 is above the processing chamber above the exhaust duct 259 and the processing chamber below the exhaust duct 259. It will be partitioned into a lower part. The conductance plate 204 and the lower plate 205 are made of materials that can be kept at a high temperature, for example, high temperature and high load resistance, in consideration of etching reaction products deposited on the inner wall of the exhaust duct 259 (self cleaning). Preferably, it is made of quartz for use.

ここで、ウェハ処理時における処理室201内のガスの流れについて説明する。まず、ガス導入口210からシャワーヘッド240の上部へと供給されたガスは、第1バッファ空間(分散室)240cを経て分散板240aの多数の孔から第2バッファ空間240dへと入り、さらにシャワー板240bの多数の孔を通過して処理室201内に供給され、ウェハ200上に均一に供給される。そして、ウェハ200上に供給されたガスは、ウェハ200の径方向外側に向かって放射状に流れる。そして、ウェハ200に接触した後の余剰なガスは、ウェハ200外周部に位置する排気ダクト259上、すなわち、コンダクタンスプレート204上を、ウェハ200の径方向外側に向かって放射状に流れ、コンダクタンスプレート204に設けられた排出口204aから、排気ダクト259内のガス流路領域内(凹部205b内)へと排出される。その後、ガスは排気ダクト259内を流れ、プレート排気口205cを経由して排気口260へと排気される。このようにガスを流すことで、処理室下部、すなわち、支持台203の裏面や処理室201の底面側へのガスの回り込みが抑制される。   Here, the flow of gas in the processing chamber 201 during wafer processing will be described. First, the gas supplied from the gas inlet 210 to the upper portion of the shower head 240 enters the second buffer space 240d through the first buffer space (dispersion chamber) 240c through a large number of holes in the dispersion plate 240a, and further into the shower. It passes through a large number of holes in the plate 240 b and is supplied into the processing chamber 201, and is uniformly supplied onto the wafer 200. The gas supplied onto the wafer 200 flows radially outward of the wafer 200 in the radial direction. Then, surplus gas after contacting the wafer 200 flows radially on the exhaust duct 259 located on the outer peripheral portion of the wafer 200, that is, on the conductance plate 204 toward the radially outer side of the wafer 200. Is discharged into the gas flow path region (in the recess 205b) in the exhaust duct 259. Thereafter, the gas flows through the exhaust duct 259 and is exhausted to the exhaust port 260 via the plate exhaust port 205c. By flowing the gas in this way, gas wraparound to the lower part of the processing chamber, that is, the back surface of the support base 203 or the bottom surface side of the processing chamber 201 is suppressed.

<ガス供給系>
続いて、上述したガス導入口210に接続されるガス供給系の構成について、図2を参照しながら説明する。図2は、本発明の実施形態にかかる基板処理装置の有するガス供給系(ガス供給ライン)の構成図である。
<Gas supply system>
Next, the configuration of the gas supply system connected to the gas inlet 210 described above will be described with reference to FIG. FIG. 2 is a configuration diagram of a gas supply system (gas supply line) included in the substrate processing apparatus according to the embodiment of the present invention.

本発明の実施形態にかかる基板処理装置の有するガス供給系は、常温で液体状態である液体原料を気化する気化部としてのバブラと、バブラにて液体原料を気化させて得た原料ガスを処理室201内に供給する原料ガス供給系と、原料ガスとは異なる反応ガスを処理室201内に供給する反応ガス供給系と、を有している。さらに、本発明の実施形態にかかる基板処理装置は、処理室201内にパージガスを供給するパージガス供給系と、バブラからの原料ガスを処理室201内に供給することなく処理室201をバイパスするよう排気するベント(バイパス)系とを有している。以下に、各部の構成について説明する。   A gas supply system included in a substrate processing apparatus according to an embodiment of the present invention includes a bubbler as a vaporization unit that vaporizes a liquid raw material that is in a liquid state at room temperature, and a raw material gas obtained by vaporizing the liquid raw material in the bubbler A source gas supply system for supplying the reaction gas into the chamber 201 and a reaction gas supply system for supplying a reaction gas different from the source gas into the processing chamber 201 are provided. Further, the substrate processing apparatus according to the embodiment of the present invention bypasses the processing chamber 201 without supplying the purge gas supply system for supplying the purge gas into the processing chamber 201 and the source gas from the bubbler into the processing chamber 201. And a vent (bypass) system for exhausting. Below, the structure of each part is demonstrated.

<バブラ>
処理室201の外部には、液体原料としての第1原料(原料A)を収容する第1原料容器(第1バブラ)220aと、液体原料としての第2原料(原料B)を供給する第2原料容器(第2バブラ)220bが設けられている。第1バブラ220a、第2バブラ220bは、それぞれ内部に液体原料を収容(充填)可能なタンク(密閉容器)として構成されており、また、液体原料をバブリングにより気化させて原料ガスを生成させる気化部としても構成されている。なお、第1バブラ220a、第2バブラ220bの周りには、第1バブラ220a、第2バブラ220bおよび内部の液体原料を加熱するサブヒータ206aが設けられている。第1原料としては、例えば、Ti(チタニウム)元素を含む有機金属液体原料であるTDMAT(Tetrakis-Dimethyl-Amido-Titanium)が用いられ、第2原料としては、例えばAl(アルミニウム)元素を含む有機金属液体原料であるTMA(Trimethylaluminium)が用いられる。
<Bubbler>
Outside the processing chamber 201, a first raw material container (first bubbler) 220a for storing a first raw material (raw material A) as a liquid raw material and a second raw material (raw material B) as a liquid raw material are supplied. A raw material container (second bubbler) 220b is provided. The first bubbler 220a and the second bubbler 220b are each configured as a tank (sealed container) capable of containing (filling) a liquid material therein, and also vaporizing the liquid material by bubbling to generate a material gas. It is also configured as a part. Around the first bubbler 220a and the second bubbler 220b, there are provided a first heater 220a, a second bubbler 220b, and a sub-heater 206a for heating the liquid material inside. As the first raw material, for example, TDMAT (Tetrakis-Dimethyl-Amido-Titanium) which is an organometallic liquid raw material containing Ti (titanium) element is used, and as the second raw material, for example, an organic material containing Al (aluminum) element is used. TMA (Trimethylaluminium) which is a metal liquid raw material is used.

第1バブラ220a、第2バブラ220bには、第1キャリアガス供給管237a、第2キャリアガス供給管237bがそれぞれ接続されている。第1キャリアガス供給管237a、第2キャリアガス供給管237bの上流側端部には、図示しないキャリアガス供給源が接続されている。また、第1キャリアガス供給管237a、第2キャリアガス供給管237bの下流側端部は、それぞれ第1バブラ220a、第2バブラ220b内に収容した液体原料内に浸されている。第1キャリアガス供給管237aには、キャリアガスの供給流量を制御する流量制御器としてのマスフローコントローラ(MFC)222aと、キャリアガスの供給を制御するバルブva1,va2が設けられている。第2キャリアガス供給管237bには、キャリアガスの供給流量を制御する流量制御器としてのマスフローコントローラ(MFC)222bと、キャリアガスの供給を制御するバルブvb1,vb2が設けられている。なお、キャリアガスとしては、液体原料とは反応しないガスを用いることが好ましく、例えばNガスやArガス等の不活性ガスが好適に用いられる。主に、第1キャリアガス供給管237a、第2キャリアガス供給管237b、MFC222a,222b、バルブva1,va2,vb1,vb2により、第1キャリアガス供給系、第2キャリアガス供給系(第1キャリアガス供給ライン、第2キャリアガス供給ライン)がそれぞれ構成される。 A first carrier gas supply pipe 237a and a second carrier gas supply pipe 237b are connected to the first bubbler 220a and the second bubbler 220b, respectively. A carrier gas supply source (not shown) is connected to upstream ends of the first carrier gas supply pipe 237a and the second carrier gas supply pipe 237b. Further, the downstream end portions of the first carrier gas supply pipe 237a and the second carrier gas supply pipe 237b are immersed in the liquid raw materials accommodated in the first bubbler 220a and the second bubbler 220b, respectively. The first carrier gas supply pipe 237a is provided with a mass flow controller (MFC) 222a as a flow rate controller for controlling the supply flow rate of the carrier gas, and valves va1 and va2 for controlling the supply of the carrier gas. The second carrier gas supply pipe 237b is provided with a mass flow controller (MFC) 222b as a flow rate controller for controlling the supply flow rate of the carrier gas, and valves vb1 and vb2 for controlling the supply of the carrier gas. As the carrier gas, it is preferable to use a gas that does not react with the liquid raw material. For example, an inert gas such as N 2 gas or Ar gas is preferably used. The first carrier gas supply system, the second carrier gas supply pipe 237a, the second carrier gas supply pipe 237b, the MFCs 222a and 222b, and the valves va1, va2, vb1, and vb2 Gas supply line, second carrier gas supply line).

上記構成により、バルブva1,va2,vb1,vb2を開け、第1キャリアガス供給管237a、第2キャリアガス供給管237bからMFC222a,222bで流量制御されたキャリアガスを第1バブラ220a、第2バブラ220b内に供給することにより、第1バブラ220a、第2バブラ220b内部に収容された液体原料をバブリングにより気化させて原料ガスを生成させることが可能となる。なお、原料ガスの供給流量は、キャリアガスの供給流量から割り出すことができる。すなわち、キャリアガスの供給流量を制御することにより原料ガスの供給流量を制御することができる。   With the above configuration, the valves va1, va2, vb1, vb2 are opened, and the carrier gas whose flow rate is controlled by the MFCs 222a, 222b from the first carrier gas supply pipe 237a and the second carrier gas supply pipe 237b is supplied to the first bubbler 220a, the second bubbler. By supplying it into 220b, it becomes possible to vaporize the liquid raw material accommodated in the first bubbler 220a and the second bubbler 220b by bubbling to generate a raw material gas. Note that the supply flow rate of the source gas can be determined from the supply flow rate of the carrier gas. That is, the supply flow rate of the source gas can be controlled by controlling the supply flow rate of the carrier gas.

<原料ガス供給系>
第1バブラ220a、第2バブラ220bには、第1バブラ220a、第2バブラ220b内で生成された原料ガスを処理室201内に供給する第1原料ガス供給管213a、第2原料ガス供給管213bがそれぞれ接続されている。第1原料ガス供給管213a、第2原料ガス供給管213bの上流側端部は、第1バブラ220a、第2バブラ220bの上部に存在する空間に連通している。第1原料ガス供給管213a、第2原料ガス供給管213bの下流側端部は合流して、高耐久高速ガスバルブVを介してガス導入口210に接続されている。高耐久高速ガスバルブVは、短時間ですばやくガス供給の切り替えおよびガス排気ができるように構成されたバルブである。なお、第1原料ガス供給管213a、第2原料ガス供給管213bには、処理室201内への原料ガスの供給を制御するバルブva3,vb3がそれぞれ設けられている。
<Raw gas supply system>
The first bubbler 220a and the second bubbler 220b include a first source gas supply pipe 213a and a second source gas supply pipe that supply the source gas generated in the first bubbler 220a and the second bubbler 220b into the processing chamber 201, respectively. 213b are connected to each other. The upstream end portions of the first source gas supply pipe 213a and the second source gas supply pipe 213b communicate with a space existing above the first bubbler 220a and the second bubbler 220b. The downstream end portions of the first source gas supply pipe 213a and the second source gas supply pipe 213b merge and are connected to the gas inlet 210 via the high durability high speed gas valve V. The high durability high-speed gas valve V is a valve configured to be able to quickly switch gas supply and exhaust gas in a short time. The first source gas supply pipe 213a and the second source gas supply pipe 213b are provided with valves va3 and vb3 for controlling the supply of the source gas into the processing chamber 201, respectively.

上記構成により、第1バブラ220a、第2バブラ220bにて液体原料を気化させて原料ガスを発生させるとともに、バルブva3,vb3を開くことにより、第1原料ガス供給管213a、第2原料ガス供給管213bから処理室201内へ原料ガスを供給することが可能となる。主に、第1原料ガス供給管213a、第2原料ガス供給管213b、バルブva3,vb3、高耐久高速ガスバルブVにより第1原料ガス供給系、第2原料ガス供給系(第1原料ガス供給ライン、第2原料ガス供給ライン)がそれぞれ構成される。   With the above configuration, the first raw material gas supply pipe 213a and the second raw material gas supply are generated by opening the valves va3 and vb3 while generating the raw material gas by vaporizing the liquid raw material in the first bubbler 220a and the second bubbler 220b. The source gas can be supplied into the processing chamber 201 from the tube 213b. The first source gas supply system, the second source gas supply system (first source gas supply line) is mainly configured by the first source gas supply pipe 213a, the second source gas supply pipe 213b, the valves va3 and vb3, and the high durability high-speed gas valve V. , A second source gas supply line).

また、主に、第1キャリアガス供給系、第2キャリアガス供給系、第1バブラ220a、第2バブラ220b、第1原料ガス供給系、第2原料ガス供給系により、第1原料供給系、第2原料供給系(第1原料供給ライン、第2原料供給ライン)がそれぞれ構成される。   In addition, mainly, the first source gas supply system, the second carrier gas supply system, the first bubbler 220a, the second bubbler 220b, the first source gas supply system, the second source gas supply system, the first source gas supply system, Second raw material supply systems (first raw material supply line and second raw material supply line) are configured.

<反応ガス供給系>
また、処理室201の外部には、反応ガスを供給する反応ガス供給源220cが設けられている。反応ガス供給源220cには、反応ガス供給管213cの上流側端部が接続されている。反応ガス供給管213cの下流側端部は、高耐久高速ガスバルブVを介してガス導入口210に接続されている。反応ガス供給管213cには、反応ガスの供給流量を制御する流量制御器としてのマスフローコントローラ(MFC)222cと、反応ガスの供給を制御するバルブvc1,vc2が設けられている。反応ガスとしては、例えばアンモニア(NH)ガスが用いられる。主に、反応ガス供給源220c、反応ガス供給管213c、MFC222c、バルブvc1,vc2により、反応ガス供給系(反応ガス供給ライン)が構成される。
<Reactive gas supply system>
In addition, a reaction gas supply source 220 c that supplies a reaction gas is provided outside the processing chamber 201. The upstream end of the reactive gas supply pipe 213c is connected to the reactive gas supply source 220c. The downstream end of the reaction gas supply pipe 213c is connected to the gas inlet 210 through a highly durable high-speed gas valve V. The reaction gas supply pipe 213c is provided with a mass flow controller (MFC) 222c as a flow rate controller for controlling the supply flow rate of the reaction gas, and valves vc1 and vc2 for controlling the supply of the reaction gas. As the reaction gas, for example, ammonia (NH 3 ) gas is used. A reactive gas supply system (reactive gas supply line) is mainly configured by the reactive gas supply source 220c, the reactive gas supply pipe 213c, the MFC 222c, and the valves vc1 and vc2.

<パージガス供給系>
また、処理室201の外部には、パージガスを供給するためのパージガス供給源220d,220eが設けられている。パージガス供給源220d,220eには、パージガス供給管213d,213eの上流側端部がそれぞれ接続されている。パージガス供給管213dの下流側端部は反応ガス供給管213cに合流して、高耐久高速ガスバルブVを介してガス導入口210に接続されている。パージガス供給管213eの下流側端部は第1原料ガス供給管213a、第2原料ガス供給管213bに合流して、高耐久高速ガスバルブVを介してガス導入口210に接続されている。パージガス供給管213d,213eには、パージガスの供給流量を制御する流量制御器としてのマスフローコントローラ(MFC)222d,222eと、パージガスの供給を制御するバルブvd1,vd2,ve1,ve2がそれぞれ設けられている。パージガスとしては、例えばNガスやArガス等の不活性ガスが用いられる。主に、パージガス供給源220d,220e、パージガス供給管213d,213e、MFC222d,222e、バルブvd1,vd2,ve1,ve2により、パージガス供給系(パージガス供給ライン)が構成される。
<Purge gas supply system>
Further, purge gas supply sources 220d and 220e for supplying purge gas are provided outside the processing chamber 201. The upstream ends of the purge gas supply pipes 213d and 213e are connected to the purge gas supply sources 220d and 220e, respectively. The downstream end of the purge gas supply pipe 213d merges with the reaction gas supply pipe 213c and is connected to the gas inlet 210 through the high durability high speed gas valve V. The downstream end of the purge gas supply pipe 213e joins the first source gas supply pipe 213a and the second source gas supply pipe 213b, and is connected to the gas inlet 210 via the high durability high speed gas valve V. The purge gas supply pipes 213d and 213e are provided with mass flow controllers (MFC) 222d and 222e as flow rate controllers for controlling the supply flow rate of the purge gas, and valves vd1, vd2, ve1 and ve2 for controlling the supply of the purge gas, respectively. Yes. As the purge gas, for example, an inert gas such as N 2 gas or Ar gas is used. A purge gas supply system (purge gas supply line) is mainly configured by the purge gas supply sources 220d and 220e, the purge gas supply pipes 213d and 213e, the MFCs 222d and 222e, and the valves vd1, vd2, ve1, and ve2.

<ベント(バイパス)系>
また、第1原料ガス供給管213a、第2原料ガス供給管213bのバルブva3,vb3よりも上流側には、第1ベント管215a、第2ベント管215bの上流側端部がそれぞれ接続されている。また、第1ベント管215a、第2ベント管215bの下流側端部は合流して、排気管261の圧力調整器262よりも下流側であって原料回収トラップ263よりも上流側に接続されている。第1ベント管215a、第2ベント管215bには、ガスの流通を制御するためのバルブva4,vb4がそれぞれ設けられている。
<Vent (bypass) system>
Further, upstream ends of the first vent pipe 215a and the second vent pipe 215b are connected to the upstream side of the valves va3 and vb3 of the first source gas supply pipe 213a and the second source gas supply pipe 213b, respectively. Yes. Further, the downstream end portions of the first vent pipe 215a and the second vent pipe 215b merge to be connected downstream of the pressure regulator 262 of the exhaust pipe 261 and upstream of the material recovery trap 263. Yes. The first vent pipe 215a and the second vent pipe 215b are provided with valves va4 and vb4 for controlling the gas flow, respectively.

上記構成により、バルブva3,vb3を閉め、バルブva4,vb4を開けることで、第1原料ガス供給管213a、第2原料ガス供給管213b内を流れるガスを、処理室201内に供給することなく、第1ベント管215a、第2ベント管215bを介して処理室201をバイパスさせ、排気管261より処理室201外へとそれぞれ排気することが可能となる。主に、第1ベント管215a、第2ベント管215b、バルブva4,vb4により第1ベント系、第2ベント系(第1ベントライン、第2ベントライン)がそれぞれ構成される。   With the above configuration, the valves va3 and vb3 are closed and the valves va4 and vb4 are opened, so that the gas flowing in the first source gas supply pipe 213a and the second source gas supply pipe 213b is not supplied into the processing chamber 201. Then, the processing chamber 201 can be bypassed via the first vent pipe 215a and the second vent pipe 215b, and exhausted from the exhaust pipe 261 to the outside of the processing chamber 201, respectively. A first vent system and a second vent system (a first vent line and a second vent line) are mainly configured by the first vent pipe 215a, the second vent pipe 215b, and the valves va4 and vb4.

なお、第1バブラ220a、第2バブラ220bの周りには、サブヒータ206aが設けられることは上述した通りだが、この他、第1キャリアガス供給管237a、第2キャリアガス供給管237b、第1原料ガス供給管213a、第2原料ガス供給管213b、第1ベント管215a、第2ベント管215b、排気管261、処理容器202、シャワーヘッド240等の周囲にもサブヒータ206aが設けられている。サブヒータ206aはこれらの部材を、例えば100℃以下の温度に加熱することで、これらの部材内部での原料ガスの再液化を防止するように構成されている。   As described above, the sub-heater 206a is provided around the first bubbler 220a and the second bubbler 220b. In addition, the first carrier gas supply pipe 237a, the second carrier gas supply pipe 237b, and the first raw material are provided. A sub-heater 206a is also provided around the gas supply pipe 213a, the second source gas supply pipe 213b, the first vent pipe 215a, the second vent pipe 215b, the exhaust pipe 261, the processing vessel 202, the shower head 240, and the like. The sub-heater 206a is configured to prevent re-liquefaction of the source gas inside these members by heating these members to a temperature of, for example, 100 ° C. or less.

<コントローラ>
なお、本実施形態にかかる基板処理装置は、基板処理装置の各部の動作を制御するコントローラ280を有している。コントローラ280は、ゲートバルブ251、昇降機構207b、搬送ロボット273、ヒータ206、サブヒータ206a、圧力調整器(APC)262、真空ポンプ264、バルブva1〜va4,vb1〜vb4,vc1〜vc2,vd1〜vd2、ve1〜ve2、高耐久高速ガスバルブV、流量コントローラ222a,222b,222c,222d,222e等の動作を制御する。
<Controller>
Note that the substrate processing apparatus according to the present embodiment includes a controller 280 that controls the operation of each unit of the substrate processing apparatus. The controller 280 includes a gate valve 251, an elevating mechanism 207b, a transfer robot 273, a heater 206, a sub heater 206a, a pressure regulator (APC) 262, a vacuum pump 264, valves va1 to va4, vb1 to vb4, vc1 to vc2, and vd1 to vd2. , Ve1 to ve2, the high durability high speed gas valve V, the flow controllers 222a, 222b, 222c, 222d, 222e and the like are controlled.

(2)基板処理工程
続いて、本発明の実施形態にかかる半導体装置の製造工程の一工程として、上述の基板処理装置を用いてCVD法およびALD法を併用してウェハ上に薄膜を形成する基板処理工程について、図1及び図5を参照しながら説明する。図5は、本発明の実施形態にかかる基板処理工程のフロー図である。また、図1は、本発明の実施形態にかかる基板処理工程におけるCVD工程とALD工程の成膜シーケンス図である。なお、以下の説明において、基板処理装置を構成する各部の動作は、コントローラ280によって制御される。
(2) Substrate Processing Step Subsequently, as a step of the manufacturing process of the semiconductor device according to the embodiment of the present invention, a thin film is formed on the wafer using the above-described substrate processing apparatus in combination with the CVD method and the ALD method. The substrate processing process will be described with reference to FIGS. FIG. 5 is a flowchart of the substrate processing process according to the embodiment of the present invention. FIG. 1 is a film forming sequence diagram of the CVD process and the ALD process in the substrate processing process according to the embodiment of the present invention. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 280.

なお、ここでは、
基板に対して第1のメタル原子(Ti)を含む第1原料(TDMAT)を供給し、基板に対してCVD法により第1のメタル原子(Ti)を含む第1のメタル膜(TiN膜)を形成するCVD工程と、
基板に対して第2のメタル原子(Al)を含む第2原料(TMA)を供給する工程と、基板に対して反応ガス(NH)を供給する工程と、を1サイクルとして、このサイクルを所定回数行い、基板に対してALD法により第2のメタル原子(Al)を含む第2のメタル膜(AlN膜)を形成するALD工程と、
を交互に繰り返すことにより、第1のメタル原子(Ti)および第2のメタル原子(Al)を含む第3のメタル膜(TiAlN膜)を形成する例について説明する。なお、本明細書では、メタル膜(金属膜)という用語はメタル(金属)原子を含む導電性の物質を意味しており、これには、金属単体で構成される膜の他、導電性の金属窒化膜、金属酸化膜、金属複合膜、金属合金膜等も含まれる。以下、これを詳細に説明する。
Here,
A first raw material (TDMAT) containing a first metal atom (Ti) is supplied to the substrate, and a first metal film (TiN film) containing the first metal atom (Ti) is applied to the substrate by a CVD method. CVD process for forming
The step of supplying the second raw material (TMA) containing the second metal atom (Al) to the substrate and the step of supplying the reaction gas (NH 3 ) to the substrate are defined as one cycle. An ALD step of performing a predetermined number of times and forming a second metal film (AlN film) containing a second metal atom (Al) on the substrate by an ALD method;
An example of forming a third metal film (TiAlN film) containing the first metal atom (Ti) and the second metal atom (Al) by repeating the above will be described. In this specification, the term metal film (metal film) means a conductive substance containing a metal (metal) atom. This includes a conductive film in addition to a film made of a single metal. Also included are metal nitride films, metal oxide films, metal composite films, metal alloy films, and the like. This will be described in detail below.

<基板搬入工程(S1)、基板載置工程(S2)>
まず、昇降機構207bを作動させ、支持台203を、図4に示すウェハ搬送位置まで下降させる。そして、ゲートバルブ251を開き、処理室201と搬送室271とを連通させる。そして、搬送ロボット273により搬送室271内から処理室201内へ処理対象のウェハ200を搬送アーム273aで支持した状態で搬入する(S1)。処理室201内に搬入したウェハ200は、支持台203の上面から突出しているリフトピン208b上に一時的に載置される。搬送ロボット273の搬送アーム273aが処理室201内から搬送室271内へ戻ると、ゲートバルブ251が閉じられる。
<Substrate Loading Step (S1), Substrate Placement Step (S2)>
First, the elevating mechanism 207b is operated to lower the support table 203 to the wafer transfer position shown in FIG. Then, the gate valve 251 is opened to allow the processing chamber 201 and the transfer chamber 271 to communicate with each other. Then, the wafer 200 to be processed is loaded from the transfer chamber 271 into the processing chamber 201 by the transfer robot 273 while being supported by the transfer arm 273a (S1). The wafer 200 carried into the processing chamber 201 is temporarily placed on the lift pins 208 b protruding from the upper surface of the support table 203. When the transfer arm 273a of the transfer robot 273 returns from the processing chamber 201 to the transfer chamber 271, the gate valve 251 is closed.

続いて、昇降機構207bを作動させ、支持台203を、図3に示すウェハ処理位置まで上昇させる。その結果、リフトピン208bは支持台203の上面から埋没し、ウェハ200は、支持台203上面のサセプタ217上に載置される(S2)。   Subsequently, the elevating mechanism 207b is operated to raise the support table 203 to the wafer processing position shown in FIG. As a result, the lift pins 208b are buried from the upper surface of the support table 203, and the wafer 200 is placed on the susceptor 217 on the upper surface of the support table 203 (S2).

<圧力調整工程(S3)、昇温工程(S4)>
続いて、圧力調整器(APC)262により、処理室201内の圧力が所定の処理圧力となるように制御する(S3)。また、ヒータ206に供給する電力を調整し、ウェハ200の表面温度が所定の処理温度となるように制御する(S4)。ここで、所定の処理温度、処理圧力とは、後述するCVD−TiN工程において、CVD法によりTiN膜を形成可能な処理温度、処理圧力であって、かつ、後述するALD−AlN工程において、ALD法によりAlN膜を形成可能な処理温度、処理圧力である。すなわち、CVD−TiN工程で用いる第1原料ガスが自己分解する程度の処理温度、処理圧力であって、ALD−AlN工程で用いる第2原料ガスが自己分解しない程度の処理温度、処理圧力である。
<Pressure adjusting step (S3), temperature raising step (S4)>
Subsequently, the pressure regulator (APC) 262 controls the pressure in the processing chamber 201 to be a predetermined processing pressure (S3). Further, the power supplied to the heater 206 is adjusted to control the surface temperature of the wafer 200 to a predetermined processing temperature (S4). Here, the predetermined processing temperature and processing pressure are processing temperature and processing pressure at which a TiN film can be formed by a CVD method in a CVD-TiN process described later, and in the ALD-AlN process described later, ALD This is the processing temperature and processing pressure at which an AlN film can be formed by the method. That is, the processing temperature and the processing pressure are such that the first source gas used in the CVD-TiN process is self-decomposed, and the second source gas used in the ALD-AlN process is not so self-decomposing. .

なお、基板搬入工程(S1)、基板載置工程(S2)、圧力調整工程(S3)、及び昇温工程(S4)においては、真空ポンプ264を作動させつつ、バルブva3,vb3,vc2を閉じ、バルブvd1,vd2,ve1,ve2を開けることで、処理室201内にNガスを常に流しておく。これにより、ウェハ200上へのパーティクルの付着を抑制することが可能となる。 In the substrate loading step (S1), the substrate placement step (S2), the pressure adjustment step (S3), and the temperature raising step (S4), the valves va3, vb3, vc2 are closed while the vacuum pump 264 is operated. By opening the valves vd1, vd2, ve1, and ve2, N 2 gas is always allowed to flow into the processing chamber 201. Thereby, adhesion of particles on the wafer 200 can be suppressed.

工程S1〜S4と並行して、第1原料を気化させて第1原料ガスを生成(予備気化)させておく。すなわち、バルブva1,va2を開き、第1キャリアガス供給管237aからMFC222aで流量制御されたキャリアガスを第1バブラ220a内に供給することにより、第1バブラ220a内部に収容された第1原料をバブリングにより気化させて第1原料ガスを生成させておく(予備気化工程)。この予備気化工程では、真空ポンプ264を作動させつつ、バルブva3を閉めたまま、バルブva4を開けることにより、第1原料ガスを処理室201内に供給することなく処理室201をバイパスして排気しておく。第1バブラにて第1原料ガスを安定して生成させるには所定の時間を要する。このため、本実施形態では、第1原料ガスを予め生成させておき、バルブva3,va4の開閉を切り替えることにより、第1原料ガスの流路を切り替える。その結果、バルブの切り替えにより、処理室201内への第1原料ガスの安定した供給を迅速に開始あるいは停止できるようになり、好ましい。   In parallel with the steps S1 to S4, the first raw material is vaporized to generate the first raw material gas (preliminary vaporization). That is, by opening the valves va1 and va2 and supplying the carrier gas whose flow rate is controlled by the MFC 222a from the first carrier gas supply pipe 237a into the first bubbler 220a, the first raw material contained in the first bubbler 220a is supplied. Vaporization is performed to generate the first source gas (preliminary vaporization step). In this preliminary vaporization step, while the vacuum pump 264 is operated, the valve va4 is opened while the valve va3 is closed, thereby bypassing the processing chamber 201 and exhausting it without supplying the first source gas into the processing chamber 201. Keep it. A predetermined time is required to stably generate the first source gas in the first bubbler. Therefore, in the present embodiment, the first source gas is generated in advance, and the flow path of the first source gas is switched by switching the opening and closing of the valves va3 and va4. As a result, it is preferable that the stable supply of the first source gas into the processing chamber 201 can be started or stopped quickly by switching the valve.

<CVD−TiN工程(S6)>
(第1原料ガス供給工程)
続いて、真空ポンプ264を作動させたまま、バルブva4を閉じ、バルブva3を開けて、処理室201内への第1原料ガス(Ti原料)の供給を開始する。第1原料ガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給される。余剰な第1原料ガスは、排気ダクト259内を流れ、排気口260、排気管261へと排気される(第1原料ガス供給工程)。このとき処理温度、処理圧力は第1原料ガスが自己分解する程度の処理温度、処理圧力とされるので、ウェハ200上に供給された第1原料ガスが熱分解することでCVD反応が生じ、これによりウェハ200上にTiN膜が形成される。
<CVD-TiN process (S6)>
(First source gas supply process)
Subsequently, the valve va4 is closed and the valve va3 is opened while the vacuum pump 264 is operated, and the supply of the first source gas (Ti source) into the processing chamber 201 is started. The first source gas is dispersed by the shower head 240 and is uniformly supplied onto the wafer 200 in the processing chamber 201. Excess first source gas flows through the exhaust duct 259 and is exhausted to the exhaust port 260 and the exhaust pipe 261 (first source gas supply step). At this time, since the processing temperature and the processing pressure are set to a processing temperature and processing pressure at which the first source gas is self-decomposed, a CVD reaction occurs when the first source gas supplied onto the wafer 200 is thermally decomposed, As a result, a TiN film is formed on the wafer 200.

なお、処理室201内への第1原料ガスの供給時には、反応ガス供給管213c内への第1原料ガスの侵入を防止するように、また、処理室201内における第1原料ガスの拡散を促すように、バルブvd1,vd2は開いたままとし、処理室201内にNガスを常に流しておくことが好ましい。 When the first source gas is supplied into the processing chamber 201, the first source gas is diffused in the processing chamber 201 so as to prevent the first source gas from entering the reaction gas supply pipe 213c. It is preferable to keep the valves vd1 and vd2 open so that the N 2 gas always flows into the processing chamber 201 so as to facilitate the operation.

バルブva3を開け第1原料ガスの供給を開始した後、所定時間が経過し、所望膜厚のTiN膜が形成されたら、バルブva3を閉じ、バルブva4を開けて、処理室201内への第1原料ガスの供給を停止する。また、同時に、バルブva1,va2を閉めて、第1バブラ220aへのキャリアガスの供給も停止する。   After the valve va3 is opened and the supply of the first source gas is started, when a predetermined time has elapsed and a TiN film having a desired film thickness is formed, the valve va3 is closed, the valve va4 is opened, and the first chamber into the processing chamber 201 is opened. (1) Supply of raw material gas is stopped. At the same time, the valves va1 and va2 are closed, and the supply of the carrier gas to the first bubbler 220a is also stopped.

(パージ工程)
バルブva3を閉め、第1原料ガスの供給を停止した後は、バルブvd1,vd2,ve1,ve2を開き、処理室201内にNガスを供給する。Nガスは、シャワーヘッド240により分散されて処理室201内に供給され、排気ダクト259内を流れ、排気口260、排気管261へと排気される。これにより、処理室201内に残留している第1原料ガスや反応副生成物を除去し、処理室201内をNガスによりパージする(パージ工程)。
(Purge process)
After the valve va3 is closed and the supply of the first source gas is stopped, the valves vd1, vd2, ve1, and ve2 are opened, and N 2 gas is supplied into the processing chamber 201. The N 2 gas is dispersed by the shower head 240 and supplied into the processing chamber 201, flows through the exhaust duct 259, and is exhausted to the exhaust port 260 and the exhaust pipe 261. As a result, the first raw material gas and reaction byproducts remaining in the processing chamber 201 are removed, and the inside of the processing chamber 201 is purged with N 2 gas (purge process).

なお、CVD−TiN工程(S6)においては、次のALD−AlN工程(S8)に備えて、第2原料を気化させて第2原料ガスを生成(予備気化)させておく。すなわち、バルブvb1,vb2を開き、第2キャリアガス供給管237bからMFC222bで流量制御されたキャリアガスを第2バブラ220b内に供給することにより、第2バブラ220b内部に収容された第2原料をバブリングにより気化させて第2原料ガスを生成させておく(予備気化工程)。この予備気化工程では、真空ポンプ264を作動させつつ、バルブvb3を閉めたまま、バルブvb4を開けることにより、第2原料ガスを処理室201内に供給することなく処理室201をバイパスして排気しておく。第2バブラにて第2原料ガスを安定して生成させるには所定の時間を要する。このため、本実施形態では、第2原料ガスを予め生成させておき、バルブvb3,vb4の開閉を切り替えることにより、第2原料ガスの流路を切り替える。その結果、バルブの切り替えにより、処理室201内への第2原料ガスの安定した供給を迅速に開始あるいは停止できるようになり、好ましい。   In the CVD-TiN step (S6), in preparation for the next ALD-AlN step (S8), the second raw material gas is vaporized to generate (preliminary vaporization). That is, by opening the valves vb1 and vb2 and supplying the carrier gas whose flow rate is controlled by the MFC 222b from the second carrier gas supply pipe 237b into the second bubbler 220b, the second raw material contained in the second bubbler 220b is obtained. Vaporization is performed to generate a second source gas (preliminary vaporization step). In this preliminary vaporization step, while the vacuum pump 264 is operated, the valve vb4 is opened while the valve vb3 is closed, thereby bypassing the process chamber 201 and exhausting it without supplying the second source gas into the process chamber 201. Keep it. A predetermined time is required to stably generate the second source gas in the second bubbler. Therefore, in the present embodiment, the second source gas is generated in advance, and the flow path of the second source gas is switched by switching the opening and closing of the valves vb3 and vb4. As a result, it is preferable that the stable supply of the second source gas into the processing chamber 201 can be started or stopped quickly by switching the valve.

<ALD−AlN工程(S8)>
(第2原料ガス供給工程)
続いて、真空ポンプ264を作動させたまま、バルブvb4を閉じ、バルブvb3を開けて、処理室201内への第2原料ガス(Al原料)の供給を開始する。第2原料ガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給される。余剰な第2原料ガスは、排気ダクト259内を流れ、排気口260、排気管261へと排気される(第2原料ガス供給工程)。なお、このとき処理温度、処理圧力は、第2原料ガスが自己分解しない程度の処理温度、処理圧力とされるので、ウェハ200上に供給された第2原料ガスはウェハ200表面に吸着する。正確には、上述のCVD−TiN工程(S6)でウェハ200上に形成されたTiN膜上に第2原料ガスのガス分子が吸着する。
<ALD-AlN process (S8)>
(Second source gas supply process)
Subsequently, the valve vb4 is closed and the valve vb3 is opened while the vacuum pump 264 is operated, and supply of the second source gas (Al source) into the processing chamber 201 is started. The second source gas is dispersed by the shower head 240 and is uniformly supplied onto the wafer 200 in the processing chamber 201. Excess second source gas flows through the exhaust duct 259 and is exhausted to the exhaust port 260 and the exhaust pipe 261 (second source gas supply step). At this time, since the processing temperature and the processing pressure are set to a processing temperature and a processing pressure at which the second source gas is not self-decomposed, the second source gas supplied onto the wafer 200 is adsorbed on the surface of the wafer 200. Precisely, gas molecules of the second source gas are adsorbed on the TiN film formed on the wafer 200 in the above-described CVD-TiN step (S6).

なお、処理室201内への第2原料ガスの供給時には、反応ガス供給管213c内への第2原料ガスの侵入を防止するように、また、処理室201内における第2原料ガスの拡散を促すように、バルブvd1,vd2は開いたままとし、処理室201内にNガスを常に流しておくことが好ましい。 When the second source gas is supplied into the processing chamber 201, the second source gas is diffused in the processing chamber 201 so as to prevent the second source gas from entering the reaction gas supply pipe 213c. It is preferable to keep the valves vd1 and vd2 open so that the N 2 gas always flows into the processing chamber 201 so as to facilitate the operation.

バルブvb3を開け第2原料ガスの供給を開始した後、所定時間が経過したら、バルブvb3を閉じ、バルブvb4を開けて、処理室201内への第2原料ガスの供給を停止する。   After a predetermined time has elapsed after opening the valve vb3 and starting the supply of the second source gas, the valve vb3 is closed and the valve vb4 is opened to stop the supply of the second source gas into the processing chamber 201.

(パージ工程)
バルブvb3を閉め、第2原料ガスの供給を停止した後は、バルブvd1,vd2,ve1,ve2を開き、処理室201内にNガスを供給する。Nガスは、シャワーヘッド240により分散されて処理室201内に供給され、排気ダクト259内を流れ、排気口260、排気管261へと排気される。これにより、処理室201内に残留している第2原料ガスを除去し、処理室201内をNガスによりパージする(パージ工程)。
(Purge process)
After the valve vb3 is closed and the supply of the second source gas is stopped, the valves vd1, vd2, ve1, and ve2 are opened, and N 2 gas is supplied into the processing chamber 201. The N 2 gas is dispersed by the shower head 240 and supplied into the processing chamber 201, flows through the exhaust duct 259, and is exhausted to the exhaust port 260 and the exhaust pipe 261. Thereby, the second source gas remaining in the processing chamber 201 is removed, and the inside of the processing chamber 201 is purged with N 2 gas (purge process).

(反応ガス供給工程)
処理室201内のパージが完了したら、バルブvc1,vc2を開けて、処理室201内への反応ガス(NHガス)の供給を開始する。反応ガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給され、ウェハ200表面に吸着している第2原料ガスと反応して、ウェハ200上にAlN膜を生成する。正確には、上述のCVD−TiN工程(S6)でウェハ200上に形成されたTiN膜上に吸着している第2原料ガスのガス分子と反応して、TiN膜上に1原子層未満(1Å未満)程度のAlN膜を生成する。余剰な反応ガスや反応副生成物は、排気ダクト259内を流れ、排気口260、排気管261へと排気される(反応ガス供給工程)。なお、処理室201内への反応ガスの供給時には、第1原料ガス供給管213a、第2原料ガス供給管213b内への反応ガスの侵入を防止するように、また、処理室201内における反応ガスの拡散を促すように、バルブve1,ve2は開けたままとし、処理室201内にNガスを常に流しておくことが好ましい。
(Reactive gas supply process)
When the purge in the processing chamber 201 is completed, the valves vc1 and vc2 are opened, and the supply of the reaction gas (NH 3 gas) into the processing chamber 201 is started. The reaction gas is dispersed by the shower head 240 and is uniformly supplied onto the wafer 200 in the processing chamber 201, and reacts with the second source gas adsorbed on the surface of the wafer 200 to generate an AlN film on the wafer 200. To do. Precisely, it reacts with the gas molecules of the second source gas adsorbed on the TiN film formed on the wafer 200 in the above-mentioned CVD-TiN step (S6), and less than one atomic layer on the TiN film ( An AlN film having a thickness of less than 1 mm is generated. Excess reaction gas and reaction byproducts flow through the exhaust duct 259 and are exhausted to the exhaust port 260 and the exhaust pipe 261 (reaction gas supply step). Note that when the reaction gas is supplied into the process chamber 201, the reaction gas in the process chamber 201 is prevented from entering the first source gas supply pipe 213a and the second source gas supply pipe 213b. It is preferable to keep the valves ve1 and ve2 open so as to promote the diffusion of the gas, and to always allow the N 2 gas to flow into the processing chamber 201.

バルブvc1,vc2を開け、反応ガスの供給を開始した後、所定時間が経過したら、バルブvc1,vc2を閉じ、処理室201内への反応ガスの供給を停止する。   When a predetermined time elapses after the valves vc1 and vc2 are opened and the supply of the reaction gas is started, the valves vc1 and vc2 are closed and the supply of the reaction gas into the processing chamber 201 is stopped.

(パージ工程)
バルブvc1,vc2を閉め、反応ガスの供給を停止した後は、バルブvd1,vd2,ve1,ve2を開き、処理室201内にNガスを供給する。Nガスは、シャワーヘッド240により分散されて処理室201内に供給され、排気ダクト259内を流れ、排気口260、排気管261へと排気される。これにより、処理室201内に残留している反応ガスや反応副生成物を除去し、処理室201内をNガスによりパージする(パージ工程)。
(Purge process)
After the valves vc 1 and vc 2 are closed and the supply of the reaction gas is stopped, the valves vd 1, vd 2, ve 1 and ve 2 are opened, and N 2 gas is supplied into the processing chamber 201. The N 2 gas is dispersed by the shower head 240 and supplied into the processing chamber 201, flows through the exhaust duct 259, and is exhausted to the exhaust port 260 and the exhaust pipe 261. Thus, the reaction gas and reaction by-products remaining in the processing chamber 201 are removed, and the inside of the processing chamber 201 is purged with N 2 gas (purge process).

(サイクル処理)
以上の第2原料ガス供給工程、パージ工程、反応ガス供給工程、パージ工程を1サイクルとして、このALDサイクルを所定回数(nサイクル)実施するサイクル処理を行うことにより、上述のCVD−TiN工程(S6)でウェハ200上に形成されたTiN膜上に、所望膜厚のAlN膜を形成する。なお、ALD−AlN工程(S8)終了後、バルブvb1,vb2を閉めて、第2バブラ220bへのキャリアガスの供給を停止する。
(Cycle processing)
The above-mentioned CVD-TiN process (the above-described CVD-TiN process (n cycles)) is performed by setting the above-described second source gas supply process, purge process, reaction gas supply process, and purge process as one cycle. In S6), an AlN film having a desired thickness is formed on the TiN film formed on the wafer 200. Note that after the ALD-AlN step (S8), the valves vb1 and vb2 are closed to stop the supply of the carrier gas to the second bubbler 220b.

なお、ALD−AlN工程(S8)においては、次のCVD−TiN工程(S6)に備えて、第1原料を気化させて第1原料ガスを生成(予備気化)させておく。すなわち、バルブva1,va2を開き、第1キャリアガス供給管237aからMFC222aで流量制御されたキャリアガスを第1バブラ220a内に供給することにより、第1バブラ220a内部に収容された第1原料をバブリングにより気化させて第1原料ガスを生成させておく(予備気化工程)。この予備気化工程では、真空ポンプ264を作動させつつ、バルブva3を閉めたまま、バルブva4を開けることにより、第1原料ガスを処理室201内に供給することなく処理室201をバイパスして排気しておく。   In the ALD-AlN step (S8), in preparation for the next CVD-TiN step (S6), the first source gas is vaporized to generate (preliminarily vaporize) the first source gas. That is, by opening the valves va1 and va2 and supplying the carrier gas whose flow rate is controlled by the MFC 222a from the first carrier gas supply pipe 237a into the first bubbler 220a, the first raw material contained in the first bubbler 220a is supplied. Vaporization is performed to generate the first source gas (preliminary vaporization step). In this preliminary vaporization step, while the vacuum pump 264 is operated, the valve va4 is opened while the valve va3 is closed, thereby bypassing the processing chamber 201 and exhausting it without supplying the first source gas into the processing chamber 201. Keep it.

<繰り返し工程(S10)>
上述のCVD−TiN工程(S6)、ALD−AlN工程(S8)を、交互に所定回数(m回)繰り返すことにより、ウェハ200上に、CVDによる窒化チタン膜(CVD−TiN膜)と、ALDによる窒化アルミニウム膜(ALD−AlN膜)と、を交互に積層して、所望膜厚のメタル複合膜としての窒化アルミニウムチタン膜(TiAlN膜)を形成する。
<Repetition step (S10)>
By repeating the above-described CVD-TiN process (S6) and ALD-AlN process (S8) alternately a predetermined number of times (m times), a titanium nitride film (CVD-TiN film) by CVD and an ALD are formed on the wafer 200. And an aluminum nitride film (ALD-AlN film) are alternately laminated to form an aluminum titanium nitride film (TiAlN film) as a metal composite film having a desired film thickness.

<基板搬出工程(S11)>
その後、上述した基板搬入工程(S1)、基板載置工程(S2)に示した手順とは逆の手順により、所望膜厚のTiAlN膜を形成した後のウェハ200を処理室201内から搬送室271内へ搬出して、本実施形態にかかる基板処理工程を完了する。
<Substrate unloading step (S11)>
Thereafter, the wafer 200 after the TiAlN film having a desired film thickness is formed from the inside of the processing chamber 201 by a procedure opposite to the procedure shown in the substrate loading step (S1) and the substrate placing step (S2). Then, the substrate processing step according to the present embodiment is completed.

なお、本実施形態におけるCVD−TiN工程(S6)でのウェハ200の処理条件としては、
処理温度:250〜450℃、好ましくは350〜450℃、
処理圧力:30〜266Pa、好ましくは30〜100Pa、
第1原料(TDMAT)供給流量:10〜100sccm、
膜厚(TiN):1〜5nm、
が例示される。
In addition, as processing conditions of the wafer 200 in the CVD-TiN process (S6) in this embodiment,
Treatment temperature: 250-450 ° C, preferably 350-450 ° C
Processing pressure: 30 to 266 Pa, preferably 30 to 100 Pa,
First raw material (TDMAT) supply flow rate: 10 to 100 sccm,
Film thickness (TiN): 1 to 5 nm,
Is exemplified.

また、本実施形態におけるALD−AlN工程(S8)でのウェハ200の処理条件としては、
処理温度:250〜450℃、好ましくは350〜450℃、
処理圧力:30〜266Pa、好ましくは30〜100Pa、
第2原料(TMA)供給流量:10〜100sccm、
反応ガス(NH)供給流量:50〜500sccm、
膜厚(AlN):1〜5nm、
が例示される。
なお、繰り返し工程(S10)で形成されるトータルの膜厚、すなわち、TiAlN膜の膜厚としては、10〜30nmが例示される。
In addition, as processing conditions of the wafer 200 in the ALD-AlN process (S8) in the present embodiment,
Treatment temperature: 250-450 ° C, preferably 350-450 ° C
Processing pressure: 30 to 266 Pa, preferably 30 to 100 Pa,
Second raw material (TMA) supply flow rate: 10 to 100 sccm,
Reaction gas (NH 3 ) supply flow rate: 50 to 500 sccm,
Film thickness (AlN): 1 to 5 nm
Is exemplified.
In addition, 10-30 nm is illustrated as a film thickness of the total film thickness formed by the repeating process (S10), ie, the film thickness of a TiAlN film | membrane.

なお、処理温度を250℃未満とすると、CVD−TiN工程(S6)において、CVDによる成膜反応が生じなくなる。また、処理温度が450℃を超えると、CVD−TiN工程(S6)において、成膜レートが爆発的に上昇し、膜厚を制御するのが難しくなる。よって、CVD−TiN工程(S6)において、CVDによる成膜反応を生じさせ、膜厚を制御可能とするためには、処理温度を250℃以上、450℃以下とする必要がある。なお、処理温度を350℃以上とすると、膜中の不純物が少なくなり、抵抗率が低くなることから、好ましい。   Note that if the processing temperature is less than 250 ° C., the film formation reaction by CVD does not occur in the CVD-TiN step (S6). On the other hand, when the processing temperature exceeds 450 ° C., the film formation rate increases explosively in the CVD-TiN step (S6), making it difficult to control the film thickness. Therefore, in the CVD-TiN step (S6), in order to cause a film formation reaction by CVD and to control the film thickness, it is necessary to set the processing temperature to 250 ° C. or higher and 450 ° C. or lower. Note that it is preferable that the treatment temperature be 350 ° C. or higher because impurities in the film are reduced and resistivity is reduced.

また、本実施形態においては、CVD−TiN工程(S6)とALD−AlN工程(S8)とを、同一の処理温度および/または同一の処理圧力にて行うのが好ましい。すなわち、本実施形態では、CVD−TiN工程(S6)とALD−AlN工程(S8)とを、一定の処理温度および/または一定の処理圧力で行うのが好ましい。処理温度、処理圧力を上述の例示範囲内の所定値に設定すれば、CVD法による成膜とALD法による成膜とを、同一コンディションで実現することができる。この場合、CVD−TiN工程(S6)からALD−AlN工程(S8)へ移行する際、および、ALD−AlN工程(S8)からCVD−TiN工程(S6)へ移行する際の、処理温度変更工程、処理圧力変更工程が不要となり、スループットを向上させることが可能となる。   In the present embodiment, it is preferable to perform the CVD-TiN step (S6) and the ALD-AlN step (S8) at the same processing temperature and / or the same processing pressure. That is, in this embodiment, it is preferable to perform the CVD-TiN step (S6) and the ALD-AlN step (S8) at a constant processing temperature and / or a constant processing pressure. If the processing temperature and the processing pressure are set to predetermined values within the above-described exemplary ranges, the film formation by the CVD method and the film formation by the ALD method can be realized in the same condition. In this case, when changing from the CVD-TiN step (S6) to the ALD-AlN step (S8), and when changing from the ALD-AlN step (S8) to the CVD-TiN step (S6), the processing temperature changing step The process pressure changing step is unnecessary, and the throughput can be improved.

(3)実施形態にかかる効果
本実施形態によれば、メタル複合膜(TiAlN膜)の母体(ベース)となる第1のメタル膜(TiN膜)をCVD法により成膜するので、メタル複合膜のトータルの成膜速度を、ALD法だけで成膜する場合と比較して向上させることができ、スループットを向上させることが可能となる。また、本実施形態によれば、第2のメタル膜(AlN膜)をALD法により成膜する場合に、第1のメタル膜(TiN膜)を下地として成膜するので、下地への原料の吸着が促進され、絶縁膜(HfSiON、SiO)を下地として成膜する場合と比較して成膜速度を向上させることができ、スループットを向上させることが可能となる。なお、ゲート用途の場合、後述する理由により、最初および/又は最後に形成する膜はAlN膜とするのがよい。
(3) Effects According to the Embodiment According to the present embodiment, the first metal film (TiN film) serving as the base (base) of the metal composite film (TiAlN film) is formed by the CVD method. The total film formation rate can be improved as compared with the case where the film is formed only by the ALD method, and the throughput can be improved. In addition, according to the present embodiment, when the second metal film (AlN film) is formed by the ALD method, the first metal film (TiN film) is formed as a base, so that the raw material for the base is Adsorption is promoted, and the deposition rate can be improved as compared with the case where the insulating film (HfSiON, SiO 2 ) is used as a base, and the throughput can be improved. In the case of gate application, the film formed first and / or last is preferably an AlN film for reasons described later.

また、本実施形態によれば、ALD法による第2のメタル膜(AlN膜)のALDサイクルを変化させることで、メタル複合膜(TiAlN膜)中のメタル組成を制御することが可能となる。例えば、CVD法による第1のメタル膜(TiN膜)の膜厚を固定とし、ALD法による第2のメタル膜(AlN膜)のALDサイクル数を変化させることによって、メタル複合膜中の第2のメタル原子(Al)の組成すなわち濃度を制御することができる。また、ALD法による第2のメタル膜(AlN膜)のALDサイクル数を変化させることでメタル複合膜中の深さ方向の組成プロファイルを変化させることも可能となる。   Further, according to the present embodiment, it is possible to control the metal composition in the metal composite film (TiAlN film) by changing the ALD cycle of the second metal film (AlN film) by the ALD method. For example, by fixing the film thickness of the first metal film (TiN film) by the CVD method and changing the number of ALD cycles of the second metal film (AlN film) by the ALD method, the second in the metal composite film. It is possible to control the composition, that is, the concentration of the metal atoms (Al). It is also possible to change the composition profile in the depth direction of the metal composite film by changing the number of ALD cycles of the second metal film (AlN film) by the ALD method.

また、本実施形態によれば、CVD法による第1のメタル膜(TiN膜)の形成とALD法による第2のメタル膜(AlN膜)の形成とを同時に行うのではなく、分けて行うこととしている。また、CVD−TiN工程(S6)においては、第1原料ガス供給工程の後にパージ工程を実施し、処理室201内を確実にガス置換することとしている。また、ALD−AlN工程(S8)においても、サイクル処理の最後にはパージが行われ、処理室201内は確実にガス置換される。これにより、処理室201内において第1原料ガスと第2原料ガスとは混ざることがなく、処理室201内における第1原料ガスと第2原料ガスとの気相反応によるパーティクルの発生を抑制でき、メタル複合膜(TiAlN膜)の膜厚均一性や組成均一性を向上させることができる。なお、仮にCVD法による第1のメタル膜(TiN膜)の形成とALD法による第2のメタル膜(AlN膜)の形成とを同時に行おうとすれば、第1原料ガスと第2原料ガスとの混合時間や反応を考慮する必要が生じ、膜厚制御や組成制御が困難となってしまう。また、ガス種の組み合わせによっては、第1原料ガスと第2原料ガスとの気相反応によりパーティクルが発生し、メタル複合膜(TiAlN膜)の膜厚均一性や組成均一性が悪化してしまう場合がある。   Further, according to the present embodiment, the formation of the first metal film (TiN film) by the CVD method and the formation of the second metal film (AlN film) by the ALD method are not performed simultaneously but separately. It is said. In the CVD-TiN process (S6), a purge process is performed after the first source gas supply process to reliably replace the gas in the processing chamber 201. In the ALD-AlN process (S8), purge is performed at the end of the cycle process, and the gas in the process chamber 201 is surely replaced. As a result, the first source gas and the second source gas are not mixed in the processing chamber 201, and generation of particles due to a gas phase reaction between the first source gas and the second source gas in the processing chamber 201 can be suppressed. The film thickness uniformity and composition uniformity of the metal composite film (TiAlN film) can be improved. If the formation of the first metal film (TiN film) by the CVD method and the formation of the second metal film (AlN film) by the ALD method are performed simultaneously, the first source gas and the second source gas Therefore, it is necessary to consider the mixing time and reaction, and film thickness control and composition control become difficult. In addition, depending on the combination of gas species, particles are generated by a gas phase reaction between the first source gas and the second source gas, and the film thickness uniformity and composition uniformity of the metal composite film (TiAlN film) are deteriorated. There is a case.

また、本実施形態によれば、CVD法による第1のメタル膜(TiN膜)の成膜では、比較的高温のプロセスを使用するので、第2のメタル膜(AlN膜)の成膜におけるALDプリカーサとしては比較的分解温度の高いものを選択することとなる。すなわち、高温でCVD/ALDを実施することとなり、プラズマ等のダメージ源を使用することなく(ノンプラズマで)、熱により膜中の残留不純物を少なくすることが可能となる。   According to the present embodiment, since the first metal film (TiN film) formed by the CVD method uses a relatively high temperature process, ALD in the formation of the second metal film (AlN film) is used. A precursor having a relatively high decomposition temperature is selected. That is, CVD / ALD is performed at a high temperature, and it is possible to reduce residual impurities in the film by heat without using a damage source such as plasma (non-plasma).

また、本実施形態によれば、プリカーサの使用量を、ALD法だけで成膜する従来技術と比較して少なくすることができ、コスト的にも優位性がある。   Further, according to the present embodiment, the amount of the precursor used can be reduced as compared with the conventional technique in which the film is formed only by the ALD method, and there is an advantage in cost.

<本発明の他の実施態様>
上述の実施形態では、バブラ内部に収容された液体原料をバブリングにより気化させる例について説明したが、バブラの代わりに気化器を用いて液体原料を気化させるようにしてもよい。
<Other embodiments of the present invention>
In the above-described embodiment, the example in which the liquid raw material accommodated in the bubbler is vaporized by bubbling has been described. However, the liquid raw material may be vaporized using a vaporizer instead of the bubbler.

また、上述の実施形態では、CVD−TiN工程においてTi原料としてTDMATを用いる例について説明したが、TDMATの代わりにTiCl等のTi原料を用いるようにしてもよい。また、上述の実施形態では、CVD−TiN工程においてウェハに対してTi原料を単独で供給する例について説明したが、NHやH等の反応ガスを同時に供給するようにしてもよい。 In the above embodiments, an example has been described using TDMAT as Ti raw material in CVD-TiN step, it may be used Ti raw material such as TiCl 4 instead of TDMAT. In the above embodiments, an example has been described for supplying Ti raw material alone to the wafer in the CVD-TiN step, it may be supplied to the reaction gas such as NH 3 or H 2 at the same time.

また、上述の実施形態では、ALD−AlN工程においてAl原料としてTMAを用いる例について説明したが、TMAの代わりにAlCl等のAl原料を用いるようにしてもよい。また、上述の実施形態では、ALD−AlN工程において、反応ガスとしてNHを用いる例について説明したが、NHの代わりにH等のガスを用いるようにしてもよい。また、ALD−AlN工程では、ALDサイクル数を変化させるようにしてもよい。ALDサイクル数を変化させることによって、メタル複合膜中のAlの組成すなわち濃度を制御することができる。また、ALD−AlN工程では、CVD−TiN工程とALD−AlN工程とを繰り返すごとに、ALDサイクル数を変化させるようにしてもよい。このようにALDサイクル数を変化させることによって、メタル複合膜中の深さ方向のAl組成プロファイルを制御することができるようになる。 In the above embodiments, an example has been described using TMA as Al raw material in ALD-AlN step, it may be used Al raw materials such as AlCl 3, instead of TMA. In the above-described embodiment, an example in which NH 3 is used as a reaction gas in the ALD-AlN process has been described, but a gas such as H 2 may be used instead of NH 3 . In the ALD-AlN process, the number of ALD cycles may be changed. By changing the number of ALD cycles, the composition or concentration of Al in the metal composite film can be controlled. In the ALD-AlN process, the number of ALD cycles may be changed each time the CVD-TiN process and the ALD-AlN process are repeated. Thus, by changing the number of ALD cycles, the Al composition profile in the depth direction in the metal composite film can be controlled.

また、上述の実施形態ではTiAlN膜を形成する場合について説明したが、本発明は係る形態に限定されず、RuAlN,TaAlN,MoAlN,NiAlN,CoAlN等の成膜にも適用可能である。   Moreover, although the case where the TiAlN film is formed has been described in the above-described embodiment, the present invention is not limited to such a form, and can be applied to the film formation of RuAlN, TaAlN, MoAlN, NiAlN, CoAlN, or the like.

(実施例1)
本発明の実施例1として、CVD−TiN成膜とALD−AlN成膜の成膜速度評価について説明する。図6(a)は、CVD−TiN成膜におけるHfSiON、AlN、SiO上でのCVD−TiN膜厚のTDMAT供給時間依存性を示す図である。図6(a)の横軸はTDMAT供給時間を、縦軸はTiN膜厚を示している。図6(b)は、ALD−AlN成膜におけるHfSiON、TiN、SiO上でのALD−AlN膜厚のALDサイクル数依存性を示す図である。図6(b)の横軸はALD−AlNサイクル数を、縦軸はAlN膜厚を示している。なお、本評価におけるCVD−TiN成膜およびALD−AlN成膜は、いずれも、処理条件を上述の実施形態における処理条件の範囲内の値に設定して行った。
Example 1
As Example 1 of the present invention, film formation rate evaluation of CVD-TiN film formation and ALD-AlN film formation will be described. FIG. 6A is a diagram showing the TDMAT supply time dependence of the CVD-TiN film thickness on HfSiON, AlN, and SiO 2 in CVD-TiN film formation. In FIG. 6A, the horizontal axis indicates the TDMAT supply time, and the vertical axis indicates the TiN film thickness. FIG. 6B is a diagram showing the ALD cycle number dependence of the ALD-AlN film thickness on HfSiON, TiN, and SiO 2 in ALD-AlN film formation. In FIG. 6B, the horizontal axis indicates the number of ALD-AlN cycles, and the vertical axis indicates the AlN film thickness. Note that the CVD-TiN film formation and the ALD-AlN film formation in this evaluation were both performed by setting the processing conditions to values within the range of the processing conditions in the above-described embodiment.

図6(a)より、CVD−TiN成膜の場合、HfSiON、AlN、SiO上では、成膜速度は殆ど変化しないことが分かる。すなわち、CVD−TiN成膜における成膜速度は下地膜には殆ど依存しないことが分かる。一方、図6(b)より、ALD−AlN成膜の場合、絶縁膜であるHfSiON、SiO上での成膜速度は比較的低いのに比べ、TiN上での成膜速度は大幅に増大することが分かる。すなわちALD−AlN成膜における成膜速度は下地膜に大きく依存することが分かる。これは、膜厚が極端に薄い領域(超薄膜領域)において、プリカーサの吸着量が変化するためと考えられる。図6(b)より、TiNを下地としてALD−AlN成膜を行うことで、成膜速度を大幅に高めることができることが分かる。 FIG. 6A shows that in the case of CVD-TiN film formation, the film formation rate hardly changes on HfSiON, AlN, and SiO 2 . That is, it can be seen that the deposition rate in CVD-TiN deposition hardly depends on the underlying film. On the other hand, from FIG. 6B, in the case of ALD-AlN film formation, the film formation speed on TiN is significantly increased compared to the relatively low film formation speed on the insulating films HfSiON and SiO 2. I understand that That is, it can be seen that the film formation rate in ALD-AlN film formation largely depends on the underlying film. This is presumably because the amount of adsorption of the precursor changes in an extremely thin region (ultra thin film region). FIG. 6B shows that the film formation rate can be significantly increased by performing ALD-AlN film formation using TiN as a base.

なお、ゲート用途の場合、後述するように最初に形成する膜(1層目)はAlNとするのが好ましい。その場合、1層目については下地がTiNではないため、上述の効果は得られない。しかしながら、それ以降はTiNを下地としてALD−AlN成膜が行われることになるので、この場合であっても成膜速度を大幅に高めることが出来る。   In the case of gate use, as will be described later, the first film (first layer) is preferably AlN. In that case, since the foundation is not TiN for the first layer, the above-described effects cannot be obtained. However, since ALD-AlN film formation is performed with TiN as a base after that, the film formation speed can be significantly increased even in this case.

(実施例2)
本発明の実施例2として、CVD−TiN成膜とALD−AlN成膜の繰り返しによる積層膜の成膜評価(断面TEM写真分析)について説明する。図7(a)は、ALD−AlN成膜とCVD−TiN成膜とを繰り返し行い、5層からなる積層膜(TiAlN 5 layer)、11層からなる積層膜(TiAlN 11 layer)、21層からなる積層膜(TiAlN 21 layer)を形成した後の、それぞれの断面TEM写真を示している。また、図7(b)は、ALD−AlN成膜とCVD−TiN成膜とを繰り返し行い、5層からなる積層膜(TiAlN 5 layer)、11層からなる積層膜(TiAlN 11 layer)、21層からなる積層膜(TiAlN 21 layer)を形成し、900℃でNアニールを行った後の、それぞれの断面TEM写真を示している。なお、本評価におけるCVD−TiN成膜およびALD−AlN成膜は、いずれも、処理条件を上述の実施形態における処理条件の範囲内の値に設定して行った。また、いずれの場合も積層膜形成の際は、最初および最後にAlN層を形成した。すなわち、積層膜の最下層および最上層をAlN層とした。また、積層膜のターゲット膜厚は20nm〜22nm程度とした。
(Example 2)
As Example 2 of the present invention, film formation evaluation (cross-sectional TEM photograph analysis) of a laminated film by repeating CVD-TiN film formation and ALD-AlN film formation will be described. In FIG. 7A, ALD-AlN film formation and CVD-TiN film formation are repeated, and a laminated film consisting of five layers (TiAlN 5 layer), a laminated film consisting of 11 layers (TiAlN 11 layer), and 21 layers. Each cross-sectional TEM photograph after forming a laminated film (TiAlN 21 layer) is shown. In FIG. 7B, ALD-AlN film formation and CVD-TiN film formation are repeated, and a laminated film consisting of 5 layers (TiAlN 5 layer), a laminated film consisting of 11 layers (TiAlN 11 layer), 21 forming a multilayer film comprising a layer (TiAlN 21 layer), after the N 2 annealing at 900 ° C., respectively show cross-sectional TEM photograph. Note that the CVD-TiN film formation and the ALD-AlN film formation in this evaluation were both performed by setting the processing conditions to values within the range of the processing conditions in the above-described embodiment. In any case, when forming the laminated film, an AlN layer was formed first and last. That is, the lowermost layer and the uppermost layer of the laminated film were AlN layers. The target film thickness of the laminated film was set to about 20 nm to 22 nm.

図7(a)、図7(b)より、11層からなる積層膜(TiAlN 11 layer)と21層からなる積層膜(TiAlN 21 layer)においては、Nアニール実施有無にかかわらず、TiN層とAlN層の境界が不明瞭になることが分かる。21層からなる積層膜(TiAlN 21 layer)においては、Nアニール実施有無にかかわらず、TiN層とAlN層の境界が殆ど判別できず、見た目は一層のTiAlN膜と同等と言える。すなわち、同じ厚さの膜を形成する場合でも積層膜の層の数が多くなるほど(CVD−TiN成膜とALD−AlN成膜の繰り返し回数が多くなるほど)、TiN層とAlN層の境界が不明瞭になり(各層が混ざり)、一層のTiAlN膜に近づくことが分かる。なお、Nアニールを行った場合と行わなかった場合とを比較すると、見た目上、積層膜には殆ど差は無く、また、いずれの場合も膜剥がれが生じていないことも確認できる。 7 (a) and 7 (b), in the laminated film consisting of 11 layers (TiAlN 11 layer) and the laminated film consisting of 21 layers (TiAlN 21 layer), the TiN layer regardless of whether N 2 annealing is performed or not. It can be seen that the boundary between the AlN layer becomes unclear. In the laminated film (TiAlN 21 layer) composed of 21 layers, the boundary between the TiN layer and the AlN layer can hardly be discriminated regardless of whether or not N 2 annealing is performed, and it can be said that the appearance is equivalent to that of a single TiAlN film. That is, even when a film with the same thickness is formed, the boundary between the TiN layer and the AlN layer becomes less as the number of layers in the laminated film increases (the more the number of repetitions of CVD-TiN film formation and ALD-AlN film formation increases). It becomes clear (each layer is mixed), and it can be seen that it approaches a single layer of TiAlN film. In addition, when the case where N 2 annealing is performed and the case where it is not performed are compared, it can be confirmed that there is almost no difference in the laminated film and that no film peeling occurs in any case.

(実施例3)
本発明の実施例3として、CVD−TiN成膜とALD−AlN成膜の繰り返しによる積層膜の成膜評価(XPS深さ方向プロファイル分析)について説明する。図8(a)は、11層(22nm)からなる積層膜(TIALN 11 LAYER)を形成し(TIN:2NM、ALN:2NM)、900℃でNアニールを行った後のXPS深さ方向プロファイルを示す図であり、図8(b)は、21層(21nm)からなる積層膜(TiAlN 21 layer)を形成し(TiN:1nm,AlN:1nm)、900℃でNアニールを行った後のXPS深さ方向プロファイルを示す図である。何れの図も、横軸はスパッタ時間(深さ方向と同義)を、縦軸は膜中の各原子の濃度を示している。なお、本評価におけるCVD−TiN成膜およびALD−AlN成膜は、いずれも、処理条件を上述の実施形態における処理条件の範囲内の値に設定して行った。また、いずれの場合も積層膜形成の際は、最初および最後にAlN層を形成した。すなわち、積層膜の最下層および最上層をAlN層とした。
(Example 3)
As Example 3 of the present invention, film formation evaluation (XPS depth direction profile analysis) of a laminated film by repeating CVD-TiN film formation and ALD-AlN film formation will be described. FIG. 8A shows an XPS depth profile after forming a laminated film (TIALN 11 LAYER) composed of 11 layers (22 nm) (TIN: 2NM, ALN: 2NM) and performing N 2 annealing at 900 ° C. FIG. 8B shows a laminated film (TiAlN 21 layer) composed of 21 layers (21 nm) (TiN: 1 nm, AlN: 1 nm) and N 2 annealed at 900 ° C. It is a figure which shows the XPS depth direction profile. In each figure, the horizontal axis indicates the sputtering time (synonymous with the depth direction), and the vertical axis indicates the concentration of each atom in the film. Note that the CVD-TiN film formation and the ALD-AlN film formation in this evaluation were both performed by setting the processing conditions to values within the range of the processing conditions in the above-described embodiment. In any case, when forming the laminated film, an AlN layer was formed first and last. That is, the lowermost layer and the uppermost layer of the laminated film were AlN layers.

図8(a)、図8(b)より、TiAlN膜中の炭素(C)濃度は、XPS深さ方向プロファイル分析から、11層からなる積層膜(TiAlN 11 layer)を形成し、900℃でNアニールを行った場合は10atom%より低く、21層からなる積層膜(TiAlN 21 layer)を形成し、900℃でNアニールを行った場合は5atom%より低いことが分かる。 From FIG. 8A and FIG. 8B, the carbon (C) concentration in the TiAlN film is obtained by forming a laminated film (TiAlN 11 layer) consisting of 11 layers from the XPS depth profile analysis at 900 ° C. If you have made N 2 annealing below 10 atom%, to form a laminated film composed of 21 layers (TiAlN 21 layer), it can be seen less than 5 atom% in the case of performing the N 2 annealing at 900 ° C..

(実施例4)
本発明の実施例4として、CVD−TiN成膜とALD−AlN成膜の繰り返しによる積層膜の成膜評価(TiAlN膜におけるAl濃度制御と抵抗率の分析)について説明する。図9(a)は、11層の積層膜で構成されたTiAlN膜におけるAl/Ti濃度のALD−ALNサイクル数依存性を示す図である。図9(a)の横軸はALD−AlNサイクル数を、左側の縦軸はAl濃度を、右側の縦軸はTi濃度を示している。図9(b)は、抵抗率のAl濃度依存性を示す図である。図9(b)の横軸はAl濃度を、縦軸は抵抗率を示している。なお、本評価におけるCVD−TiN成膜およびALD−AlN成膜は、いずれも、処理条件を上述の実施形態における処理条件の範囲内の値に設定して行った。また、いずれの場合も積層膜形成の際は、最初および最後にAlN層を形成した。すなわち、積層膜の最下層および最上層をAlN層とした。また、TiNの膜厚は2nmで固定とし、AlNの膜厚はALD−AlNサイクル数を変えることで変化させた。
Example 4
As a fourth embodiment of the present invention, description will be given of film formation evaluation (Al concentration control and resistivity analysis in a TiAlN film) by repeating CVD-TiN film formation and ALD-AlN film formation. FIG. 9A is a diagram showing the ALD-ALN cycle number dependence of the Al / Ti concentration in a TiAlN film composed of 11 layers. In FIG. 9A, the horizontal axis indicates the number of ALD-AlN cycles, the left vertical axis indicates the Al concentration, and the right vertical axis indicates the Ti concentration. FIG. 9B is a diagram showing the Al concentration dependence of the resistivity. In FIG. 9B, the horizontal axis indicates the Al concentration, and the vertical axis indicates the resistivity. Note that the CVD-TiN film formation and the ALD-AlN film formation in this evaluation were both performed by setting the processing conditions to values within the range of the processing conditions in the above-described embodiment. In any case, when forming the laminated film, an AlN layer was formed first and last. That is, the lowermost layer and the uppermost layer of the laminated film were AlN layers. The film thickness of TiN was fixed at 2 nm, and the film thickness of AlN was changed by changing the number of ALD-AlN cycles.

図9(a)より、TiAlN膜におけるAl濃度は、ALD−AlNサイクル数を変えることにより、約35atom%まで制御できることが分かる。また、図9(b)より、TiAlN膜の抵抗率はAl濃度が高くなるほど、増大する傾向があることが分かる。   FIG. 9A shows that the Al concentration in the TiAlN film can be controlled to about 35 atom% by changing the number of ALD-AlN cycles. Further, FIG. 9B shows that the resistivity of the TiAlN film tends to increase as the Al concentration increases.

(実施例5)
本発明の実施例5として、CVD−TiN成膜とALD−AlN成膜の繰り返しによる積層膜の成膜評価(TEM/SEM/AFM分析)について説明する。図10(a)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成し、900℃でNアニールを行った後のSEM写真を示している。図10(b)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成し、900℃でNアニールを行った後の断面TEM写真を示している。図10(c)は、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成し、900℃でNアニールを行った後のAFM写真を示している。いずれもTiAlN膜は21層(21nm)の積層膜にて構成した(TiN:1nm,AlN:1nm)。なお、本評価におけるCVD−TiN成膜およびALD−AlN成膜は、いずれも、処理条件を上述の実施形態における処理条件の範囲内の値に設定して行った。また、いずれの場合も積層膜形成の際は、最初および最後にAlN層を形成した。すなわち、積層膜の最下層および最上層をAlN層とした。
(Example 5)
As Example 5 of the present invention, film formation evaluation (TEM / SEM / AFM analysis) of a laminated film by repeating CVD-TiN film formation and ALD-AlN film formation will be described. FIG. 10A shows an SEM photograph after forming a TiAlN film by repeating CVD-TiN film formation and ALD-AlN film formation and performing N 2 annealing at 900 ° C. FIG. FIG. 10B shows a cross-sectional TEM photograph after forming a TiAlN film by repeating CVD-TiN film formation and ALD-AlN film formation and performing N 2 annealing at 900 ° C. FIG. 10C shows an AFM photograph after forming a TiAlN film by repeating CVD-TiN film formation and ALD-AlN film formation and performing N 2 annealing at 900 ° C. In each case, the TiAlN film was composed of a laminated film of 21 layers (21 nm) (TiN: 1 nm, AlN: 1 nm). Note that the CVD-TiN film formation and the ALD-AlN film formation in this evaluation were both performed by setting the processing conditions to values within the range of the processing conditions in the above-described embodiment. In any case, when forming the laminated film, an AlN layer was formed first and last. That is, the lowermost layer and the uppermost layer of the laminated film were AlN layers.

図10(a)、図10(b)、図10(c)より、TiAlN膜にはグレインの凝縮と膜の剥がれが生じていないことが分かる。また、これらの膜の表面は比較的滑らか(RMS=1.0nm)であることが分かる。   10 (a), 10 (b), and 10 (c), it can be seen that the TiAlN film does not have grain condensation and film peeling. Moreover, it turns out that the surface of these films | membranes is comparatively smooth (RMS = 1.0nm).

(実施例6)
本発明の実施例6として、CVD−TiN成膜とALD−AlN成膜の繰り返しにより形成したTiAlN膜をpMOSのゲート電極に適用したpMOSアプリケーション評価について説明する。図11(a)は、評価サンプル構造を示しており、CVD−TiN成膜とALD−AlN成膜の繰り返しにより形成したTiAlN膜をゲート電極に適用したp−MOSFETのゲート構造を示している。具体的には、シリコンウェハ上に界面層としてSiON膜を形成し、その上に高誘電率ゲート絶縁膜としてHfSiON膜を形成し、その上にメタルゲート電極として上述の方法によりTiAlN膜、さらにW膜を形成した構造を示している(W/TiAlN/Hf(Al)SiON/SiON/Siウェハ)。なお、図中、Hf(Al)SiONとあるのは、この構造により、TiAlN膜中のAlが、HfSiON膜中に混入することを示している。また界面層としては、SiON膜の代わりにSiO膜を用いても良い。図11(b)は、ALD−TiAlN、すなわち、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成する際のコンディションとその積層構造を示している。図11(c)は、実効仕事関数のTiAlN膜におけるAl濃度依存性を示す図である。図11(c)の横軸はTiAlNにおけるAl濃度を、左側の縦軸は実効仕事関数を、右側の縦軸はEOTを示している。図中○印及び□印は実効仕事関数及びEOTをそれぞれ示している。なお、この評価では、TiAlN膜におけるAl濃度を10%、20%、30%と変えた3種類のサンプルを用意した。また、本評価におけるCVD−TiN成膜およびALD−AlN成膜は、いずれも、処理条件を上述の実施形態における処理条件の範囲内の値に設定して行った。また、TiAlN膜は21層の積層膜にて構成し、いずれの場合も、積層膜形成の際は、最初および最後にAlN層を形成した。すなわち、積層膜の最下層および最上層をAlN層とした。また、実効仕事関数は、1000℃での活性化アニール(Spike)後のデータを示している。
(Example 6)
As Example 6 of the present invention, pMOS application evaluation in which a TiAlN film formed by repeating CVD-TiN film formation and ALD-AlN film formation is applied to a gate electrode of a pMOS will be described. FIG. 11A shows an evaluation sample structure, which shows a gate structure of a p-MOSFET in which a TiAlN film formed by repeating CVD-TiN film formation and ALD-AlN film formation is applied to a gate electrode. Specifically, an SiON film is formed as an interface layer on a silicon wafer, an HfSiON film is formed thereon as a high dielectric constant gate insulating film, and a TiAlN film and a WW film are further formed thereon as a metal gate electrode by the above-described method. A structure in which a film is formed is shown (W / TiAlN / Hf (Al) SiON / SiON / Si wafer). In the figure, Hf (Al) SiON indicates that Al in the TiAlN film is mixed into the HfSiON film due to this structure. As the interface layer, a SiO 2 film may be used instead of the SiON film. FIG. 11B shows ALD-TiAlN, that is, a condition and a laminated structure when a TiAlN film is formed by repeating CVD-TiN film formation and ALD-AlN film formation. FIG. 11C is a diagram showing the Al concentration dependency of the effective work function in the TiAlN film. In FIG. 11C, the horizontal axis represents the Al concentration in TiAlN, the left vertical axis represents the effective work function, and the right vertical axis represents EOT. In the figure, ◯ and □ indicate the effective work function and EOT, respectively. In this evaluation, three types of samples were prepared in which the Al concentration in the TiAlN film was changed to 10%, 20%, and 30%. Moreover, both CVD-TiN film formation and ALD-AlN film formation in this evaluation were performed by setting the processing conditions to values within the range of the processing conditions in the above-described embodiment. Further, the TiAlN film was composed of 21 laminated films, and in each case, when forming the laminated film, the AlN layer was formed first and last. That is, the lowermost layer and the uppermost layer of the laminated film were AlN layers. In addition, the effective work function indicates data after activation annealing (Spike) at 1000 ° C.

図11(c)より、本実施例におけるTiAlN膜(Al濃度:30%)をメタルゲート電極として用いることにより、実効仕事関数は4.8eVまで改善されることが分かる。   FIG. 11C shows that the effective work function is improved to 4.8 eV by using the TiAlN film (Al concentration: 30%) in this example as the metal gate electrode.

(実施例7)
本発明の実施例7として、CVD−TiN成膜とALD−AlN成膜の繰り返しにより形成したTiAlN膜をpMOSのゲート電極に適用したpMOSアプリケーション評価について説明する。本評価では、TiAlN膜を形成する際に、高誘電率ゲート絶縁膜上にALD−AlN膜を最初に成膜した場合(AlNファースト)と、CVD−TiN膜を最初に成膜した場合(TiNファースト)と、における実効仕事関数及びEOTをそれぞれ比較した。
(Example 7)
As Example 7 of the present invention, pMOS application evaluation in which a TiAlN film formed by repeated CVD-TiN film formation and ALD-AlN film formation is applied to a gate electrode of a pMOS will be described. In this evaluation, when the TiAlN film is formed, an ALD-AlN film is first formed on the high dielectric constant gate insulating film (AlN first) and a CVD-TiN film is formed first (TiN). First) and effective work function and EOT were compared.

図12(a)及び図13(a)は、評価サンプル構造を示しており、CVD−TiN成膜とALD−AlN成膜の繰り返しにより形成したTiAlN膜をゲート電極に適用したp−MOSFETのゲート構造を示している。具体的には、シリコンウェハ上に界面層としてSiON膜を形成し、その上に高誘電率ゲート絶縁膜としてHfSiON膜を形成し、その上にメタルゲート電極として上述の方法によりTiAlN膜、さらにW膜を形成した構造を示している(W/TiAlN/HfSiON/SiON/Siウェハ)。なお、TiAlN膜中のHfの濃度は75%とした。なお、界面層としては、SiON膜の代わりにSiO膜を用いても良い。 FIGS. 12A and 13A show an evaluation sample structure. The gate of a p-MOSFET in which a TiAlN film formed by repeating CVD-TiN film formation and ALD-AlN film formation is applied to a gate electrode. The structure is shown. Specifically, an SiON film is formed as an interface layer on a silicon wafer, an HfSiON film is formed thereon as a high dielectric constant gate insulating film, and a TiAlN film and a WW film are further formed thereon as a metal gate electrode by the above-described method. A structure in which a film is formed is shown (W / TiAlN / HfSiON / SiON / Si wafer). Note that the concentration of Hf in the TiAlN film was 75%. As the interface layer, a SiO 2 film may be used instead of the SiON film.

図12(b)及び図13(b)は、本実施例に係るALD−TiAlN、すなわち、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成する際のコンディションとその積層構造を示している。なお、図12(b)に示すTiAlN膜は、ALD−AlN膜を最初に形成し、CVD−TiN膜とALD−AlN膜とを交互に11層積層することにより形成した。すなわち、積層膜の最下層および最上層をそれぞれAlN層とした。なお、最上層のAlN層の膜厚は3nmとした。また、図13(b)に示すTiAlN膜は、ALD−AlN膜を最初に形成し、CVD−TiN膜とALD−AlN膜とを交互に21層積層することにより形成した。すなわち、積層膜の最下層および最上層をそれぞれAlN層とした。なお、最上層のAlN層の膜厚は3nmとした。   FIG. 12B and FIG. 13B show ALD-TiAlN according to the present embodiment, that is, a condition when a TiAlN film is formed by repeating CVD-TiN film formation and ALD-AlN film formation, and a laminated structure thereof. Is shown. Note that the TiAlN film shown in FIG. 12B was formed by first forming an ALD-AlN film and alternately laminating 11 layers of CVD-TiN films and ALD-AlN films. That is, the lowermost layer and the uppermost layer of the laminated film were each an AlN layer. The film thickness of the uppermost AlN layer was 3 nm. The TiAlN film shown in FIG. 13B was formed by first forming an ALD-AlN film and alternately laminating 21 layers of CVD-TiN films and ALD-AlN films. That is, the lowermost layer and the uppermost layer of the laminated film were each an AlN layer. The film thickness of the uppermost AlN layer was 3 nm.

図12(c)及び図13(c)は、比較例に係るALD−TiAlN、すなわち、CVD−TiN成膜とALD−AlN成膜の繰り返しによりTiAlN膜を形成する際のコンディションとその積層構造を示している。なお、図12(c)に示すTiAlN膜は、CVD−TiN膜を最初に形成し、CVD−TiN膜とALD−AlN膜とを交互に10層積層することにより形成した。すなわち、積層膜の最下層をTiN層とし、最上層をAlN層とした。なお、最上層のAlN層の膜厚は3nmとした。また、図13(c)に示すTiAlN膜は、CVD−TiN膜を最初に形成し、CVD−TiN膜とALD−AlN膜とを交互に20層積層することにより形成した。すなわち、積層膜の最下層をTiN層とし、最上層をAlN層とした。なお、最上層のAlN層の膜厚は3nmとした。   12 (c) and 13 (c) show ALD-TiAlN according to the comparative example, that is, conditions and a laminated structure when forming a TiAlN film by repeating CVD-TiN film formation and ALD-AlN film formation. Show. Note that the TiAlN film shown in FIG. 12C was formed by first forming a CVD-TiN film and alternately laminating 10 layers of CVD-TiN films and ALD-AlN films. That is, the lowermost layer of the laminated film was a TiN layer, and the uppermost layer was an AlN layer. The film thickness of the uppermost AlN layer was 3 nm. The TiAlN film shown in FIG. 13C was formed by first forming a CVD-TiN film and alternately stacking 20 layers of CVD-TiN films and ALD-AlN films. That is, the lowermost layer of the laminated film was a TiN layer, and the uppermost layer was an AlN layer. The film thickness of the uppermost AlN layer was 3 nm.

図12(d)及び図13(d)は、実効仕事関数のTiAlN膜におけるAl濃度依存性を示す図である。図12(d)及び図13(d)の横軸はTiAlNにおけるAl濃度を、左側の縦軸は実効仕事関数を、右側の縦軸はEOTを示している。図中○印及び□印は、ALD−AlN膜を最初に形成した場合の実効仕事関数及びEOTを、●印及び■印は、CVD−TiN膜を最初に形成した場合の実効仕事関数及びEOTをそれぞれ示している。なお、この評価では、TiAlN膜におけるAl濃度を10%、20%、30%と変えた3種類のサンプルを用意した。また、本評価におけるCVD−TiN成膜およびALD−AlN成膜は、いずれも、処理条件を上述の実施形態における処理条件の範囲内の値に設定して行った。また、実効仕事関数は、1000℃での活性化アニール(Spike)後のデータを示している。   FIG. 12D and FIG. 13D are diagrams showing the dependency of the effective work function on the Al concentration in the TiAlN film. In FIGS. 12D and 13D, the horizontal axis represents the Al concentration in TiAlN, the left vertical axis represents the effective work function, and the right vertical axis represents EOT. In the figure, ◯ and □ indicate the effective work function and EOT when the ALD-AlN film is formed first, and ● and ■ indicate the effective work function and EOT when the CVD-TiN film is formed first. Respectively. In this evaluation, three types of samples were prepared in which the Al concentration in the TiAlN film was changed to 10%, 20%, and 30%. Moreover, both CVD-TiN film formation and ALD-AlN film formation in this evaluation were performed by setting the processing conditions to values within the range of the processing conditions in the above-described embodiment. In addition, the effective work function indicates data after activation annealing (Spike) at 1000 ° C.

ゲート電極としてALD−TiAlN膜を用いる目的の1つに、SiON膜やSiO膜等の界面層とHfSiON膜等のHigh−k膜(高誘電率膜)との界面まで、TiAlN膜からAlを効率よく拡散させ、ゲート電極の実効仕事関数を改善させることがある。図12(d)及び図13(d)より、ALD−AlN膜を最初に成膜するか、CVD−TiN膜を最初に成膜するかによって、実効仕事関数の改善幅が異なってくることが分かる。すなわち、ALD−AlN膜を最初に形成した場合には、TiAlN膜から下層方向へとAlが拡散し易い状態となり、11層、21層のいずれサンプルにおいても実効仕事関数の改善幅は大きく、4.6eVから4.8eVへと0.2eVの改善が確認できる。これに対し、CVD−TiN膜を最初に形成した場合には、Alが拡散し難い状態となり、10層、20層のいずれのサンプルにおいても実効仕事関数の改善幅が小さいことが分かる。これは、最初に形成したTiN膜が、TiAlN膜から下層方向へのAlの拡散をブロックしてしまう(TiNがAlの拡散をブロックする拡散ブロック層として作用してしまう)ことが一要因であると考えられる。一般に、Alを拡散させることによる実効仕事関数の改善幅は0.2eV程度であるといわれており、ALD−AlN膜を最初に形成する場合のほうが、効率よくAlを拡散できており、Alドーピング効果が発揮され易いことが分かる。 One of the purposes of using an ALD-TiAlN film as a gate electrode is to transfer Al from a TiAlN film to the interface between an interface layer such as a SiON film or SiO 2 film and a high-k film (high dielectric constant film) such as an HfSiON film. It may diffuse efficiently and improve the effective work function of the gate electrode. From FIG. 12D and FIG. 13D, the improvement width of the effective work function varies depending on whether the ALD-AlN film is formed first or the CVD-TiN film is formed first. I understand. That is, when the ALD-AlN film is formed first, Al is likely to diffuse from the TiAlN film to the lower layer direction, and the improvement in effective work function is large in both the 11-layer and 21-layer samples. The improvement of 0.2 eV can be confirmed from .6 eV to 4.8 eV. On the other hand, when the CVD-TiN film is formed first, Al is difficult to diffuse, and it can be seen that the improvement in effective work function is small in both the 10-layer and 20-layer samples. This is partly because the TiN film formed first blocks Al diffusion from the TiAlN film in the lower layer direction (TiN acts as a diffusion blocking layer that blocks Al diffusion). it is conceivable that. In general, it is said that the improvement range of the effective work function by diffusing Al is about 0.2 eV, and when the ALD-AlN film is formed first, Al can be diffused more efficiently. It turns out that an effect is easy to be exhibited.

(実施例8)
本発明の実施例8として、CVD−TiN成膜とALD−AlN成膜の繰り返しにより形成したTiAlN膜をpMOSのゲート電極に適用したpMOSアプリケーション評価について説明する。本評価では、TiAlN膜の形成において、最後にCVD−TiN膜を成膜した場合(TiNラスト)と、最後にALD−AlN膜を成膜した場合(AlNラスト)、すなわち最上層をCVD−TiN膜とした場合と、最上層をALD−AlN膜とした場合と、におけるTiAlN膜の耐酸化特性を比較した。
(Example 8)
As an eighth embodiment of the present invention, pMOS application evaluation in which a TiAlN film formed by repeated CVD-TiN film formation and ALD-AlN film formation is applied to a pMOS gate electrode will be described. In this evaluation, in the formation of the TiAlN film, the last CVD-TiN film was formed (TiN last), and the last ALD-AlN film was formed (AlN last), that is, the uppermost layer was CVD-TiN. The oxidation resistance characteristics of the TiAlN film were compared between the case where the film was used and the case where the uppermost layer was an ALD-AlN film.

図14(a)は、最上層をCVD−TiN膜としたTiAlN膜の酸化度合いの経時変化を示す図である。図14(a)の横軸はTiAlN膜形成後のTiAlN膜の大気への露出時間を、縦軸はTiAlN膜の電気抵抗率をそれぞれ示している。なお、図中○印は、CVD−TiN膜の電気抵抗率を示している。なお、最上層にはALD−AlN膜が成膜されておらず、CVD−TiN膜中のAlの含有率は0%である。図中□印は、CVD−TiN膜の成膜とALD−AlN膜の成膜とを交互に繰り返すことにより形成され、最上層がCVD−TiN膜であるTiAlN膜の電気抵抗率を示している。なお、各ALD−AlN膜はそれぞれ18回のALDサイクルを経て成膜されており、TiAlN膜中のAlの含有率は30%である。図中△印は、CVD−TiN膜の成膜とALD−AlN膜の成膜とを交互に繰り返すことにより形成され、最上層がCVD−TiN膜であるTiAlN膜の電気抵抗率を示している。なお、各ALD−AlN膜はそれぞれ9回のALDサイクルを経て成膜されており、TiAlN膜中のAlの含有率は20%である。図中◇印は、CVD−TiN膜の成膜とALD−AlN膜の成膜とを交互に繰り返すことにより形成され、最上層がCVD−TiN膜であるTiAlN膜の電気抵抗率を示している。なお、各ALD−AlN膜はそれぞれ4回のALDサイクルを経て成膜されており、TiAlN膜中のAlの含有率は15%である。   FIG. 14A is a diagram showing the change over time in the degree of oxidation of the TiAlN film in which the uppermost layer is a CVD-TiN film. In FIG. 14A, the horizontal axis indicates the exposure time of the TiAlN film after formation of the TiAlN film to the atmosphere, and the vertical axis indicates the electrical resistivity of the TiAlN film. In the figure, ◯ indicates the electrical resistivity of the CVD-TiN film. Note that an ALD-AlN film is not formed on the uppermost layer, and the Al content in the CVD-TiN film is 0%. In the figure, □ marks indicate the electrical resistivity of the TiAlN film formed by alternately repeating the film formation of the CVD-TiN film and the film formation of the ALD-AlN film, and the uppermost layer is a CVD-TiN film. . Each ALD-AlN film is formed through 18 ALD cycles, and the Al content in the TiAlN film is 30%. In the figure, Δ indicates the electrical resistivity of the TiAlN film formed by alternately repeating the deposition of the CVD-TiN film and the deposition of the ALD-AlN film, and the uppermost layer is a CVD-TiN film. . Each ALD-AlN film is formed through 9 ALD cycles, and the Al content in the TiAlN film is 20%. In the figure, ◇ indicates the electrical resistivity of a TiAlN film formed by alternately repeating the film formation of a CVD-TiN film and the film formation of an ALD-AlN film, and the uppermost layer is a CVD-TiN film. . Each ALD-AlN film is formed through four ALD cycles, and the Al content in the TiAlN film is 15%.

図14(b)は、最上層をALD−AlN膜としたTiAlN膜の酸化度合いの経時変化を示す図である。図14(b)の横軸はTiAlN膜形成後のTiAlN膜の大気への露出時間を、縦軸はTiAlN膜の電気抵抗率をそれぞれ示している。なお、図中○印は、CVD−TiN膜を成膜した後、最上層にALD−AlN膜を成膜することで形成したTiAlN膜の電気抵抗率を示している。なお、最上層のALD−AlN膜は18回のALDサイクルを経て成膜されている。図中□印は、CVD−TiN膜の成膜とALD−AlN膜の成膜とを交互に繰り返すことにより形成され、最上層がALD−AlN膜であるTiAlN膜の電気抵抗率を示している。なお、各ALD−AlN膜はそれぞれ18回のALDサイクルを経て成膜されており、TiAlN膜中のAlの含有率は30%である。図中△印は、CVD−TiN膜の成膜とALD−AlN膜の成膜とを交互に繰り返すことにより形成され、最上層がALD−AlN膜であるTiAlN膜の電気抵抗率を示している。なお、最上層のALD−AlN膜はそれぞれ18回のALDサイクルを経て成膜され、それより下層側のALD−AlN膜はそれぞれ9回のALDサイクルを経て成膜されており、TiAlN膜中のAlの含有率は20%である。図中◇印は、CVD−TiN膜の成膜とALD−AlN膜の成膜とを交互に繰り返すことにより形成され、最上層がALD−AlN膜であるTiAlN膜の電気抵抗率を示している。なお、最上層のALD−AlN膜はそれぞれ18回のALDサイクルを経て成膜され、それより下層側のALD−AlN膜はそれぞれ4回のALDサイクルを経て成膜されており、TiAlN膜中のAlの含有率は15%である。   FIG. 14B is a diagram showing the change over time in the degree of oxidation of the TiAlN film in which the uppermost layer is an ALD-AlN film. In FIG. 14B, the horizontal axis represents the exposure time of the TiAlN film to the atmosphere after the TiAlN film is formed, and the vertical axis represents the electrical resistivity of the TiAlN film. In the figure, the circles indicate the electrical resistivity of the TiAlN film formed by forming the ALD-AlN film as the uppermost layer after forming the CVD-TiN film. The uppermost ALD-AlN film is formed through 18 ALD cycles. In the figure, □ indicates the electrical resistivity of the TiAlN film formed by alternately repeating the CVD-TiN film formation and the ALD-AlN film formation, and the uppermost layer is an ALD-AlN film. . Each ALD-AlN film is formed through 18 ALD cycles, and the Al content in the TiAlN film is 30%. In the figure, Δ marks indicate the electrical resistivity of the TiAlN film formed by alternately repeating the film formation of the CVD-TiN film and the film formation of the ALD-AlN film, and the uppermost layer is an ALD-AlN film. . The uppermost ALD-AlN film is formed through 18 ALD cycles, and the lower ALD-AlN film is formed through 9 ALD cycles. The Al content is 20%. In the figure, ◇ indicates the electrical resistivity of the TiAlN film formed by alternately repeating the CVD-TiN film formation and the ALD-AlN film formation, and the uppermost layer is an ALD-AlN film. . The uppermost ALD-AlN film is formed through 18 ALD cycles, and the lower ALD-AlN film is formed through 4 ALD cycles, respectively, in the TiAlN film. The Al content is 15%.

図14(a)から、最上層をCVD−TiN膜としたTiAlN膜においては、大気への露出時間の経過と共に電気抵抗率が上昇しており、酸化され易いことが分かる。これに対して、図14(b)から、最上層をALD−AlN膜としたTiAlN膜においては、大気への露出時間が経過しても電気抵抗率が殆ど上昇しておらず、酸化され難いことが分かる。これは、最上層に成膜されたALD−AlN膜が、大気中の酸素がCVD−TiN膜中に取り込まれることをブロックする酸素ブロック層として作用していることが一要因であると考えられる。逆に、最上層をCVD−TiN膜とすると、大気中の酸素がCVD−TiN膜中に取り込まれ易くなり、TiAlN膜の酸化が生じ易いものと考えられる。ゲート電極中に酸素が多く含まれていると、高温熱処理を実施することによりゲート電極中の酸素がHfSiON等のHigh−k膜を通り越し、SiONやSiO等の界面層まで拡散し、結果的にEOTが増加してしまい、トランジスタのスケーリングを阻害する場合があるが、最上層をALD−AlN膜とすることにより、係る課題を解決できる。 From FIG. 14A, it can be seen that in the TiAlN film having the uppermost layer as the CVD-TiN film, the electrical resistivity increases with the passage of the exposure time to the atmosphere and is easily oxidized. On the other hand, from FIG. 14B, in the TiAlN film having the ALD-AlN film as the uppermost layer, the electrical resistivity hardly increases even when the exposure time to the atmosphere elapses, and is hardly oxidized. I understand that. This is considered to be due to the fact that the ALD-AlN film formed as the uppermost layer acts as an oxygen blocking layer that blocks the atmospheric oxygen from being taken into the CVD-TiN film. . Conversely, if the uppermost layer is a CVD-TiN film, oxygen in the atmosphere is likely to be taken into the CVD-TiN film and oxidation of the TiAlN film is likely to occur. If the gate electrode contains a large amount of oxygen, high-temperature heat treatment causes oxygen in the gate electrode to pass through the high-k film such as HfSiON and diffuse to the interface layer such as SiON and SiO 2. In some cases, the EOT increases and the scaling of the transistor may be hindered. However, by using an ALD-AlN film as the uppermost layer, such a problem can be solved.

<本発明の更に他の実施態様>
なお、上述の実施形態では、基板処理装置として一度に1枚の基板を処理する枚葉式の装置を用いて成膜する例について説明したが、本発明は上述の実施形態に限定されない。例えば、基板処理装置として一度に複数枚の基板を処理するバッチ式の縦型装置を用いて成膜するようにしてもよい。
<Another Embodiment of the Present Invention>
In the above-described embodiment, an example in which film formation is performed using a single-wafer type apparatus that processes one substrate at a time as the substrate processing apparatus has been described, but the present invention is not limited to the above-described embodiment. For example, the film may be formed using a batch type vertical apparatus that processes a plurality of substrates at once as the substrate processing apparatus.

また、上述の実施形態では、CVD法およびALD法を併用して成膜速度を向上させ、スループットの低下を解消する例について説明したが、このバッチ式の縦型装置を用いれば、ALD法だけで成膜する場合でも、一度に処理する基板の枚数を増やすことで、スループットの低下を解消することができる。以下、この縦型装置、すなわち縦型ALD装置を用いてALD法だけで成膜する方法について説明する。   In the above-described embodiment, an example in which the CVD method and the ALD method are used together to improve the film forming speed and the reduction in throughput is described. However, if this batch type vertical apparatus is used, only the ALD method is used. Even in the case of film formation, the decrease in throughput can be eliminated by increasing the number of substrates processed at one time. Hereinafter, a method of forming a film only by the ALD method using this vertical apparatus, that is, a vertical ALD apparatus will be described.

図15は、本実施形態で好適に用いられる縦型ALD装置の縦型処理炉の概略構成図であり、(a)は、処理炉302部分を縦断面で示し、(b)は、処理炉302部分を図15(a)のA−A線断面図で示す。   FIG. 15 is a schematic configuration diagram of a vertical processing furnace of a vertical ALD apparatus preferably used in the present embodiment. FIG. 15A shows a processing furnace 302 portion in a vertical cross section, and FIG. 15B shows a processing furnace. A portion 302 is shown in the cross-sectional view along the line AA in FIG.

図15(a)に示されるように、処理炉302は加熱手段(加熱機構)としてのヒータ307を有する。ヒータ307は円筒形状であり、保持板としてのヒータベースに支持されることにより垂直に据え付けられている。   As shown in FIG. 15A, the processing furnace 302 has a heater 307 as a heating means (heating mechanism). The heater 307 has a cylindrical shape and is vertically installed by being supported by a heater base as a holding plate.

ヒータ307の内側には、ヒータ307と同心円状に反応管としてのプロセスチューブ303が配設されている。プロセスチューブ303は、例えば石英(SiO)や炭化シリコン(SiC)等の耐熱性材料からなり、上端が閉塞し下端が開口した円筒形状に形成されている。プロセスチューブ303の筒中空部には処理室301が形成されており、基板としてのウェハ200を、後述するボート317によって水平姿勢で垂直方向に多段に整列した状態で収容可能に構成されている。 Inside the heater 307, a process tube 303 as a reaction tube is disposed concentrically with the heater 307. The process tube 303 is made of a heat-resistant material such as quartz (SiO 2 ) or silicon carbide (SiC), and has a cylindrical shape with the upper end closed and the lower end opened. A processing chamber 301 is formed in a cylindrical hollow portion of the process tube 303 so that wafers 200 as substrates can be accommodated in a state of being aligned in multiple stages in a vertical posture in a horizontal posture by a boat 317 described later.

プロセスチューブ303の下方には、プロセスチューブ303と同心円状にマニホールド309が配設されている。マニホールド309は、例えばステンレス等からなり、上端及び下端が開口した円筒形状に形成されている。マニホールド309は、プロセスチューブ303に係合しており、プロセスチューブ303を支持するように設けられている。なお、マニホールド309とプロセスチューブ303との間には、シール部材としてのOリング320aが設けられている。マニホールド309がヒータベースに支持されることにより、プロセスチューブ303は垂直に据え付けられた状態となっている。プロセスチューブ303とマニホールド309とにより反応容器が形成される。   A manifold 309 is disposed below the process tube 303 concentrically with the process tube 303. The manifold 309 is made of, for example, stainless steel and is formed in a cylindrical shape with an upper end and a lower end opened. The manifold 309 is engaged with the process tube 303 and is provided to support the process tube 303. An O-ring 320a as a seal member is provided between the manifold 309 and the process tube 303. Since the manifold 309 is supported by the heater base, the process tube 303 is vertically installed. A reaction vessel is formed by the process tube 303 and the manifold 309.

マニホールド309には、第1ガス導入部としての第1ノズル333aと、第2ガス導入部としての第2ノズル333bとが、マニホールド309の側壁を貫通するように接続されている。第1ノズル333aと第2ノズル333bは、それぞれ水平部と垂直部とを有するL字形状であり、水平部がマニホールド309に接続され、垂直部がプロセスチューブ303の内壁とウェハ200との間における円弧状の空間に、プロセスチューブ303の下部より上部の内壁に沿って、ウェハ200の積載方向に向かって立ち上がるように設けられている。第1ノズル333a、第2ノズル333bの垂直部の側面には、ガスを供給する供給孔である第1ガス供給孔348a、第2ガス供給孔348bがそれぞれ設けられている。この第1ガス供給孔348a、第2ガス供給孔348bは、それぞれ下部から上部にわたって同一の開口面積を有し、更に同じ開口ピッチで設けられている。   A first nozzle 333 a as a first gas introduction part and a second nozzle 333 b as a second gas introduction part are connected to the manifold 309 so as to penetrate the side wall of the manifold 309. Each of the first nozzle 333a and the second nozzle 333b has an L shape having a horizontal portion and a vertical portion, the horizontal portion is connected to the manifold 309, and the vertical portion is between the inner wall of the process tube 303 and the wafer 200. It is provided in an arc-shaped space so as to rise in the stacking direction of the wafer 200 along the inner wall above the lower part of the process tube 303. A first gas supply hole 348a and a second gas supply hole 348b, which are supply holes for supplying gas, are provided on the side surfaces of the vertical portions of the first nozzle 333a and the second nozzle 333b, respectively. The first gas supply hole 348a and the second gas supply hole 348b have the same opening area from the lower part to the upper part, and are provided at the same opening pitch.

第1ノズル333a、第2ノズル333bに接続されるガス供給系は、上述の実施形態と同様である。ただし、本実施形態では、第1ノズル333aに第1原料ガス供給系および第2原料ガス供給系が接続され、第2ノズル333bに反応ガス供給系が接続される点が、上述の実施形態と異なる。すなわち、本実施形態では、原料ガス(第1原料ガス、第2原料ガス)と、反応ガスとを、別々のノズルにより供給する。なお、さらに各原料ガスを別々のノズルにより供給するようにしてもよい。   The gas supply system connected to the first nozzle 333a and the second nozzle 333b is the same as in the above-described embodiment. However, in the present embodiment, the first raw material gas supply system and the second raw material gas supply system are connected to the first nozzle 333a, and the reactive gas supply system is connected to the second nozzle 333b. Different. That is, in the present embodiment, the source gas (first source gas, second source gas) and the reaction gas are supplied by separate nozzles. In addition, you may make it supply each raw material gas with a separate nozzle.

マニホールド309には、処理室301内の雰囲気を排気する排気管331が設けられている。排気管331には、圧力検出器としての圧力センサ345及び圧力調整器としてのAPC(Auto Pressure Controller)バルブ342を介して、真空排気装置としての真空ポンプ346が接続されており、圧力センサ345により検出された圧力情報に基づきAPCバルブ342を調整することで、処理室301内の圧力が所定の圧力(真空度)となるよう真空排気し得るように構成されている。なお、APCバルブ342は弁を開閉して処理室301内の真空排気・真空排気停止ができ、更に弁開度を調整して処理室301内の圧力を調整することができるよう構成されている開閉弁である。   The manifold 309 is provided with an exhaust pipe 331 that exhausts the atmosphere in the processing chamber 301. A vacuum pump 346 as an evacuation device is connected to the exhaust pipe 331 through a pressure sensor 345 as a pressure detector and an APC (Auto Pressure Controller) valve 342 as a pressure regulator. By adjusting the APC valve 342 based on the detected pressure information, the processing chamber 301 is configured to be evacuated so that the pressure in the processing chamber 301 becomes a predetermined pressure (degree of vacuum). Note that the APC valve 342 is configured to open and close the valve to evacuate / stop evacuation in the processing chamber 301, and to adjust the valve opening to adjust the pressure in the processing chamber 301. Open / close valve.

マニホールド309の下方には、マニホールド309の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ319が設けられている。シールキャップ319は、マニホールド309の下端に垂直方向下側から当接されるようになっている。シールキャップ319は、例えばステンレス等の金属からなり、円盤状に形成されている。シールキャップ319の上面には、マニホールド309の下端と当接するシール部材としてのOリング320bが設けられている。シールキャップ319の処理室301と反対側には、後述するボート317を回転させる回転機構367が設置されている。回転機構367の回転軸355は、シールキャップ319を貫通して、ボート317に接続されており、ボート317を回転させることでウェハ200を回転させるように構成されている。シールキャップ319は、プロセスチューブ303の外部に配置された昇降機構としてのボートエレベータ315によって、垂直方向に昇降されるように構成されており、これによりボート317を処理室301内に対し搬入搬出することが可能となっている。   Below the manifold 309, a seal cap 319 is provided as a furnace port lid that can airtightly close the lower end opening of the manifold 309. The seal cap 319 is brought into contact with the lower end of the manifold 309 from the lower side in the vertical direction. The seal cap 319 is made of a metal such as stainless steel and is formed in a disk shape. On the upper surface of the seal cap 319, an O-ring 320b is provided as a seal member that contacts the lower end of the manifold 309. On the opposite side of the seal cap 319 from the processing chamber 301, a rotation mechanism 367 for rotating a boat 317 described later is installed. A rotation shaft 355 of the rotation mechanism 367 passes through the seal cap 319 and is connected to the boat 317, and is configured to rotate the wafer 200 by rotating the boat 317. The seal cap 319 is configured to be moved up and down in a vertical direction by a boat elevator 315 as an elevating mechanism disposed outside the process tube 303, and thereby the boat 317 is carried into and out of the processing chamber 301. It is possible.

基板保持具としてのボート317は、例えば石英や炭化珪素等の耐熱材料からなり、複数枚のウェハ200を水平姿勢でかつ互いに中心を揃えた状態で整列させて多段に保持するように構成されている。なお、ボート317の下部には、例えば石英や炭化珪素等の耐熱材料からなる断熱部材318が設けられており、ヒータ307からの熱がシールキャップ319側に伝わりにくくなるように構成されている。プロセスチューブ303内には、温度検出器としての温度センサ363が設置されており、温度センサ363により検出された温度情報に基づきヒータ307への通電具合を調整することにより、処理室301内の温度が所定の温度分布となるように構成されている。温度センサ363は、第1ノズル333a及び第2ノズル333bと同様に、プロセスチューブ303の内壁に沿って設けられている。   The boat 317 as a substrate holder is made of a heat-resistant material such as quartz or silicon carbide, and is configured to hold a plurality of wafers 200 in a horizontal posture and in a state where the centers are aligned with each other and held in multiple stages. Yes. A heat insulating member 318 made of a heat resistant material such as quartz or silicon carbide is provided at the lower part of the boat 317 so that heat from the heater 307 is not easily transmitted to the seal cap 319 side. A temperature sensor 363 as a temperature detector is installed in the process tube 303, and the temperature in the processing chamber 301 is adjusted by adjusting the power supply to the heater 307 based on the temperature information detected by the temperature sensor 363. Is configured to have a predetermined temperature distribution. The temperature sensor 363 is provided along the inner wall of the process tube 303, similarly to the first nozzle 333a and the second nozzle 333b.

制御部(制御手段)であるコントローラ380は、APCバルブ342、ヒータ307、温度センサ363、真空ポンプ346、回転機構367、ボートエレベータ315、バルブva1〜va4,vb1〜vb4,vc1〜vc2,vd1〜vd2,ve1〜ve2、高耐久高速ガスバルブV、流量コントローラ222a,222b,222c,222d,222e等の動作を制御する。   The controller 380 as a control unit (control means) includes an APC valve 342, a heater 307, a temperature sensor 363, a vacuum pump 346, a rotation mechanism 367, a boat elevator 315, valves va1 to va4, vb1 to vb4, vc1 to vc2, and vd1. The operation of vd2, ve1 to ve2, high durability high speed gas valve V, flow rate controllers 222a, 222b, 222c, 222d, 222e and the like is controlled.

次に、上記構成にかかる縦型ALD装置の処理炉302を用いて、半導体装置の製造工程の一工程として、ALD法によりウェハ200上に薄膜を形成する基板処理工程について、図16を参照しながら説明する。ここでは、ALD−AlN工程と、ALD−TiN工程と、を交互に繰り返すことにより、表面にSiON膜を介してHfSiON膜が形成されたウェハ200上に、TiAlN膜を形成し、その際、最初にAlN膜を形成し(AlNファースト)、最後にもAlN膜を形成する(AlNラスト)例について説明する。また、ここでは、第1原料、第2原料、反応ガスとして、それぞれ、TiCl、TMA、NHを用いる。なお、以下の説明において、縦型ALD装置を構成する各部の動作は、コントローラ380により制御される。 Next, referring to FIG. 16, a substrate processing step of forming a thin film on the wafer 200 by the ALD method as one step of the manufacturing process of the semiconductor device using the processing furnace 302 of the vertical ALD apparatus according to the above configuration will be described. While explaining. Here, by alternately repeating the ALD-AlN process and the ALD-TiN process, a TiAlN film is formed on the wafer 200 on the surface of which the HfSiON film is formed via the SiON film. An example of forming an AlN film (AlN first) and finally forming an AlN film (AlN last) will be described. Here, TiCl 4 , TMA, and NH 3 are used as the first raw material, the second raw material, and the reaction gas, respectively. In the following description, the operation of each part constituting the vertical ALD apparatus is controlled by the controller 380.

複数枚のウェハ200をボート317に装填(ウェハチャージ)する。そして、図15(a)に示すように、複数枚のウェハ200を保持したボート317を、ボートエレベータ315によって持ち上げて処理室301内に搬入(ボートロード)する。この状態で、シールキャップ319はOリング320bを介してマニホールド309の下端をシールした状態となる。   A plurality of wafers 200 are loaded into the boat 317 (wafer charge). Then, as shown in FIG. 15A, the boat 317 holding the plurality of wafers 200 is lifted by the boat elevator 315 and loaded into the processing chamber 301 (boat loading). In this state, the seal cap 319 is in a state of sealing the lower end of the manifold 309 via the O-ring 320b.

処理室301内が所望の圧力(真空度)となるように、真空ポンプ346によって処理室301内を真空排気する。この際、処理室301内の圧力を圧力センサ345で測定して、この測定された圧力に基づき、APCバルブ342をフィードバック制御する。また、処理室301内が所望の温度となるように、ヒータ307によって加熱する。この際、処理室301内が所望の温度分布となるように、温度センサ363が検出した温度情報に基づきヒータ307への通電具合をフィードバック制御する。続いて、回転機構367によりボート317を回転させることで、ウェハ200を回転させる。   The inside of the processing chamber 301 is evacuated by a vacuum pump 346 so that the inside of the processing chamber 301 has a desired pressure (degree of vacuum). At this time, the pressure in the processing chamber 301 is measured by the pressure sensor 345, and the APC valve 342 is feedback-controlled based on the measured pressure. In addition, heating is performed by the heater 307 so that the inside of the processing chamber 301 has a desired temperature. At this time, feedback control of the power supply to the heater 307 is performed based on the temperature information detected by the temperature sensor 363 so that the inside of the processing chamber 301 has a desired temperature distribution. Then, the wafer 200 is rotated by rotating the boat 317 by the rotation mechanism 367.

その後、ALD−AlN工程と、ALD−TiN工程と、を交互に所定回数繰り返すことにより、ウェハ200(HfSiON膜)上に、ALD−AlN膜と、ALD−TiN膜と、を交互に積層してTiAlN膜を形成する。その際、最初にALD−AlN工程を行うことで、最初にALD−AlN膜を形成する(AlNファースト)。また、最後にもALD−AlN工程を行うことで、最後にもALD−AlN膜を形成する(AlNラスト)。すなわち、TiAlN膜の最下層および最上層を何れもALD−AlN膜とする。   Thereafter, the ALD-AlN process and the ALD-TiN process are alternately repeated a predetermined number of times to alternately stack the ALD-AlN film and the ALD-TiN film on the wafer 200 (HfSiON film). A TiAlN film is formed. At that time, an ALD-AlN film is first formed by performing an ALD-AlN process (AlN first). Further, the ALD-AlN process is finally performed to finally form an ALD-AlN film (AlN last). That is, the lowermost layer and the uppermost layer of the TiAlN film are both ALD-AlN films.

なお、ALD−AlN工程の手順は、上述の実施形態におけるALD−AlN工程(S8)と同様である。一方、ALD−TiN工程の手順は、上述の実施形態におけるCVD−TiN工程(S6)とは異なる。以下、ALD−TiN工程について説明する。     In addition, the procedure of the ALD-AlN process is the same as the ALD-AlN process (S8) in the above-described embodiment. On the other hand, the procedure of the ALD-TiN process is different from the CVD-TiN process (S6) in the above-described embodiment. Hereinafter, the ALD-TiN process will be described.

(第1原料ガス供給工程)
ALD−TiN工程では、真空ポンプ346を作動させたまま、バルブva4を閉じ、バルブva3を開けて、処理室301内への第1原料ガス(Ti原料)の供給を開始する。第1原料ガスは、第1ノズル333aを介して処理室301内のウェハ200上に均一に供給される。余剰な第1原料ガスは、排気管331へと排気される(第1原料ガス供給工程)。このとき処理温度、処理圧力は、第1原料ガスが自己分解しない程度の処理温度、処理圧力とされるので、ALD−AlN工程でウェハ200上に形成されたAlN膜上に、第1原料ガスのガス分子が吸着する。バルブva3を開け第1原料ガスの供給を開始した後、所定時間が経過したらバルブva3を閉じ、バルブva4を開けて、処理室301内への第1原料ガスの供給を停止する。また、同時に、バルブva1,va2を閉めて、第1バブラ220aへのキャリアガスの供給も停止する。
(First source gas supply process)
In the ALD-TiN process, the valve va4 is closed and the valve va3 is opened while the vacuum pump 346 is operated, and the supply of the first source gas (Ti source) into the processing chamber 301 is started. The first source gas is uniformly supplied onto the wafer 200 in the processing chamber 301 through the first nozzle 333a. Excess first source gas is exhausted to the exhaust pipe 331 (first source gas supply step). At this time, since the processing temperature and the processing pressure are set to a processing temperature and processing pressure at which the first source gas is not self-decomposed, the first source gas is formed on the AlN film formed on the wafer 200 in the ALD-AlN process. Gas molecules are adsorbed. After the valve va3 is opened and the supply of the first source gas is started, the valve va3 is closed and the valve va4 is opened after a predetermined time has elapsed, and the supply of the first source gas into the processing chamber 301 is stopped. At the same time, the valves va1 and va2 are closed, and the supply of the carrier gas to the first bubbler 220a is also stopped.

(パージ工程)
バルブva3を閉め、第1原料ガスの供給を停止した後は、バルブvd1,vd2,ve1,ve2を開き、処理室301内にNガスを供給する。Nガスは、第1ノズル333a及び第2ノズル333bを介して処理室301内に供給され、排気管331へと排気される。これにより、処理室301内に残留している第1原料ガスを除去し、処理室301内をNガスによりパージする。
(Purge process)
After the valve va3 is closed and the supply of the first source gas is stopped, the valves vd1, vd2, ve1, and ve2 are opened, and N 2 gas is supplied into the processing chamber 301. The N 2 gas is supplied into the processing chamber 301 through the first nozzle 333a and the second nozzle 333b and is exhausted to the exhaust pipe 331. Thereby, the first source gas remaining in the processing chamber 301 is removed, and the inside of the processing chamber 301 is purged with N 2 gas.

(反応ガス供給工程)
処理室301内のパージが完了したら、バルブvc1,vc2を開けて、処理室301内への反応ガス(NHガス)の供給を開始する。反応ガスは、第2ノズル333bを介して処理室301内のウェハ200上に均一に供給され、ALD−AlN工程でウェハ200上に形成されたAlN膜上に吸着している第1原料ガスのガス分子と反応して、AlN膜上に1原子層未満(1Å未満)程度のTiN膜を生成する。余剰な反応ガスや反応副生成物は、排気管331へと排気される(反応ガス供給工程)。バルブvc1,vc2を開け反応ガスの供給を開始した後、所定時間が経過したらバルブvc1,vc2を閉じ、処理室301内への反応ガスの供給を停止する。
(Reactive gas supply process)
When the purge in the processing chamber 301 is completed, the valves vc1 and vc2 are opened, and the supply of the reaction gas (NH 3 gas) into the processing chamber 301 is started. The reaction gas is uniformly supplied onto the wafer 200 in the processing chamber 301 through the second nozzle 333b, and the first source gas adsorbed on the AlN film formed on the wafer 200 in the ALD-AlN process. It reacts with gas molecules to produce a TiN film of less than 1 atomic layer (less than 1 cm) on the AlN film. Excess reaction gas and reaction byproducts are exhausted to the exhaust pipe 331 (reaction gas supply step). After the valves vc1 and vc2 are opened and the supply of the reaction gas is started, the valves vc1 and vc2 are closed when a predetermined time has elapsed, and the supply of the reaction gas into the processing chamber 301 is stopped.

(パージ工程)
バルブvc1,vc2を閉め、反応ガスの供給を停止した後は、バルブvd1,vd2,ve1,ve2を開き、処理室301内にNガスを供給する。Nガスは、第1ノズル333a及び第2ノズル333bを介して処理室301内に供給され、排気管331へと排気される。これにより、処理室301内に残留している反応ガスや反応副生成物を除去し、処理室301内をNガスによりパージする。
(Purge process)
After the valves vc 1 and vc 2 are closed and the supply of the reaction gas is stopped, the valves vd 1, vd 2, ve 1 and ve 2 are opened, and N 2 gas is supplied into the processing chamber 301. The N 2 gas is supplied into the processing chamber 301 through the first nozzle 333a and the second nozzle 333b and is exhausted to the exhaust pipe 331. As a result, the reaction gas and reaction by-products remaining in the processing chamber 301 are removed, and the processing chamber 301 is purged with N 2 gas.

(サイクル処理)
以上の第1原料ガス供給工程、パージ工程、反応ガス供給工程、パージ工程を1サイクルとして、このALDサイクルを所定回数(n’サイクル)実施するサイクル処理を行うことにより、ALD−AlN工程でウェハ200上に形成されたTiN膜上に、所望膜厚のTiN膜を形成する。
(Cycle processing)
The above first source gas supply process, purge process, reaction gas supply process, and purge process are taken as one cycle, and the ALD-AlN process performs wafer processing in a predetermined number of times (n ′ cycles). A TiN film having a desired thickness is formed on the TiN film formed on 200.

ALD−AlN工程と、ALD−TiN工程と、を交互に所定回数繰り返すことでウェハ200(HfSiON膜)上に所定膜厚のTiAlN膜を形成した後、ボートエレベータ315によりシールキャップ319を下降させて、マニホールド309の下端を開口させるとともに、所定膜厚のTiAlN膜が形成された後のウェハ200を、ボート317に保持させた状態でマニホールド309の下端からプロセスチューブ303の外部に搬出(ボートアンロード)する。その後、処理済のウェハ200をボート317より取り出す(ウェハディスチャージ)。   After a TiAlN film having a predetermined thickness is formed on the wafer 200 (HfSiON film) by alternately repeating the ALD-AlN process and the ALD-TiN process a predetermined number of times, the seal cap 319 is lowered by the boat elevator 315. The lower end of the manifold 309 is opened, and the wafer 200 after the TiAlN film having a predetermined thickness is formed is unloaded from the lower end of the manifold 309 to the outside of the process tube 303 while being held by the boat 317 (boat unloading). ) Thereafter, the processed wafer 200 is taken out from the boat 317 (wafer discharge).

なお、本実施形態では、縦型ALD装置を用いて、ALD−AlN工程と、ALD−TiN工程と、を交互に繰り返すことで、ウェハ200上にTiAlN膜を形成し、その際、最初および最後にAlN膜を形成する例について説明したが、本発明はこの実施形態に限定されない。例えば、縦型ALD装置を用いて、ALD−AlN工程と、CVD−TiN工程と、を交互に繰り返すことで、ウェハ200上にTiAlN膜を形成し、その際、最初および最後にAlN膜を形成するようにしてもよい。この場合、ALD法だけで成膜する場合よりも成膜速度を向上させることができ、スループットをより向上させることが可能となる。     In the present embodiment, a TiAlN film is formed on the wafer 200 by alternately repeating an ALD-AlN process and an ALD-TiN process using a vertical ALD apparatus. Although an example in which an AlN film is formed has been described above, the present invention is not limited to this embodiment. For example, using a vertical ALD apparatus, a TiAlN film is formed on the wafer 200 by alternately repeating an ALD-AlN process and a CVD-TiN process. At that time, an AlN film is formed first and last. You may make it do. In this case, the film formation rate can be improved as compared with the case where the film is formed only by the ALD method, and the throughput can be further improved.

<本発明の好ましい態様>
以下に、本発明の好ましい態様について付記する。
<Preferred embodiment of the present invention>
Hereinafter, preferred embodiments of the present invention will be additionally described.

本発明の一態様によれば、基板上に絶縁膜を形成する工程と、前記絶縁膜上に高誘電率絶縁膜を形成する工程と、前記高誘電率絶縁膜上に窒化アルミニウムチタン膜を形成する工程と、を有し、前記窒化アルミニウムチタン膜を形成する工程では、窒化アルミニウム膜の形成と、窒化チタン膜の形成と、を交互に繰り返し行い、その際、最初および/または最後に前記窒化アルミニウム膜を形成する半導体装置の製造方法が提供される。   According to one aspect of the present invention, an insulating film is formed on a substrate, a high dielectric constant insulating film is formed on the insulating film, and an aluminum titanium nitride film is formed on the high dielectric constant insulating film. In the step of forming the aluminum titanium nitride film, the formation of the aluminum nitride film and the formation of the titanium nitride film are alternately repeated. At this time, the nitridation is performed first and / or last. A method of manufacturing a semiconductor device for forming an aluminum film is provided.

好ましくは、前記窒化アルミニウム膜はALD法にて形成され、前記窒化チタン膜はALD法またはCVD法にて形成され、前記窒化アルミニウム膜の形成と前記窒化チタン膜の形成は、同一の処理室内で、前記基板の温度を同一温度に設定した状態で行われる。   Preferably, the aluminum nitride film is formed by an ALD method, the titanium nitride film is formed by an ALD method or a CVD method, and the formation of the aluminum nitride film and the formation of the titanium nitride film are performed in the same processing chamber. The substrate temperature is set to the same temperature.

また好ましくは、前記窒化アルミニウム膜は、アルミニウム原子を含む原料を供給する工程と、窒素原子を含むガスを供給する工程と、を1サイクルとして、このサイクルを複数回繰り返すことで形成し、前記サイクルの回数を変化させることにより前記窒化アルミニウムチタン膜中におけるアルミニウム原子の濃度を制御する。   Preferably, the aluminum nitride film is formed by repeating the cycle a plurality of times, wherein the step of supplying a raw material containing aluminum atoms and the step of supplying a gas containing nitrogen atoms are defined as one cycle. The concentration of aluminum atoms in the aluminum titanium nitride film is controlled by changing the number of times.

また好ましくは、前記絶縁膜が、酸化シリコン膜または酸窒化シリコン膜であり、前記高誘電率絶縁膜が窒化ハフニウムシリケート膜である。   Preferably, the insulating film is a silicon oxide film or a silicon oxynitride film, and the high dielectric constant insulating film is a hafnium silicate film.

本発明の他の態様によれば、基板上に絶縁膜を形成する工程と、前記絶縁膜上に高誘電率絶縁膜を形成する工程と、前記高誘電率絶縁膜上に窒化アルミニウムチタン膜を形成する工程と、を有し、前記窒化アルミニウムチタン膜を形成する工程では、ALD法による窒化アルミニウム膜の形成と、CVD法による窒化チタン膜の形成とを、同一の処理室内で、前記基板の温度を同一温度に設定した状態で、間に前記処理室内のパージを挟みつつ、交互に繰り返し行い、その際、最初および/または最後に前記窒化アルミニウム膜を形成する半導体装置の製造方法が提供される。   According to another aspect of the present invention, an insulating film is formed on a substrate, a high dielectric constant insulating film is formed on the insulating film, and an aluminum titanium nitride film is formed on the high dielectric constant insulating film. Forming the aluminum titanium nitride film, and forming the aluminum nitride film by the ALD method and forming the titanium nitride film by the CVD method in the same processing chamber. Provided is a method of manufacturing a semiconductor device in which the aluminum nitride film is formed at the beginning and / or at the end, alternately and repeatedly with the temperature set at the same temperature, with the purge in the processing chamber interposed therebetween. The

好ましくは、前記窒化アルミニウム膜の形成と、前記窒化チタン膜の形成とは、前記処理室内の圧力を同一圧力に設定した状態で行われる。   Preferably, the formation of the aluminum nitride film and the formation of the titanium nitride film are performed in a state where the pressure in the processing chamber is set to the same pressure.

また好ましくは、前記窒化アルミニウム膜は、アルミニウム原子を含む原料を供給する工程と、窒素原子を含むガスを供給する工程と、を1サイクルとして、このサイクルを複数回繰り返すことで形成し、前記サイクルの回数を変化させることにより前記窒化アルミニウムチタン膜中におけるアルミニウム原子の濃度を制御する。   Preferably, the aluminum nitride film is formed by repeating the cycle a plurality of times, wherein the step of supplying a raw material containing aluminum atoms and the step of supplying a gas containing nitrogen atoms are defined as one cycle. The concentration of aluminum atoms in the aluminum titanium nitride film is controlled by changing the number of times.

また好ましくは、前記絶縁膜が、酸化シリコン膜または酸窒化シリコン膜であり、前記高誘電率絶縁膜が窒化ハフニウムシリケート膜である。   Preferably, the insulating film is a silicon oxide film or a silicon oxynitride film, and the high dielectric constant insulating film is a hafnium silicate film.

本発明の更に他の態様によれば、表面に絶縁膜を介して高誘電率絶縁膜が形成された基板を処理する処理室と、前記処理室内にアルミニウム原子を含む第1原料を供給する第1原料供給系と、前記処理室内にチタン原子を含む第2原料を供給する第2原料供給系と、前記処理室内に窒素原子を含む反応ガスを供給する反応ガス供給系と、前記処理室内の基板を加熱するヒータと、前記処理室内への前記第1原料および前記反応ガスの供給による窒化アルミニウム膜の形成と、前記処理室内への前記第2原料および前記反応ガスの供給による窒化チタン膜の形成と、を交互に繰り返し行うことで、前記基板上に形成された前記高誘電率絶縁膜上に窒化アルミニウムチタン膜を形成すると共に、その際、最初および/または最後に前記窒化アルミニウム膜を形成するように、前記第1原料供給系、前記第2原料供給系、前記反応ガス供給系、および、前記ヒータを制御するコントローラと、を有する基板処理装置が提供される。   According to still another aspect of the present invention, there is provided a processing chamber for processing a substrate having a high dielectric constant insulating film formed on the surface via an insulating film, and a first raw material containing aluminum atoms is supplied into the processing chamber. A raw material supply system, a second raw material supply system for supplying a second raw material containing titanium atoms into the processing chamber, a reactive gas supply system for supplying a reactive gas containing nitrogen atoms into the processing chamber, A heater for heating a substrate; formation of an aluminum nitride film by supplying the first raw material and the reactive gas into the processing chamber; and forming a titanium nitride film by supplying the second raw material and the reactive gas into the processing chamber. The aluminum nitride titanium film is formed on the high dielectric constant insulating film formed on the substrate by alternately and repeatedly forming the aluminum nitride film. So as to form a um membrane, the first raw material supply system, said second source supply system, the reactive gas supply system, and a substrate processing apparatus is provided with a controller for controlling the heater.

本発明の更に他の態様によれば、
基板上に形成された絶縁膜と、
前記絶縁膜上に形成された高誘電率絶縁膜と、
前記高誘電率絶縁膜上に形成された窒化アルミニウムチタン膜と、を有し、
前記窒化アルミニウムチタン膜は、窒化アルミニウム膜と、窒化チタン膜と、の積層膜にて構成され、前記窒化アルミニウムチタン膜の最下層および/または最上層が前記窒化アルミニウム膜である半導体装置が提供される。
According to yet another aspect of the invention,
An insulating film formed on the substrate;
A high dielectric constant insulating film formed on the insulating film;
An aluminum titanium nitride film formed on the high dielectric constant insulating film,
The aluminum titanium nitride film is composed of a laminated film of an aluminum nitride film and a titanium nitride film, and a semiconductor device is provided in which the lowermost layer and / or the uppermost layer of the aluminum titanium nitride film is the aluminum nitride film. The

本発明の一態様によれば、基板に対してCVD法により第1のメタル原子を含む第1のメタル膜を形成する工程と、基板に対してALD法により第2のメタル原子を含む第2のメタル膜を形成する工程と、を交互に繰り返すことにより、前記第1のメタル原子および前記第2のメタル原子を含む第3のメタル膜を形成する半導体装置の製造方法が提供される。   According to one embodiment of the present invention, a step of forming a first metal film including a first metal atom on a substrate by a CVD method, and a second step including a second metal atom on the substrate by an ALD method. By alternately repeating the step of forming the metal film, there is provided a method of manufacturing a semiconductor device that forms the third metal film containing the first metal atom and the second metal atom.

好ましくは、前記第1のメタル膜を形成する工程と前記第2のメタル膜を形成する工程は、同一の処理室内で連続的に行われる。   Preferably, the step of forming the first metal film and the step of forming the second metal film are continuously performed in the same processing chamber.

また好ましくは、前記第1のメタル膜を形成する工程と前記第2のメタル膜を形成する工程は、同一の処理温度および/または同一の処理圧力にて行われる。   Preferably, the step of forming the first metal film and the step of forming the second metal film are performed at the same processing temperature and / or the same processing pressure.

また好ましくは、前記第1のメタル膜を形成する工程では、基板に対して前記第1のメタル原子を含む第1原料を供給し、前記第2のメタル膜を形成する工程では、基板に対して前記第2のメタル原子を含む第2原料を供給する工程と、基板に対して反応ガスを供給する工程と、を1サイクルとして、このサイクルを複数回繰り返す。   Preferably, in the step of forming the first metal film, a first raw material containing the first metal atom is supplied to the substrate, and in the step of forming the second metal film, the substrate is formed. The process of supplying the second raw material containing the second metal atom and the process of supplying the reaction gas to the substrate are defined as one cycle, and this cycle is repeated a plurality of times.

また好ましくは、前記第2のメタル膜を形成する工程における前記サイクルの数を変化させることにより、前記第3のメタル膜中における前記第2のメタル原子の濃度を制御する。   Preferably, the concentration of the second metal atom in the third metal film is controlled by changing the number of cycles in the step of forming the second metal film.

また好ましくは、前記第1のメタル原子がチタン原子(Ti)であり、前記第2のメタル原子がアルミニウム原子(Al)である。   Preferably, the first metal atom is a titanium atom (Ti), and the second metal atom is an aluminum atom (Al).

また好ましくは、前記第1のメタル膜が窒化チタン膜(TiN膜)であり、前記第2のメタル膜が窒化アルミニウム膜(AlN)であり、前記第3のメタル膜が窒化アルミニウムチタン膜(TiAlN膜)である。   Preferably, the first metal film is a titanium nitride film (TiN film), the second metal film is an aluminum nitride film (AlN), and the third metal film is an aluminum titanium nitride film (TiAlN). Membrane).

本発明の他の態様によれば、基板を処理する処理室と、前記処理室内に第1のメタル原子を含む第1原料を供給する第1原料供給系と、前記処理室内に第2のメタル原子を含む第2原料を供給する第2原料供給系と、前記処理室内に反応ガスを供給する反応ガス供給系と、前記処理室内の基板を加熱するヒータと、前記処理室内に前記第1原料を供給して基板に対してCVD法により前記第1のメタル原子を含む第1のメタル膜を形成し、前記処理室内に前記第2原料と前記反応ガスとを交互に供給して基板に対してALD法により前記第2のメタル原子を含む第2のメタル膜を形成し、これを交互に繰り返すことにより、前記第1のメタル原子および前記第2のメタル原子を含む第3のメタル膜を形成するように、前記第1原料供給系、前記第2原料供給系、前記反応ガス供給系、および、前記ヒータを制御するコントローラと、を有する基板処理装置が提供される。   According to another aspect of the present invention, a processing chamber for processing a substrate, a first raw material supply system for supplying a first raw material containing a first metal atom in the processing chamber, and a second metal in the processing chamber. A second raw material supply system for supplying a second raw material containing atoms; a reactive gas supply system for supplying a reactive gas into the processing chamber; a heater for heating a substrate in the processing chamber; and the first raw material in the processing chamber. To form a first metal film containing the first metal atoms on the substrate by a CVD method, and alternately supply the second raw material and the reaction gas into the processing chamber. Then, a second metal film containing the second metal atom is formed by ALD, and the third metal film containing the first metal atom and the second metal atom is formed by repeating this alternately. To form the first raw material supply system, the front The second raw material supply system, the reactive gas supply system, and a substrate processing apparatus is provided with a controller for controlling the heater.

200 ウェハ(基板)
201 処理室
202 処理容器
203 支持台
206 ヒータ
213a 第1原料ガス供給管
213b 第2原料ガス供給管
213c 反応ガス供給管
213d パージガス供給管
213e パージガス供給管
237a 第1キャリアガス供給管
237b 第2キャリアガス供給管
220a 第1バブラ
220b 第2バブラ
280 コントローラ
200 wafer (substrate)
201 processing chamber 202 processing vessel 203 support stand 206 heater 213a first source gas supply pipe 213b second source gas supply pipe 213c reaction gas supply pipe 213d purge gas supply pipe 213e purge gas supply pipe 237a first carrier gas supply pipe 237b second carrier gas Supply pipe 220a First bubbler 220b Second bubbler 280 Controller

Claims (8)

窒化アルミニウム膜の形成と、窒化チタン膜の形成と、を交互に繰り返し行うことで、基板上に絶縁膜を介して形成された高誘電率絶縁膜上に、前記窒化アルミニウム膜と前記窒化チタン膜とが交互に積層されてなる積層膜を形成する工程を有し、前記積層膜を形成する工程では、最初に前記窒化アルミニウム膜を形成する半導体装置の製造方法。   The aluminum nitride film and the titanium nitride film are formed on the high dielectric constant insulating film formed on the substrate through the insulating film by alternately and repeatedly forming the aluminum nitride film and the titanium nitride film. A method of manufacturing a semiconductor device in which the aluminum nitride film is first formed in the step of forming the stacked film. 前記積層膜を形成する工程では、最初および最後に前記窒化アルミニウム膜を形成する請求項1に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein in the step of forming the laminated film, the aluminum nitride film is formed first and last. 前記窒化アルミニウム膜の形成と前記窒化チタン膜の形成とは、同一の処理室内で、前記基板の温度を同一温度に設定した状態で行われる請求項1または2に記載の半導体装置の製造方法。   The method for manufacturing a semiconductor device according to claim 1, wherein the formation of the aluminum nitride film and the formation of the titanium nitride film are performed in the same processing chamber with the temperature of the substrate set to the same temperature. 前記窒化アルミニウム膜は、アルミニウム原子を含む原料を供給する工程と、窒素原子を含むガスを供給する工程と、を1サイクルとして、このサイクルを複数回繰り返すことで形成し、
前記窒化チタン膜は、チタン原子を含む原料を供給する工程と、窒素原子を含むガスを供給する工程と、を1サイクルとして、このサイクルを複数回繰り返すことで形成する請求項1乃至3のいずれかに記載の半導体装置の製造方法。
The aluminum nitride film is formed by repeating this cycle a plurality of times, with the step of supplying a raw material containing aluminum atoms and the step of supplying a gas containing nitrogen atoms as one cycle.
4. The titanium nitride film according to claim 1, wherein the titanium nitride film is formed by repeating the cycle a plurality of times, with the step of supplying a raw material containing titanium atoms and the step of supplying a gas containing nitrogen atoms being one cycle. A method for manufacturing the semiconductor device according to claim 1.
前記窒化アルミニウム膜は、アルミニウム原子を含む原料を供給する工程と、窒素原子を含むガスを供給する工程と、を1サイクルとして、このサイクルを複数回繰り返すことで形成し、前記サイクルの回数を変化させることにより前記積層膜中におけるアルミニウム原子の濃度を制御する請求項1乃至4のいずれかに記載の半導体装置の製造方法。   The aluminum nitride film is formed by repeating this cycle a plurality of times, with the step of supplying the raw material containing aluminum atoms and the step of supplying the gas containing nitrogen atoms as one cycle, and the number of cycles is changed. 5. The method of manufacturing a semiconductor device according to claim 1, wherein the concentration of aluminum atoms in the stacked film is controlled. 窒化アルミニウム膜の形成と、窒化チタン膜の形成と、を交互に繰り返し行うことで、基板上に絶縁膜を介して形成された高誘電率絶縁膜上に、前記窒化アルミニウム膜と前記窒化チタン膜とが交互に積層されてなる積層膜を形成する工程を有し、前記積層膜を形成する工程では、最初に前記窒化アルミニウム膜を形成する基板処理方法。   The aluminum nitride film and the titanium nitride film are formed on the high dielectric constant insulating film formed on the substrate through the insulating film by alternately and repeatedly forming the aluminum nitride film and the titanium nitride film. And a substrate processing method in which the aluminum nitride film is first formed in the step of forming the stacked film. 表面に絶縁膜を介して高誘電率絶縁膜が形成された基板を処理する処理室と、
前記処理室内にアルミニウム原子を含む第1原料を供給する第1原料供給系と、
前記処理室内にチタン原子を含む第2原料を供給する第2原料供給系と、
前記処理室内に窒素原子を含む反応ガスを供給する反応ガス供給系と、
前記処理室内の基板を加熱するヒータと、
前記処理室内への前記第1原料および前記反応ガスの供給による窒化アルミニウム膜の形成と、前記処理室内への前記第2原料および前記反応ガスの供給による窒化チタン膜の形成と、を交互に繰り返し行うことで、前記基板上に前記絶縁膜を介して形成された前記高誘電率絶縁膜上に、前記窒化アルミニウム膜と前記窒化チタン膜とが交互に積層されてなる積層膜を形成する処理を行い、前記積層膜を形成する処理では、最初に前記窒化アルミニウム膜を形成するように、前記第1原料供給系、前記第2原料供給系、前記反応ガス供給系、および、前記ヒータを制御するコントローラと、
を有する基板処理装置。
A processing chamber for processing a substrate having a high dielectric constant insulating film formed on the surface via an insulating film;
A first raw material supply system for supplying a first raw material containing aluminum atoms into the processing chamber;
A second raw material supply system for supplying a second raw material containing titanium atoms into the processing chamber;
A reaction gas supply system for supplying a reaction gas containing nitrogen atoms into the processing chamber;
A heater for heating the substrate in the processing chamber;
The formation of an aluminum nitride film by supplying the first raw material and the reactive gas into the processing chamber and the formation of a titanium nitride film by supplying the second raw material and the reactive gas into the processing chamber are alternately repeated. And performing a process of forming a laminated film in which the aluminum nitride film and the titanium nitride film are alternately laminated on the high dielectric constant insulating film formed on the substrate via the insulating film. In the process of forming the laminated film, the first raw material supply system, the second raw material supply system, the reactive gas supply system, and the heater are controlled so as to form the aluminum nitride film first. A controller,
A substrate processing apparatus.
基板上に形成された絶縁膜と、
前記絶縁膜上に形成された高誘電率絶縁膜と、
前記高誘電率絶縁膜上に形成された、窒化アルミニウム膜と窒化チタン膜とが交互に積層されてなる積層膜と、を有し、
前記積層膜の最下層が前記窒化アルミニウム膜である半導体装置。
An insulating film formed on the substrate;
A high dielectric constant insulating film formed on the insulating film;
A laminated film in which aluminum nitride films and titanium nitride films are alternately laminated, formed on the high dielectric constant insulating film;
A semiconductor device in which a lowermost layer of the laminated film is the aluminum nitride film.
JP2014070516A 2008-06-25 2014-03-28 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus Active JP5801916B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2014070516A JP5801916B2 (en) 2008-06-25 2014-03-28 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008166405 2008-06-25
JP2008166405 2008-06-25
JP2014070516A JP5801916B2 (en) 2008-06-25 2014-03-28 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009116906A Division JP5513767B2 (en) 2008-06-25 2009-05-13 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and semiconductor device

Publications (2)

Publication Number Publication Date
JP2014194081A true JP2014194081A (en) 2014-10-09
JP5801916B2 JP5801916B2 (en) 2015-10-28

Family

ID=51839498

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014070516A Active JP5801916B2 (en) 2008-06-25 2014-03-28 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Country Status (1)

Country Link
JP (1) JP5801916B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018074040A (en) * 2016-10-31 2018-05-10 株式会社ニューフレアテクノロジー Film-forming apparatus and film-forming method
WO2020003803A1 (en) * 2018-06-28 2020-01-02 東京エレクトロン株式会社 Film forming method, film forming system, and film forming device
JPWO2021090794A1 (en) * 2019-11-06 2021-05-14
WO2022070918A1 (en) * 2020-09-29 2022-04-07 東京エレクトロン株式会社 Film forming method and film forming apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5513767B2 (en) * 2008-06-25 2014-06-04 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5513767B2 (en) * 2008-06-25 2014-06-04 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and semiconductor device

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018074040A (en) * 2016-10-31 2018-05-10 株式会社ニューフレアテクノロジー Film-forming apparatus and film-forming method
WO2020003803A1 (en) * 2018-06-28 2020-01-02 東京エレクトロン株式会社 Film forming method, film forming system, and film forming device
CN112292476A (en) * 2018-06-28 2021-01-29 东京毅力科创株式会社 Film forming method, film forming system and film forming apparatus
KR20210020148A (en) * 2018-06-28 2021-02-23 도쿄엘렉트론가부시키가이샤 Film formation method, film formation system, and film formation apparatus
JPWO2020003803A1 (en) * 2018-06-28 2021-07-08 東京エレクトロン株式会社 Film formation method, film formation system, and film formation equipment
JP7086189B2 (en) 2018-06-28 2022-06-17 東京エレクトロン株式会社 Film formation method, film formation system, and film formation equipment
KR102607081B1 (en) * 2018-06-28 2023-11-29 도쿄엘렉트론가부시키가이샤 Film formation method, film formation system, and filmmaking device
JPWO2021090794A1 (en) * 2019-11-06 2021-05-14
JP7112793B2 (en) 2019-11-06 2022-08-04 株式会社クリエイティブコーティングス Film forming method and film forming apparatus
WO2022070918A1 (en) * 2020-09-29 2022-04-07 東京エレクトロン株式会社 Film forming method and film forming apparatus

Also Published As

Publication number Publication date
JP5801916B2 (en) 2015-10-28

Similar Documents

Publication Publication Date Title
JP5513767B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and semiconductor device
JP6022638B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP5719138B2 (en) Semiconductor device manufacturing method and substrate processing method
JP5270476B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP5410174B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing system
JP5721952B2 (en) Semiconductor device, semiconductor device manufacturing method, and substrate processing apparatus
JP5683388B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
KR101097753B1 (en) Manufacturing method of semiconductor device and substrate processing apparatus
JP2011066263A (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP5801916B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP4943536B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP2012062502A (en) Method for manufacturing semiconductor device and substrate processing device
JP6306386B2 (en) Substrate processing method, substrate processing apparatus, and program
JP2012059834A (en) Method for manufacturing semiconductor device
JP5944549B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and semiconductor device
JP2013082995A (en) Method for manufacturing semi-conductor device, semi-conductor device, and substrate processing apparatus
JP2012169438A (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2009170711A (en) Manufacturing method of semiconductor device, and substrate processing device
JP2012102404A (en) Method of manufacturing semiconductor device, and method and apparatus of processing substrate

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A132

Effective date: 20150121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150825

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150827

R150 Certificate of patent or registration of utility model

Ref document number: 5801916

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250