JP2013206936A - Reflective mask and method of manufacturing reflective mask - Google Patents

Reflective mask and method of manufacturing reflective mask Download PDF

Info

Publication number
JP2013206936A
JP2013206936A JP2012071358A JP2012071358A JP2013206936A JP 2013206936 A JP2013206936 A JP 2013206936A JP 2012071358 A JP2012071358 A JP 2012071358A JP 2012071358 A JP2012071358 A JP 2012071358A JP 2013206936 A JP2013206936 A JP 2013206936A
Authority
JP
Japan
Prior art keywords
substrate
layer
shielding frame
light
light shielding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012071358A
Other languages
Japanese (ja)
Inventor
Yutaka Kodera
豊 小寺
Norihito Fukugami
典仁 福上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toppan Inc
Original Assignee
Toppan Printing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toppan Printing Co Ltd filed Critical Toppan Printing Co Ltd
Priority to JP2012071358A priority Critical patent/JP2013206936A/en
Publication of JP2013206936A publication Critical patent/JP2013206936A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a reflective mask that removes reflection of light of EUV and DUV regions from a mask region corresponding to a boundary region of a chip to be multiple exposure on a semiconductor substrate, and a method of manufacturing the reflective mask.SOLUTION: There is provided a reflective mask for exposure that has a multi-layered reflective layer, a protective layer, and an absorptive layer laminated in this order on one surface of a substrate and also having a conductor layer formed on the other surface. The absorptive layer is partially etched away to form a circuit pattern portion, and the absorptive layer, protective layer, and multi-layered reflective layer are etched away to form a light shield frame portion on which the surface of the substrate is exposed at an outer periphery of the circuit pattern portion. A part of the substrate is removed to form a space at a bottom portion of the light shield frame portion, the space being sectioned in a V shape (an isosceles triangle shape having its vertex angle at a deepest portion on a substrate side) or in a wedge shape (a right-angled triangle shape having its oblique side on the substrate side).

Description

本発明は、反射型露光用マスク及びその製造方法に関する。   The present invention relates to a reflective exposure mask and a method for manufacturing the same.

半導体デバイスの製造プロセスにおいては、半導体デバイスの高集積化に伴い、フォトリソグラフィ技術の微細化に対する要求が高まっている。既に、リソグラフィの露光も従来の波長が193nmのArFエキシマレーザー光を用いた露光から、波長が13.5nmのEUV(Extreme Ultra Violet:極端紫外線)領域の光を用いた露光に置き換わりつつある。   In the manufacturing process of semiconductor devices, the demand for miniaturization of photolithography technology is increasing with the high integration of semiconductor devices. Already, lithography exposure has been replaced by exposure using light in the EUV (Extreme Ultra Violet) region with a wavelength of 13.5 nm, instead of conventional exposure using ArF excimer laser light with a wavelength of 193 nm.

EUV露光用のマスク(EUVマスク)は、EUV領域の光に対してほとんどの物質が高い光吸収性をもつため、従来の透過型のマスクとは異なり、反射型のマスクが使用される(例えば、特許文献1参照)。例えば、特許文献1には、ガラス基板上にモリブデン(Mo)層及びシリコン(Si)層を交互に積層した多層膜からなる光反射層を形成し、その上にタンタル(Ta)を主成分とする光吸収層によりパターンを形成する技術が開示されている。   As for a mask for EUV exposure (EUV mask), since most substances have high light absorption with respect to light in the EUV region, a reflective mask is used unlike a conventional transmission mask (for example, , See Patent Document 1). For example, in Patent Document 1, a light reflecting layer composed of a multilayer film in which a molybdenum (Mo) layer and a silicon (Si) layer are alternately stacked on a glass substrate is formed, and tantalum (Ta) is a main component. A technique for forming a pattern with a light absorbing layer is disclosed.

また、EUV光は前記の通り光の透過を利用する屈折光学系が使用できないことから、露光機の光学系も反射型となる。このため、透過型のビームスプリッターを利用した偏向が不可能である。従って、反射型マスクでは、マスクへの入射光と反射光が同軸上に設計できない欠点がある。このため、EUVマスクは、6°程度光軸を傾けてマスクへ入射した光の反射光を半導体基板に導く手法が採用されている。この手法では、光軸を傾斜することから、マスクパターンに対する光の入射方向に依存して半導体基板上でマスクの配線パターンがマスクパターンとは異なる線幅となる射影効果と呼ばれる問題が指摘されている。
そこで、この射影効果を抑制ないし軽減するためにマスクパターンを形成している吸収層の膜厚を薄膜化する提案がなされている。
Further, as described above, since an EUV light cannot use a refractive optical system that utilizes the transmission of light, the optical system of the exposure machine is also a reflection type. For this reason, deflection using a transmissive beam splitter is impossible. Therefore, the reflective mask has a drawback that the incident light to the mask and the reflected light cannot be designed on the same axis. For this reason, the EUV mask employs a method of guiding the reflected light of light incident on the mask to the semiconductor substrate with the optical axis inclined by about 6 °. In this method, since the optical axis is inclined, a problem called a projection effect is pointed out in which the wiring pattern of the mask on the semiconductor substrate has a line width different from that of the mask pattern depending on the incident direction of light with respect to the mask pattern. Yes.
Therefore, in order to suppress or reduce this projection effect, proposals have been made to reduce the thickness of the absorption layer forming the mask pattern.

この薄膜化の手法では、EUV光を吸収するのに必要な光の減衰量が不足するため、半導体基板への反射光が増加し、半導体基板上に塗布されたレジスト膜を感光させてしまう問題が発生する。また、半導体基板では、チップを多面付で露光するために、隣接するチップにおいてはその境界領域において多重露光が発生する。さらに、EUV光源は13.5nmにその放射スペクトルのピークを有するが、アウトオブバンド(Out of Band)と呼ばれる13.5nm帯以外の真空紫外線から近赤外線領域の光も放射することが知られている。このアウトオブバンドは本来不必要なものであり、これは半導体基板に塗布されたレジストを感光することから、フィルターなどで除去すべき不要な光である。   In this thinning method, the amount of attenuation of light necessary to absorb EUV light is insufficient, so that the reflected light to the semiconductor substrate increases and the resist film applied on the semiconductor substrate is exposed to light. Will occur. Further, in the semiconductor substrate, in order to expose the chips with multiple surfaces, multiple exposure occurs in the boundary region between adjacent chips. Furthermore, although the EUV light source has a peak of its emission spectrum at 13.5 nm, it is known to emit light in the near infrared region from vacuum ultraviolet rays other than the 13.5 nm band called out-of-band. Yes. This out-of-band is unnecessary in nature, and is unnecessary light that should be removed by a filter or the like because the resist applied to the semiconductor substrate is exposed.

しかしながらタンタル(Ta)を用いた光吸収層は真空紫外線から遠紫外線(Deep
Ultra Violet:遠紫外線)領域の光も反射することから、上述の通り、隣接したチップの境界領域近傍の半導体配線部分において無視できない光量が積算され、配線パターンの寸法に影響を与える問題が発生する。
However, the light absorption layer using tantalum (Ta) is not suitable for vacuum ultraviolet rays but deep ultraviolet rays (Deep).
Since the light in the Ultra Violet region is also reflected, as described above, the amount of light that cannot be ignored is integrated in the semiconductor wiring portion in the vicinity of the boundary region between adjacent chips, and there is a problem that affects the size of the wiring pattern. .

特開2007−273651号公報JP 2007-273651 A

本発明は上記問題を解決するためになされたもので、その課題とするところは、半導体基板で多重露光されるチップの境界領域に対応するマスク領域からEUVおよびDUV領域の光の反射を除去した反射型マスクおよびその製造方法を提供することにある。   The present invention has been made to solve the above-mentioned problems, and the problem is that the reflection of light in the EUV and DUV regions is removed from the mask region corresponding to the boundary region of the chip that is multiple-exposed on the semiconductor substrate. It is an object to provide a reflective mask and a method for manufacturing the same.

上記の課題を解決するための手段として、本発明の請求項1に記載の発明は、反射型マスクであって、
基板の一方の面に、多層反射層と保護層と吸収層がこの順に積層されてなり、もう一方の面には導体層が形成されており、
前記吸収層がその一部をエッチング除去されて回路パターン部を形成しており、
前記回路パターン部の外周には、前記吸収層と前記保護層と前記多層反射層がエッチング除去されて前記基板の表面が露出した遮光枠部が形成されており、
前記遮光枠部の底部には、前記基板の一部を除去して空間を形成した構造となっており、
前記空間の断面形状がV字型(頂角を基板側の最深部に配置した二等辺三角形)または楔型(斜辺を基板側に配置した直角三角形)である、
ことを特徴とする反射型マスクである。
As means for solving the above problems, the invention according to claim 1 of the present invention is a reflective mask,
A multilayer reflective layer, a protective layer, and an absorption layer are laminated in this order on one surface of the substrate, and a conductor layer is formed on the other surface,
A part of the absorption layer is etched away to form a circuit pattern portion;
On the outer periphery of the circuit pattern portion, a light-shielding frame portion is formed in which the absorption layer, the protective layer, and the multilayer reflective layer are etched away to expose the surface of the substrate,
At the bottom of the light shielding frame part, a structure is formed by removing a part of the substrate to form a space,
The cross-sectional shape of the space is V-shaped (an isosceles triangle in which the apex angle is disposed at the deepest part on the substrate side) or a wedge shape (a right-angled triangle in which the oblique side is disposed on the substrate side).
This is a reflective mask.

また請求項2に記載の発明は、遮光枠部の積層方向で基板側に加工されて空間となった断面形状が、頂角を基板側の最深部に配置した二等辺三角形である場合、基板の表面と二等辺にあたるプリズム構造体の斜面がなす角度が22°以上であることを特徴とする請求項1に記載の反射型マスクである。   In the invention according to claim 2, when the cross-sectional shape formed into a space by processing the substrate side in the stacking direction of the light shielding frame portion is an isosceles triangle having an apex angle disposed at the deepest portion on the substrate side, 2. The reflective mask according to claim 1, wherein an angle formed by the inclined surface of the prism structure that is isosceles with the surface of the surface is 22 ° or more.

また請求項3に記載の発明は、遮光枠部の積層方向で基板側に加工されて空間となった断面形状が、斜辺を基板側に配置した直角三角形である場合、基板の表面と直角三角形の斜辺にあたるプリズム構造体の斜面がなす角度が48°以上であることを特徴とする請求項1に記載の反射型マスクである。   According to a third aspect of the present invention, when the cross-sectional shape that is processed into the space on the substrate side in the stacking direction of the light shielding frame portion is a right-angled triangle with the hypotenuse arranged on the substrate side, the surface of the substrate is a right-angled triangle. 2. The reflective mask according to claim 1, wherein an angle formed by the inclined surface of the prism structure corresponding to the oblique side is 48 ° or more.

また請求項4に記載の発明は、請求項1〜3のいずれかに記載の反射型マスクの製造方法であって、少なくとも、
吸収層をパターニングすることにより回路パターン部を形成する回路パターン部形成工程と、
前記回路パターン部の周囲の少なくとも一部に、少なくとも吸収層と保護層と多層反射層をエッチング除去することにより遮光枠部を形成する遮光枠形成工程と、
前記遮光枠形成工程によって、前記遮光枠部の底面に露出した基板を加工することによって、入射光が前記遮光枠の底部で反射光が生じても、前記反射光が前記吸収層により吸収されて反射型マスクから反射することがないようなプリズム構造体を形成するプリズム構造体形成工程と、からなることを特徴とする反射型マスクの製造方法である。
The invention according to claim 4 is a method of manufacturing a reflective mask according to any one of claims 1 to 3, wherein at least
A circuit pattern portion forming step of forming a circuit pattern portion by patterning the absorption layer;
A light shielding frame forming step of forming a light shielding frame by etching and removing at least the absorption layer, the protective layer, and the multilayer reflective layer on at least a part of the periphery of the circuit pattern portion;
By processing the substrate exposed on the bottom surface of the light shielding frame by the light shielding frame forming step, the reflected light is absorbed by the absorption layer even if reflected light is generated at the bottom of the light shielding frame. And a prism structure forming step of forming a prism structure that does not reflect from the reflection mask.

本発明によれば、半導体基板で多重露光されるチップの境界領域に対応するマスク領域の吸収層、保護層、及び多層反射層の一部を選択的に除去して、枠状の領域を形成し、遮光枠部の底部を加工してプリズム構造体を形成することにより、遮光枠部の底部に照射されるEUVおよびDUV領域の光の反射を防止することが可能となる効果を奏する。   According to the present invention, a frame-shaped region is formed by selectively removing a part of the absorption layer, the protective layer, and the multilayer reflective layer in the mask region corresponding to the boundary region of the multiple-exposed chip on the semiconductor substrate. In addition, by forming the prism structure by processing the bottom part of the light shielding frame part, it is possible to prevent reflection of light in the EUV and DUV regions irradiated to the bottom part of the light shielding frame part.

本発明の反射型マスクブランクの一例を説明する概略断面図であり、(a)は吸収層が単層の場合、(b)は吸収層が2層以上の積層である場合、をそれぞれ示している。It is a schematic sectional drawing explaining an example of the reflective mask blank of this invention, (a) shows the case where an absorption layer is a single layer, (b) shows the case where an absorption layer is a lamination | stacking of two or more layers, respectively. Yes. 本発明の反射型マスクの一例を説明する概略平面図と概略断面図。The schematic plan view and schematic sectional drawing explaining an example of the reflective mask of this invention. 本発明の反射型マスクの遮光枠部17の底部のプリズム構造体の例を示す概略断面図であり、(a)はV字型(頂角を基板側に配置した二等辺三角形)の断面形状を持つプリズム構造体、(b)は楔型(斜辺を基板側に配置した直角三角形)の断面形状を持つプリズム構造体、の例である。It is a schematic sectional drawing which shows the example of the prism structure of the bottom part of the light shielding frame part 17 of the reflective mask of this invention, (a) is V-shaped (isosceles triangle which arrange | positioned the apex angle on the board | substrate side) sectional shape (B) is an example of a prism structure having a wedge-shaped (right-angled triangle with the hypotenuse on the substrate side) cross-sectional shape. 本発明のプリズム構造体の傾斜角のシミュレーションの例を示す概念図。The conceptual diagram which shows the example of the simulation of the inclination angle of the prism structure of this invention. 本発明の実施例の処理工程を説明するフロー図。The flowchart explaining the process of the Example of this invention. 本発明の実施例の処理工程の各段階に対応した反射型マスクの処理過程を説明する概略断面図。The schematic sectional drawing explaining the process of a reflective mask corresponding to each step of the process of the Example of this invention. 本発明の実施例の処理工程の各段階に対応した反射型マスクの処理過程を説明する概略断面図であり、図6に示した処理過程の後に続く処理過程を示している。FIG. 7 is a schematic cross-sectional view illustrating a process of processing a reflective mask corresponding to each stage of the process of the embodiment of the present invention, and illustrates a process subsequent to the process illustrated in FIG. 6.

以下、図面を参照しつつ、本発明の実施形態について説明する。
先ず、本発明の第1の実施形態について図1を参照して説明する。
図1は、反射型マスクブランク10の概略断面図であり、(a)は吸収層が単層の吸収層14aの場合、(b)は2層の吸収層14bの場合を示したものであり、その他の構成は同一である。より具体的には、EUV光を用いた露光に使用する反射型マスク用マスクブランクである。EUV光の波長は、例えば13.5nmである。反射型マスクブランクは、基板11の一方の面上に、多層反射層12、保護層13、吸収層14をこの順に形成したものである。基板11は石英基板であり、6インチ角で厚さは6.35mmである。多層反射層12はモリブデン(Mo)、シリコン(Si)を交互にイオンビームスパッタリング装置で交互に40対、合計80層を、最上層がシリコン(Si)となるように薄膜を積層する。次に保護層13としてルテニウム(Ru)をマグネトロンスパッタ装置にて薄膜を積層し、次に吸収層として、タンタル(Ta)を母材としてシリコン(Si)を含む化合物をスパッタリングターゲットとし、窒素ガスを雰囲気中に混合し、マグネトロンスパッタ装置により保護層の上にそれらの元素による化合物の薄膜を積層し、さらにその上にタンタル(Ta)を母材としてシリコン(Si)を含む化合物をスパッタリングターゲットとし、窒素ガスと酸素ガスを混合したガスを混合した雰囲気を用いてマグネトロンスパッタにより薄膜を形成し積層する場合もある。また、基板11の多層反射層12と反対側の面には、裏面導電膜15をマグネトロンスパッタにより形成する。
Hereinafter, embodiments of the present invention will be described with reference to the drawings.
First, a first embodiment of the present invention will be described with reference to FIG.
1A and 1B are schematic cross-sectional views of a reflective mask blank 10, wherein FIG. 1A shows the case where the absorption layer is a single absorption layer 14a, and FIG. 1B shows the case where the absorption layer 14b has two layers. Other configurations are the same. More specifically, it is a reflective mask mask blank used for exposure using EUV light. The wavelength of EUV light is, for example, 13.5 nm. In the reflective mask blank, a multilayer reflective layer 12, a protective layer 13, and an absorbing layer 14 are formed in this order on one surface of a substrate 11. The substrate 11 is a quartz substrate, which is 6 inches square and has a thickness of 6.35 mm. The multilayer reflective layer 12 is composed of 40 pairs of molybdenum (Mo) and silicon (Si) alternately using an ion beam sputtering apparatus, with a total of 80 layers, and a thin film so that the uppermost layer is silicon (Si). Next, ruthenium (Ru) is laminated as a protective layer 13 with a magnetron sputtering apparatus, and then as an absorption layer, a compound containing tantalum (Ta) as a base material and silicon (Si) is used as a sputtering target, and nitrogen gas is supplied. Mixing in the atmosphere, laminating a thin film of a compound of these elements on the protective layer by a magnetron sputtering device, and further using a compound containing silicon (Si) as a base material with tantalum (Ta) as a base material, In some cases, a thin film is formed and laminated by magnetron sputtering using an atmosphere in which a mixture of nitrogen gas and oxygen gas is mixed. A back conductive film 15 is formed on the surface of the substrate 11 opposite to the multilayer reflective layer 12 by magnetron sputtering.

次に、本発明の第2から第5の実施形態について、図2を参照して説明する。図2は、図1で示した反射型マスクブランク10を用いた反射型マスク100であって、図2(a)はその反射型マスク100の概略平面図、図2(b)は概略断面図である。
図2(a)、(b)に示すように、回路パターン部18の領域の外周部に、吸収層14、保護層13及び多層反射層12をエッチング除去することによって、遮光枠部17を形成した構造である。さらに遮光枠部17の底部にはプリズム構造体を有する。このプリズム構造体は、基板11に設けられた構造であり、基板11の一部を除去することによって形成された構造となっている。その形状は、反射型マスクの上方より入射するEUV及びDUV光が裏面から反射してきた際に、遮光枠部17から再びマスク外に反射して行かない形状であれば良く、図3に示すように、断面形状がV字型(頂角を基板側の最深部に配置した二等辺三角形の断面形状)や楔型(斜辺を基板側に配置した直角三角形の断面形状)等、マスク表面に対して遮光枠部17の底部が傾斜面となっていれば良い。
Next, second to fifth embodiments of the present invention will be described with reference to FIG. 2 is a reflective mask 100 using the reflective mask blank 10 shown in FIG. 1, wherein FIG. 2 (a) is a schematic plan view of the reflective mask 100, and FIG. 2 (b) is a schematic cross-sectional view. It is.
As shown in FIGS. 2A and 2B, the light shielding frame portion 17 is formed by etching and removing the absorption layer 14, the protective layer 13, and the multilayer reflective layer 12 on the outer periphery of the circuit pattern portion 18. This is the structure. Further, the light shielding frame 17 has a prism structure at the bottom. This prism structure is a structure provided on the substrate 11 and is formed by removing a part of the substrate 11. The shape may be any shape as long as EUV and DUV light incident from above the reflective mask is reflected from the back surface so as not to be reflected off the mask again from the light shielding frame portion 17, as shown in FIG. In addition, the cross-sectional shape is V-shaped (the cross-sectional shape of an isosceles triangle with the apex angle located at the deepest part on the substrate side), the wedge shape (the cross-sectional shape of a right-angled triangle with the hypotenuse on the substrate side), etc. Therefore, it is sufficient that the bottom of the light shielding frame 17 is an inclined surface.

プリズム構造体の形状に関しては、図4に示す本発明のプリズム構造体の傾斜角のシミュレーションの例を示す概念図を用いて説明する。ここではV字型の遮光枠部17の底部を作製する場合についてシミュレーションする。   The shape of the prism structure will be described with reference to a conceptual diagram showing an example of the simulation of the inclination angle of the prism structure of the present invention shown in FIG. Here, a simulation is performed for the case where the bottom portion of the V-shaped light shielding frame portion 17 is manufactured.

遮光枠部17の幅を2xとすると、多層反射層12の端部からV字型の最深位置までの距離はxとなる。V字型構造の傾斜角をaとし、基板11の屈折率をn、厚みをtとする。基板11に対し入射角aで入射した光は基板11のプリズム構造体により角度bで屈折するとする。   If the width of the light shielding frame 17 is 2x, the distance from the end of the multilayer reflective layer 12 to the V-shaped deepest position is x. The inclination angle of the V-shaped structure is a, the refractive index of the substrate 11 is n, and the thickness is t. It is assumed that light incident on the substrate 11 at an incident angle a is refracted at an angle b by the prism structure of the substrate 11.

マスク上方の垂直方向から入射光16が遮光枠部17の底部のプリズム構造体に入射すると、入射光16はプリズムによって光路が変わり、屈折角度bの方向に進む。屈折した点から裏面導電膜15までの距離Dは、式(1)で表される。

Figure 2013206936
したがって、屈折した光は、屈折した点からx方向に、式(2)で表されるx1の距離だけ離れたところで、基板11の裏面で反射され、再び上方に向う。
Figure 2013206936
この時の反射角は、90−(a−b)(度)となるため、裏面導電膜15で反射した点から多層反射層12の端部までの水平方向距離x2は、式(3)となる。
Figure 2013206936
したがって、x1+x2≧xとなるようにプリズム構造体の傾斜角度aを決めれば、裏面からの反射光が再びマスク外部に反射することはない。 When incident light 16 enters the prism structure at the bottom of the light shielding frame 17 from the vertical direction above the mask, the optical path of the incident light 16 is changed by the prism and proceeds in the direction of the refraction angle b. A distance D from the refracted point to the back surface conductive film 15 is expressed by Expression (1).
Figure 2013206936
Therefore, the refracted light is reflected on the back surface of the substrate 11 at a distance of x1 expressed by the formula (2) in the x direction from the refracted point, and then goes upward again.
Figure 2013206936
Since the reflection angle at this time is 90- (ab) (degrees), the horizontal distance x2 from the point reflected by the back surface conductive film 15 to the end of the multilayer reflective layer 12 is expressed by the following equation (3). Become.
Figure 2013206936
Therefore, if the inclination angle a of the prism structure is determined so that x1 + x2 ≧ x, the reflected light from the back surface will not be reflected outside the mask again.

例えば、実際の反射型マスクで使用される6インチ石英ガラスにV字型プリズム構造体を形成する場合、屈折率はn=1.48、厚みt=6.35mmであり、遮光枠部17の幅2x=3mmとして、上述の計算式に当てはめると、およそ22°よりも大きな傾斜のプリズム構造体とすることで、遮光枠部17の底部に入射した光がマスク外部に反射してくることを防止できる。   For example, when a V-shaped prism structure is formed on 6-inch quartz glass used in an actual reflective mask, the refractive index is n = 1.48 and the thickness t = 6.35 mm. When the width 2x = 3 mm and the above formula is applied, the prism structure having an inclination larger than about 22 ° is used so that light incident on the bottom of the light shielding frame 17 is reflected outside the mask. Can be prevented.

また、実際のマスクで使用される6インチ石英ガラスに楔型プリズム構造体を形成する場合、V字型プリズム構造体と同様に考えれば、x=3mmとすれば良い為、48°よりも大きな傾斜のプリズム構造体とすることで、遮光枠部17の底部に入射した光がマスク外部に反射してくることを防止できる。   Further, when the wedge-shaped prism structure is formed on 6-inch quartz glass used in an actual mask, if it is considered in the same manner as the V-shaped prism structure, x = 3 mm may be used, which is larger than 48 °. By using the inclined prism structure, it is possible to prevent light incident on the bottom of the light shielding frame 17 from being reflected outside the mask.

プリズム構造体の形成方法としては、所望する構造に合わせて、適宜公知の加工手法を選択することができる。例えば、公知のリソグラフィ技術及び公知のエッチング技術を用いる手法、または公知の微細機械加工方法などを用いることができる。   As a method for forming the prism structure, a known processing technique can be appropriately selected according to a desired structure. For example, a technique using a known lithography technique and a known etching technique, a known micromachining method, or the like can be used.

次に本発明の反射型マスクの製造方法を図5乃至図6に示す。ここで、図5は工程のステップを示し、図6は各工程での加工状態の概略断面図を示す。
まず、図1の反射型マスクブランクを用意し、吸収層14に回路パターン部18と遮光枠部17を形成する。つまり、電子線に反応を示す化学増幅系や非化学増幅系のレジスト21を、吸収層14の表面に200nmの膜厚で塗布(S1)し、所定の回路パターン部18と遮光枠部17を電子線描画装置により描画する(S2)。その後、アルカリ溶液などで現像(S3)を行い、これにより形成したレジスト21のパターンをマスクにして、フッ素系ガスや塩素系ガスを用いたガスプラズマによるエッチング(S4)を行った後、不要となったレジスト21のパターンを酸素プラズマによる灰化や硫酸やオゾン水などの酸化薬液による分解ないし有機溶剤などで除去(S5)する。その後、必要に応じて、酸・アルカリ系薬品やオゾンガスや水素ガスなどを溶解した超純水や有機アルカリ系薬品、界面活性剤などによる洗浄処理(S6)と、遠心力を利用したスピン乾燥(S7)を行う工程を経ることにより、回路パターン部18と遮光枠部17が形成される。
Next, a method for manufacturing a reflective mask according to the present invention is shown in FIGS. Here, FIG. 5 shows the steps of the process, and FIG. 6 shows a schematic cross-sectional view of the processing state in each process.
First, the reflective mask blank of FIG. 1 is prepared, and the circuit pattern portion 18 and the light shielding frame portion 17 are formed on the absorption layer 14. That is, a chemical amplification system or non-chemical amplification system resist 21 that reacts with an electron beam is applied to the surface of the absorption layer 14 with a film thickness of 200 nm (S1), and a predetermined circuit pattern portion 18 and a light shielding frame portion 17 are formed. Drawing is performed by the electron beam drawing apparatus (S2). Thereafter, development (S3) is performed with an alkaline solution or the like, and etching with gas plasma using fluorine-based gas or chlorine-based gas (S4) is performed using the pattern of the resist 21 formed thereby as a mask. The resist 21 pattern thus formed is removed by ashing with oxygen plasma, decomposition with an oxidizing chemical such as sulfuric acid or ozone water, or organic solvent (S5). After that, if necessary, cleaning treatment (S6) with ultrapure water, organic alkaline chemicals, surfactants, etc. in which acid / alkali chemicals, ozone gas or hydrogen gas are dissolved, and spin drying using centrifugal force ( Through the process of performing S7), the circuit pattern portion 18 and the light shielding frame portion 17 are formed.

次に、遮光枠部17の保護層13と多層反射層12の部分を形成する工程を説明する。まず、上記のマスクに紫外線または電子線に反応を示すレジスト21を塗布する(S8)。この後、遮光枠部17を露光または電子線で描画する(S9)。前記同様、現像(S10)、エッチング(S11)、レジストの除去(S12)、洗浄(S13)、乾燥(S14)を行い、遮光枠部17を完成する。エッチング工程(S11)では、まず、保護層13のエッチング除去をフッ素系ガスプラズマを用いて行ない、多層反射層12は保護層13と同じくフッ素系ガスプラズマもしくは塩素ガス系プラズマを交互に用いる方法でエッチング除去を行ない、遮光枠部17が形成される。   Next, a process of forming the protective layer 13 and the multilayer reflective layer 12 of the light shielding frame 17 will be described. First, a resist 21 that reacts to ultraviolet rays or electron beams is applied to the mask (S8). Thereafter, the light shielding frame 17 is drawn by exposure or electron beam (S9). As described above, development (S10), etching (S11), resist removal (S12), washing (S13), and drying (S14) are performed to complete the light shielding frame portion 17. In the etching step (S 11), first, the protective layer 13 is removed by etching using fluorine-based gas plasma, and the multilayer reflective layer 12 is a method in which fluorine-based gas plasma or chlorine gas-based plasma is alternately used in the same manner as the protective layer 13. Etching is removed to form the light shielding frame 17.

次に、基板11の遮光枠部17にプリズム構造体を形成する工程を説明する。ここでは微細機械加工方法を用いる場合を説明する。上記のマスクに形成された遮光枠部17の底部を、マシニングセンターやNC研削加工機により研削加工(S15)し、所望の形状を形成する。その後、研削加工屑を除去するため、酸・アルカリ系薬品やオゾンガスや水素ガスなどを溶解した超純水や有機アルカリ系薬品、界面活性剤などによる洗浄処理(S16)と、遠心力を利用したスピン乾燥(S17)を行う。   Next, a process of forming a prism structure on the light shielding frame portion 17 of the substrate 11 will be described. Here, a case where a micromachining method is used will be described. The bottom of the light shielding frame 17 formed on the mask is ground by a machining center or an NC grinding machine (S15) to form a desired shape. Thereafter, in order to remove grinding scraps, cleaning treatment (S16) with ultrapure water, organic alkaline chemicals, surfactants, etc. in which acid / alkali chemicals, ozone gas, hydrogen gas, etc. were dissolved, and centrifugal force were used. Spin drying (S17) is performed.

以上の工程により、反射型マスク100が完成する。
本発明によれば、遮光枠部17の底部はプリズム構造体を有し、EUV及びアウトオブバンド領域の光の光路を曲げることができるため、結果として露光光が遮光枠部17から反射される、余計な反射光を除去することができるため、ウェハ上にあるチップの境界領域における多重露光を防止することが可能となる。
Through the above steps, the reflective mask 100 is completed.
According to the present invention, the bottom portion of the light shielding frame portion 17 has the prism structure, and the optical path of light in the EUV and out-of-band regions can be bent. As a result, the exposure light is reflected from the light shielding frame portion 17. Since extra reflected light can be removed, it is possible to prevent multiple exposure in the boundary region between the chips on the wafer.

以下、本発明の反射型マスクの製造方法の実施例を説明する。
図1に本実施例で使用した反射型マスクブランク10a、10bを示した。この反射型マスクブランクは、基板11の上に、波長13.5nmのEUV光に対して反射率が64%程度となるように設計されたMoとSiの40ペアの多層反射層12が、その上に2.5nm厚のRuの保護層13が、更にその上に70nm厚のタンタルシリサイドからなる吸収層14aまたは14bが順次形成されている。
Examples of the method for manufacturing a reflective mask according to the present invention will be described below.
FIG. 1 shows reflective mask blanks 10a and 10b used in this example. In this reflective mask blank, 40 pairs of Mo and Si multilayer reflective layers 12 designed to have a reflectivity of about 64% with respect to EUV light having a wavelength of 13.5 nm are formed on the substrate 11. An Ru protective layer 13 having a thickness of 2.5 nm is formed thereon, and an absorption layer 14a or 14b made of tantalum silicide having a thickness of 70 nm is further formed thereon.

本反射型マスクブランク10aと10bに対し、ポジ型化学増幅型のレジスト21(FEP171:富士フイルムエレクトロニクスマテリアルズ社製)を300nmの膜厚で塗布し、電子線描画機(JBX3040:日本電子社製)によって描画した後、110℃、10分のPEB(Post Exposure Bake)およびスプレー現像(SFG3000:シグマメルテック社製)により、前記レジスト部分にレジストパターンを形成した。   A positive chemically amplified resist 21 (FEP171: manufactured by FUJIFILM Electronics Materials) is applied to the reflective mask blanks 10a and 10b with a film thickness of 300 nm, and an electron beam drawing machine (JBX3040: manufactured by JEOL Ltd.). ), And a resist pattern was formed on the resist portion by PEB (Post Exposure Bake) at 110 ° C. for 10 minutes and spray development (SFG3000: manufactured by Sigma Meltech).

次いで、ドライエッチング装置(SLR、伯東社製)を用いて、CFプラズマとClプラズマにより、吸収層14aおよび14bをエッチングし、レジスト剥離、洗浄、乾燥することで、図2に示した回路パターン部18を有する反射型マスク100を作製した。回路パターン部18は、寸法200nmの1:1のライン&スペースのパターンをマスク中心に配置したものである。パターン領域の大きさは、10cm×10cmとした。 Next, the absorption layer 14a and 14b are etched with CF 4 plasma and Cl 2 plasma using a dry etching apparatus (SLR, manufactured by Hakutosha), and the resist shown in FIG. 2 is removed, washed, and dried. A reflective mask 100 having a pattern portion 18 was produced. The circuit pattern portion 18 is a pattern in which a 1: 1 line & space pattern having a dimension of 200 nm is arranged at the center of the mask. The size of the pattern region was 10 cm × 10 cm.

次いで、上記の回路パターン部18を有する反射型マスク100の遮光枠部17を形成する工程を行った。反射型マスク100にi線レジスト22を500nmの膜厚で塗布し、そこへi線描画機(ALTA3000:アプライドマテリアル社製)により描画、現像を行うことにより、後に遮光枠部17を抜いたレジストパターンを形成した。このときレジストパターンの開口幅は5mmとし、マスク中心部に10cm×10cmの回路パターン部18の外周端から3mmの距離に配置した。   Subsequently, the process of forming the light-shielding frame part 17 of the reflective mask 100 which has said circuit pattern part 18 was performed. The i-line resist 22 is applied to the reflective mask 100 with a film thickness of 500 nm, and is drawn and developed there by an i-line drawing machine (ALTA3000: manufactured by Applied Materials), thereby removing the light shielding frame portion 17 later. A pattern was formed. At this time, the opening width of the resist pattern was 5 mm, and the resist pattern was arranged at a distance of 3 mm from the outer peripheral edge of the circuit pattern portion 18 of 10 cm × 10 cm in the center of the mask.

次いで、ドライエッチング装置(SLR、伯東社製)を用いてCHFプラズマ(ドライエッチング装置内の圧力50mTorr、ICP(誘導結合プラズマ)パワー500W、RIE(反応性イオンエッチング)パワー2000W、CHF:流量20sccm、処理時間6分により、上記レジストの開口部の吸収層14と多層反射層12を異方性ドライエッチングでエッチング除去し、レジスト剥離、洗浄、乾燥し、遮光枠部17に相当する部分を形成した。 Then, using a dry etching apparatus (SLR, manufactured by Hakutosha), CHF 3 plasma (pressure in the dry etching apparatus 50 mTorr, ICP (inductively coupled plasma) power 500 W, RIE (reactive ion etching) power 2000 W, CHF 3 : flow rate The absorption layer 14 and the multilayer reflective layer 12 at the opening of the resist are etched away by anisotropic dry etching after 20 sccm and a processing time of 6 minutes, and the resist is peeled off, washed and dried, and a portion corresponding to the light shielding frame 17 is Formed.

次いで、遮光枠部17の底部にプリズム構造体を形成する工程を行った。反射型マスク100の遮光枠部17の底部をNC研削加工機により研削し、断面形状がV字型形状となるプリズム構造体を形成した。また、この時のプリズム構造体の傾斜角は25°とした。次いで、加工屑を除去するために洗浄、乾燥することで、反射型マスク100を完成した。   Next, a step of forming a prism structure on the bottom of the light shielding frame 17 was performed. The bottom of the light shielding frame 17 of the reflective mask 100 was ground with an NC grinding machine to form a prism structure having a V-shaped cross section. At this time, the inclination angle of the prism structure was set to 25 °. Next, the reflective mask 100 was completed by washing and drying in order to remove the processing waste.

10 反射型マスクブランク
11 基板
12 多層反射層
13 保護層
14a 吸収層(単層)
14b 吸収層(積層)
15 裏面導電膜
16 入射光
17 遮光枠部
18 回路パターン部
21 レジスト
22 i線レジスト
100 反射型マスク
DESCRIPTION OF SYMBOLS 10 Reflective mask blank 11 Substrate 12 Multi-layer reflective layer 13 Protective layer 14a Absorbing layer (single layer)
14b Absorption layer (lamination)
15 Back surface conductive film 16 Incident light 17 Shading frame portion 18 Circuit pattern portion 21 Resist 22 i-line resist 100 Reflective mask

Claims (4)

反射型露光用マスクであって、
基板の一方の面に、多層反射層と保護層と吸収層がこの順に積層されており、もう一方の面には導体層が形成されており、
前記吸収層がその一部をエッチング除去されて回路パターン部を形成しており、
前記回路パターン部の外周には、前記吸収層と前記保護層と前記多層反射層がエッチング除去されて前記基板の表面が露出した遮光枠部が形成されており、
前記遮光枠部の底部には、前記基板の一部を除去して空間を形成した構造となっており、
前記空間の断面形状がV字型(頂角を基板側の最深部に配置した二等辺三角形)または楔型(斜辺を基板側に配置した直角三角形)である、
ことを特徴とする反射型マスク。
A reflective exposure mask,
A multilayer reflective layer, a protective layer, and an absorption layer are laminated in this order on one surface of the substrate, and a conductor layer is formed on the other surface,
A part of the absorption layer is etched away to form a circuit pattern portion;
On the outer periphery of the circuit pattern portion, a light-shielding frame portion is formed in which the absorption layer, the protective layer, and the multilayer reflective layer are etched away to expose the surface of the substrate,
At the bottom of the light shielding frame part, a structure is formed by removing a part of the substrate to form a space,
The cross-sectional shape of the space is V-shaped (an isosceles triangle in which the apex angle is disposed at the deepest part on the substrate side) or a wedge shape (a right triangle in which the oblique side is disposed on the substrate side).
A reflective mask characterized by that.
遮光枠部の積層方向で基板側に加工されて空間となった断面形状が、頂角を基板側の最深部に配置した二等辺三角形である場合、前記二等辺三角形の底角が22°以上であることを特徴とする請求項1に記載の反射型マスク。   When the cross-sectional shape that is processed into the space on the substrate side in the stacking direction of the light shielding frame portion is an isosceles triangle having an apex angle disposed at the deepest portion on the substrate side, the base angle of the isosceles triangle is 22 ° or more. The reflective mask according to claim 1, wherein 遮光枠部の積層方向で基板側に加工されて空間となった断面形状が、斜辺を基板側に配置した直角三角形である場合、基板の表面と直角三角形の斜辺にあたるプリズム構造体の斜面がなす角度が48°以上であることを特徴とする請求項1に記載の反射型マスク。   When the cross-sectional shape that is processed into the space on the substrate side in the stacking direction of the light shielding frame is a right triangle with the hypotenuse arranged on the substrate side, the slope of the prism structure corresponding to the hypotenuse of the right triangle forms the surface of the substrate. The reflective mask according to claim 1, wherein the angle is 48 ° or more. 請求項1〜3のいずれかに記載の反射型マスクの製造方法であって、少なくとも、
吸収層をパターニングすることにより回路パターン部を形成する回路パターン部形成工程と、
前記回路パターン部の周囲の少なくとも一部に、少なくとも吸収層と保護層と多層反射層をエッチング除去することにより遮光枠部を形成する遮光枠形成工程と、
前記遮光枠形成工程によって、前記遮光枠部の底面に露出した基板を加工することによって、入射光が前記遮光枠の底部で反射され反射光が生じても、前記反射光が前記吸収層により吸収されて反射型マスクから反射することがないようなプリズム構造体を形成するプリズム構造体形成工程と、からなることを特徴とする反射型マスクの製造方法。
It is a manufacturing method of the reflective mask in any one of Claims 1-3, Comprising: At least,
A circuit pattern portion forming step of forming a circuit pattern portion by patterning the absorption layer;
A light shielding frame forming step of forming a light shielding frame by etching and removing at least the absorption layer, the protective layer, and the multilayer reflective layer on at least a part of the periphery of the circuit pattern portion;
By processing the substrate exposed on the bottom surface of the light shielding frame portion in the light shielding frame forming step, even if incident light is reflected on the bottom portion of the light shielding frame to generate reflected light, the reflected light is absorbed by the absorption layer. And a prism structure forming step of forming a prism structure that does not reflect from the reflective mask. A method of manufacturing a reflective mask, comprising:
JP2012071358A 2012-03-27 2012-03-27 Reflective mask and method of manufacturing reflective mask Pending JP2013206936A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012071358A JP2013206936A (en) 2012-03-27 2012-03-27 Reflective mask and method of manufacturing reflective mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012071358A JP2013206936A (en) 2012-03-27 2012-03-27 Reflective mask and method of manufacturing reflective mask

Publications (1)

Publication Number Publication Date
JP2013206936A true JP2013206936A (en) 2013-10-07

Family

ID=49525768

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012071358A Pending JP2013206936A (en) 2012-03-27 2012-03-27 Reflective mask and method of manufacturing reflective mask

Country Status (1)

Country Link
JP (1) JP2013206936A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013074194A (en) * 2011-09-28 2013-04-22 Toppan Printing Co Ltd Photomask
WO2015033539A1 (en) * 2013-09-06 2015-03-12 凸版印刷株式会社 Reflective photomask and production method therefor
US9658522B2 (en) 2014-12-01 2017-05-23 Samsung Electronics Co., Ltd. Reflective extreme ultraviolet mask
US20200124957A1 (en) * 2018-10-17 2020-04-23 Astrileux Corporation Photomask having reflective layer with non-reflective regions

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5532017U (en) * 1978-08-19 1980-03-01
JPH08213303A (en) * 1995-02-03 1996-08-20 Nikon Corp Reflective x-ray mask and manufacture thereof
JP2002122981A (en) * 2000-10-13 2002-04-26 Samsung Electronics Co Ltd Reflective photomask
JP2003338461A (en) * 2003-06-16 2003-11-28 Canon Inc X-ray aligner and method for manufacturing device using the same
JP2004104118A (en) * 2002-08-23 2004-04-02 Hoya Corp Reflection mask blank and manufacturing method for reflection mask
JP2007273651A (en) * 2006-03-31 2007-10-18 Toppan Printing Co Ltd Mask blank for extreme ultraviolet ray exposure, mask for extreme ultraviolet ray exposure, and pattern transfer method
JP2009141223A (en) * 2007-12-07 2009-06-25 Toshiba Corp Reflective mask
WO2009136564A1 (en) * 2008-05-09 2009-11-12 Hoya株式会社 Reflective mask, reflective mask blank and method for manufacturing reflective mask
JP2012049489A (en) * 2010-07-30 2012-03-08 Kobe Steel Ltd Oxide and spattering target for semiconductor layer of thin film transistor, and thin film transistor

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5532017U (en) * 1978-08-19 1980-03-01
JPH08213303A (en) * 1995-02-03 1996-08-20 Nikon Corp Reflective x-ray mask and manufacture thereof
JP2002122981A (en) * 2000-10-13 2002-04-26 Samsung Electronics Co Ltd Reflective photomask
JP2004104118A (en) * 2002-08-23 2004-04-02 Hoya Corp Reflection mask blank and manufacturing method for reflection mask
JP2003338461A (en) * 2003-06-16 2003-11-28 Canon Inc X-ray aligner and method for manufacturing device using the same
JP2007273651A (en) * 2006-03-31 2007-10-18 Toppan Printing Co Ltd Mask blank for extreme ultraviolet ray exposure, mask for extreme ultraviolet ray exposure, and pattern transfer method
JP2009141223A (en) * 2007-12-07 2009-06-25 Toshiba Corp Reflective mask
WO2009136564A1 (en) * 2008-05-09 2009-11-12 Hoya株式会社 Reflective mask, reflective mask blank and method for manufacturing reflective mask
JP2012049489A (en) * 2010-07-30 2012-03-08 Kobe Steel Ltd Oxide and spattering target for semiconductor layer of thin film transistor, and thin film transistor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013074194A (en) * 2011-09-28 2013-04-22 Toppan Printing Co Ltd Photomask
WO2015033539A1 (en) * 2013-09-06 2015-03-12 凸版印刷株式会社 Reflective photomask and production method therefor
JP5930132B2 (en) * 2013-09-06 2016-06-08 凸版印刷株式会社 Reflective photomask and method for manufacturing the same
JPWO2015033539A1 (en) * 2013-09-06 2017-03-02 凸版印刷株式会社 Reflective photomask and method for manufacturing the same
US9658522B2 (en) 2014-12-01 2017-05-23 Samsung Electronics Co., Ltd. Reflective extreme ultraviolet mask
US20200124957A1 (en) * 2018-10-17 2020-04-23 Astrileux Corporation Photomask having reflective layer with non-reflective regions

Similar Documents

Publication Publication Date Title
KR101596177B1 (en) Reflective mask and method for manufacturing same
JP5772135B2 (en) Reflective mask blank and reflective mask
JP5953833B2 (en) Reflective photomask and method of manufacturing the same
KR102371950B1 (en) Photomask blank, method of manufacturing photomask, and photomask
WO2013046641A1 (en) Reflective mask blank, reflective mask, and methods for manufacturing reflective mask blank and reflective mask
JP2013206936A (en) Reflective mask and method of manufacturing reflective mask
JP5736900B2 (en) Reflective exposure mask
JP5948778B2 (en) Reflective mask blank
JP2013074202A (en) Reflective mask and manufacturing method therefor
JP2014197628A (en) Euv exposure mask and method for manufacturing the same
KR20220013572A (en) Photomask blank, photomask manufacturing method and photomask
JP2013191663A (en) Reflective mask blank and reflective mask
JP5970901B2 (en) REFLECTIVE MASK AND METHOD FOR PRODUCING REFLECTIVE MASK
JP6852281B2 (en) Reflective photomask
JP5803517B2 (en) Reflective mask, mask blank, and manufacturing method thereof
JP2018025716A (en) Reflection type exposure mask and manufacturing method thereof
JP6260149B2 (en) Reflective mask blank and reflective mask
US9658531B2 (en) Semiconductor device resolution enhancement by etching multiple sides of a mask
JP6319368B2 (en) Reflective photomask and method of manufacturing the same
JP2018010192A (en) Blank for reflective mask, reflective mask, and method for manufacturing reflective mask
JP5950535B2 (en) Reflective mask blank and reflective mask
JP2018005108A (en) Reflection type photomask blank and reflection type mask
JP6281205B2 (en) Reflective mask
JP6287045B2 (en) Reflective mask and method of manufacturing the same
JP5786605B2 (en) Photo mask

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160201

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160614