JP2013105249A - Layout design method for semiconductor integrated circuit - Google Patents

Layout design method for semiconductor integrated circuit Download PDF

Info

Publication number
JP2013105249A
JP2013105249A JP2011247481A JP2011247481A JP2013105249A JP 2013105249 A JP2013105249 A JP 2013105249A JP 2011247481 A JP2011247481 A JP 2011247481A JP 2011247481 A JP2011247481 A JP 2011247481A JP 2013105249 A JP2013105249 A JP 2013105249A
Authority
JP
Japan
Prior art keywords
cell
violation
data
wiring
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011247481A
Other languages
Japanese (ja)
Inventor
Yasushi Deguchi
裕史 出口
Yoji Shimoda
洋史 下田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2011247481A priority Critical patent/JP2013105249A/en
Publication of JP2013105249A publication Critical patent/JP2013105249A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a technique for enabling a timing adjustment that satisfies both a SETUP time and a HOLD time.SOLUTION: After the arrangement and wiring layout of a semiconductor integrated circuit is determined, delay information of violation data including a timing violation is extracted on the basis of timing information of data that transmits a given signal line. On the basis of the extracted delay information, a capacitance value to be added so as to eliminate the timing violation is calculated. On the basis of layout arrangement information of wiring that transmits the violation data, a power supply capacity cell adjacent to the wiring that transmits the violation data is detected. On the basis of the calculated capacitance value, the detected power supply capacity cell is replaced with an adjustment capacity cell having the same layout outline and power supply/GND wiring arrangement position as the power supply capacity cell. Then, rewiring is executed by connecting the gate of the replaced adjustment capacity cell with the wiring that transmits the violation data.

Description

本発明は、半導体集積回路の自動レイアウト設計方法に関し、特に、レイアウト配線のタイミング収束に対する自動レイアウト設計方法に関する。   The present invention relates to an automatic layout design method for a semiconductor integrated circuit, and more particularly to an automatic layout design method for timing convergence of layout wiring.

近年、半導体集積回路の分野では、デバイスの高速動作が進み、動作の基準となるクロックに対し、入力するデータの有効時間(以降、Valid幅と称す)は小さくなり、入力データのSETUP、HOLDといったクロックに対するタイミングの制約も厳しくなっている。また、大規模なデバイスの設計を短期間で行う要求が有ることから、セルベースの自動レイアウト設計が一般に行われるようになってきている。   In recent years, in the field of semiconductor integrated circuits, the high-speed operation of devices has progressed, and the valid time of data to be input (hereinafter referred to as Valid width) has become shorter than the clock that is the reference of operation, and SETUP, HOLD, etc. Timing constraints on the clock are becoming stricter. In addition, since there is a demand for designing a large-scale device in a short period of time, cell-based automatic layout design is generally performed.

高速かつ大規模なデバイスを短期間で設計する自動レイアウトの技術分野では、配線容量負荷とクロックに対する信号のSETUP時間とHOLD時間といったタイミングを自動で補正(調整)できる方法が望まれるようになってきている(例えば、特許文献1参照)。   In the technical field of automatic layout for designing a high-speed and large-scale device in a short period of time, a method capable of automatically correcting (adjusting) timings such as a wiring capacity load and a signal SETUP time and a HOLD time with respect to a clock has been desired. (For example, refer to Patent Document 1).

特許文献1(特開2004−86772号公報)には、半導体集積回路の設計を支援する半導体集積回路設計支援装置に関する技術が開示されている。その特許文献1に開示されている技術では、予備で分散配置されるダミーセルを使用して入力波形の傾きを自動的に補正している。特許文献1の記載を参照すると、その半導体集積回路設計支援装置は、被駆動セルへ入力される入力波形の傾きが所定値よりも大きい駆動セルを違反セルとして特定している。また、その半導体集積回路設計支援装置は、回路バグを修正するために設けられたダミーセルを検索する機能を備えている。そして、特定された違反セルと、違反セルによって駆動される被駆動セルと、ダミーセルとの組み合わせによる論理一致処理を実行して、違反セルと被駆動セルとにダミーセルを加えて再配線を実行している。   Japanese Unexamined Patent Application Publication No. 2004-86772 discloses a technique related to a semiconductor integrated circuit design support apparatus that supports the design of a semiconductor integrated circuit. In the technique disclosed in Patent Document 1, the slope of the input waveform is automatically corrected by using dummy cells that are distributed in reserve. Referring to the description of Patent Document 1, the semiconductor integrated circuit design support apparatus identifies a driving cell whose slope of the input waveform input to the driven cell is larger than a predetermined value as a violation cell. In addition, the semiconductor integrated circuit design support apparatus has a function of searching for a dummy cell provided for correcting a circuit bug. Then, a logic matching process is performed by a combination of the specified violation cell, a driven cell driven by the violation cell, and a dummy cell, and a dummy cell is added to the violation cell and the driven cell to perform rewiring. ing.

図1A、図1Bは、特許文献1に記載の半導体集積回路設計支援装置の動作を示すフローチャートである。まず、通常セルを配置する(ステップS1)。そして、回路バグが発生したときの修正工数を抑えるための機能リペアセルを配置する(ステップS2)。次にステップS1において配置した通常セル同士を配線する(ステップS3)。その後、各通常セルの遅延情報を抽出する(ステップS4)。そして、被駆動セルへ入力される入力波形の傾き(SLEW)が所定値よりも大きいか否かを判断する(ステップS5)。被駆動セルへ入力される入力波形の傾き(SLEW)が所定値よりも大きくないときは(ステップS5においてYES)、処理を終了する。被駆動セルへ入力される入力波形の傾き(SLEW)が所定値よりも大きいときは(ステップS5においてNO)、SLEWが所定値よりも大きい駆動セルとSLEWが所定値よりも大きい駆動セルによって駆動される被駆動セルとが1対1であるか否かを判断する(ステップS6)。   1A and 1B are flowcharts showing the operation of the semiconductor integrated circuit design support apparatus described in Patent Document 1. FIG. First, normal cells are arranged (step S1). Then, a function repair cell is arranged to suppress the number of correction steps when a circuit bug occurs (step S2). Next, the normal cells arranged in step S1 are wired (step S3). Thereafter, the delay information of each normal cell is extracted (step S4). Then, it is determined whether or not the slope (SLEW) of the input waveform input to the driven cell is larger than a predetermined value (step S5). If the slope (SLEW) of the input waveform input to the driven cell is not greater than the predetermined value (YES in step S5), the process ends. When the slope (SLEW) of the input waveform input to the driven cell is larger than a predetermined value (NO in step S5), driving is performed by a driving cell in which SLEW is larger than the predetermined value and a driving cell in which SLEW is larger than the predetermined value. It is determined whether or not the driven cell is one-to-one (step S6).

図2は、特許文献1に記載の半導体集積回路設計装置において、ダミーセルを検索する方法を説明する図である。SLEWが所定値よりも大きい駆動セルと被駆動セルとが1対1であると判断されたときは(ステップS6においてYES)、駆動セルと被駆動セルとの間の中間点の座標を算出する(ステップS7)。図2に示す例では、駆動セル105と被駆動セル106との間の中間点114の座標を算出する。   FIG. 2 is a diagram for explaining a method for searching for dummy cells in the semiconductor integrated circuit design apparatus described in Patent Document 1. In FIG. When it is determined that the drive cell and the driven cell whose SLEW is larger than the predetermined value are 1: 1 (YES in step S6), the coordinates of the intermediate point between the drive cell and the driven cell are calculated. (Step S7). In the example shown in FIG. 2, the coordinates of the intermediate point 114 between the driving cell 105 and the driven cell 106 are calculated.

そして、駆動セル105と被駆動セル106とを結ぶ線を対角線111とする矩形領域112の中において、中間点114から駆動セル105と被駆動セル106とに向って機能リペアセル107を検索する(ステップS8)。   Then, the functional repair cell 107 is searched from the intermediate point 114 toward the driving cell 105 and the driven cell 106 in the rectangular region 112 having the diagonal line 111 connecting the line connecting the driving cell 105 and the driven cell 106 (step). S8).

矩形領域112の中に機能リペアセル107が存在しなかったときは(ステップS9においてNO)、矩形領域112を任意の倍率によって拡大する。そして、矩形領域112を囲むように配置された矩形領域113において機能リペアセル110を検索する(ステップS10)。   When the functional repair cell 107 does not exist in the rectangular area 112 (NO in step S9), the rectangular area 112 is enlarged by an arbitrary magnification. Then, the function repair cell 110 is searched in the rectangular area 113 arranged so as to surround the rectangular area 112 (step S10).

矩形領域112の中に機能リペアセル107が存在したとき(ステップS9においてYES)、または矩形領域113の中に機能リペアセル110が存在したとき(ステップS11においてYES)は、駆動セル105と被駆動セル106とダミーセル検索器によって検索された機能リペアセル107または機能リペアセル110との組み合わせによる論理一致処理を実行する(ステップS12)。そして、駆動セル105と被駆動セル106とに機能リペアセル107または機能リペアセル110を加えて再配線し(ステップS13)、ステップS4へ戻る。   When functional repair cell 107 exists in rectangular area 112 (YES in step S9) or when functional repair cell 110 exists in rectangular area 113 (YES in step S11), driving cell 105 and driven cell 106 And a logic matching process by a combination of the function repair cell 107 or the function repair cell 110 searched by the dummy cell searcher (step S12). Then, the functional repair cell 107 or the functional repair cell 110 is added to the driving cell 105 and the driven cell 106 for rewiring (step S13), and the process returns to step S4.

特許文献1に記載の技術は、入力波形の傾きが大きい場合の、ダミーセルを使用して波形傾き改善を目的としている。入力波形違反のセル検出と、回路バグ修正用ダミーセルの検索と、ダミーセル使用時の論理一致処理と、ダミーセルを使用再配線とを実行するという特徴を有している。具体的には、図1のフローのステップS6でタイミングNGとなる入力波形違反となるセルを特定し、ステップS7において、図1で示す違反とされたセルの入力配線とつながる出力との間に、ダミーセルの存在と配置位置を検出する。そのダミーセルを使用し論理違反にならないかを検証し、問題なければ出力〜入力の間にダミーセルを加えて再配線を実施する。ダミーセルが存在しなければタイミングリペアセルを追加配置し再配線を実施するという動作をする。   The technique described in Patent Document 1 aims to improve waveform inclination by using dummy cells when the inclination of the input waveform is large. It has the characteristics of executing cell detection for an input waveform violation, search for dummy cells for circuit bug correction, logic matching processing when using dummy cells, and rewiring using dummy cells. Specifically, in step S6 of the flow of FIG. 1, a cell that is in violation of the input waveform at timing NG is specified, and in step S7, the cell that is violated in FIG. Then, the existence and arrangement position of dummy cells are detected. The dummy cell is used to verify whether or not a logic violation occurs, and if there is no problem, a dummy cell is added between the output and the input to perform rewiring. If there is no dummy cell, the timing repair cell is additionally placed and rewiring is performed.

換言すると、特許文献1に記載の技術の半導体集積回路設計装置は、第1被駆動セルへ入力される入力波形の傾き(SLEW)が所定値よりも大きい駆動セルを違反セルとして特定する違反セル特定処理と、回路バグを修正するために設けられた第1ダミーセルを検索するダミーセル検索処理とを実行する。また、違反セル特定処理によって特定された違反セルと、その違反セルによって駆動される第1被駆動セルと、ダミーセル検索処理によって検索された第1ダミーセルとの組み合わせによる論理一致処理を実行する。特許文献1に記載の技術は、その違反セルと第1被駆動セルとに第1ダミーセルを加えて再配線する再配線処理を実行することでタイミング調整工数を削減することができる。   In other words, the semiconductor integrated circuit design apparatus according to the technique described in Patent Document 1 specifies a violation cell that specifies a drive cell having a slope (SLEW) of an input waveform input to the first driven cell larger than a predetermined value as a violation cell. A specific process and a dummy cell search process for searching for a first dummy cell provided to correct a circuit bug are executed. In addition, a logic matching process is executed by a combination of the violation cell specified by the violation cell specification process, the first driven cell driven by the violation cell, and the first dummy cell searched by the dummy cell search process. The technique described in Patent Document 1 can reduce the man-hours for timing adjustment by executing a rewiring process for rewiring by adding a first dummy cell to the violating cell and the first driven cell.

特開2004−86772号公報Japanese Patent Laid-Open No. 2004-86772

特許文献1に記載の技術では、基準となるクロックに対し、入力するデータを伝送する信号線のValid幅に関し、SETUP特性とHOLD特性を満たす時間が共に厳しい仕様上の制約がある場合、SETUP時間とHOLD時間のタイミングを微調整できない。そのため、SETUP制約とHOLD制約を共に満足できないという問題がある。   In the technique described in Patent Document 1, when there is a strict specification constraint regarding the Valid width of a signal line for transmitting input data with respect to a reference clock, when both the SETUP characteristics and the HOLD characteristics are satisfied, the SETUP time And the timing of the HOLD time cannot be finely adjusted. Therefore, there is a problem that both the SETUP constraint and the HOLD constraint cannot be satisfied.

以下に、その理由を説明する。上述した図1のフローに示されているように、タイミング判定工程(ステップS5)でタイミング制約違反を判定後、入力波形の傾き(SLEW)違反を改善するために、セル(バッファ相当)を挿入する処理(ステップS14)を実施する。しかしながら、セル(バッファ相当)を挿入するだけではタイミングの調整量が大きくなり、HOLD制約TAH違反を回避することが出来ても、SETUP違反を誘発する。   The reason will be described below. As shown in the flow of FIG. 1 described above, after determining a timing constraint violation in the timing determination step (step S5), a cell (corresponding to a buffer) is inserted in order to improve the slope (SLEW) violation of the input waveform. The process (step S14) to perform is implemented. However, just inserting a cell (equivalent to a buffer) increases the amount of timing adjustment, and induces a SETUP violation even if the HOLD constraint TAH violation can be avoided.

図3のタイミングチャートを用いて、特許文献1に記載の半導体集積回路設計装置の動作を説明する。図3の(a)は、特許文献1に記載の技術で、セル(バッファ相当)を挿入する前の動作を示すタイミングチャートである。データA11の有効値となる時間、すなわち、時刻t1〜時刻t3(データA11の変化レベルが1/2となる時間)がValid幅TA1となっている。   The operation of the semiconductor integrated circuit design apparatus described in Patent Document 1 will be described using the timing chart of FIG. FIG. 3A is a timing chart showing an operation before inserting a cell (corresponding to a buffer) by the technique described in Patent Document 1. The time when the data A11 becomes an effective value, that is, the time t1 to the time t3 (the time when the change level of the data A11 becomes 1/2) is the Valid width TA1.

時刻t4になると、クロックCLKが初期状態の電源レベル(以下、Hレベルと称する)から接地(GND)レベル(以下、Lレベルと称する)となる。データA11のSETUP時間TA1Sは、時刻t4〜時刻t1で示され、
SETUP制約TAS<SETUP時間TA1S
となり、動作仕様を満足する。一方で、データA11のHOLD制約TA1Hは、時刻t3〜時刻t4となり、
HOLD制約TAH>HOLD時間TA1H
となることから、動作仕様を違反していることになる。
At time t4, the clock CLK changes from the initial power supply level (hereinafter referred to as H level) to the ground (GND) level (hereinafter referred to as L level). The SETUP time TA1S of the data A11 is indicated by time t4 to time t1,
SETUP constraint TAS <SETUP time TA1S
And satisfy the operating specifications. On the other hand, the HOLD constraint TA1H of the data A11 is from time t3 to time t4,
HOLD restriction TAH> HOLD time TA1H
Therefore, the operation specification is violated.

図3の(b)は、特許文献1に記載の技術で、セル(バッファ相当)を挿入した後の動作を示すタイミングチャートであり、遅延されたデータA12のタイミングを示している。特許文献1に記載の技術では、セル(バッファ相当)による遅延時間の微調整ができないことから、過剰な遅延量が付加される。データA12の有効値(データA12の変化レベル1/2となる時間)は、時刻t1からt5までが遅延されてしまう。   FIG. 3B is a timing chart showing the operation after inserting a cell (corresponding to a buffer) with the technique described in Patent Document 1, and shows the timing of the delayed data A12. In the technique described in Patent Document 1, since the delay time cannot be finely adjusted by a cell (corresponding to a buffer), an excessive delay amount is added. The effective value of the data A12 (the time when the change level of the data A12 becomes 1/2) is delayed from the time t1 to the time t5.

したがって、時刻t4でクロックCLKが初期状態のHレベルからLレベルとなると、
データA12のHOLD時間TA2Hは時刻t4〜時刻t7となり、
HOLD制約TAH<HOLD時間TA2H
となることから、動作仕様を満足する。一方でデータA12のSETUP時間TA2Sは、時刻t5〜時刻t4となり、
SETUP制約TAS>SETUP時間TA2S
となることから、動作仕様を違反していることになる。つまり、特許文献1に記載の技術では、クロックCLKに対してSETUP時間とHOLD時間のどちらも満足させるタイミングの調整ができない。
Therefore, when the clock CLK changes from the initial H level to the L level at time t4,
HOLD time TA2H of data A12 is from time t4 to time t7,
HOLD constraint TAH <HOLD time TA2H
Therefore, the operation specifications are satisfied. On the other hand, the SETUP time TA2S of the data A12 is from time t5 to time t4,
SETUP constraint TAS> SETUP time TA2S
Therefore, the operation specification is violated. That is, the technique described in Patent Document 1 cannot adjust the timing to satisfy both the SETUP time and the HOLD time with respect to the clock CLK.

上記の課題を解決するために、以下のような半導体集積回路設計支援方法を実行し、半導体集積回路のレイアウトを自動的に最適化する。まず、半導体集積回路の配置配線レイアウトを決定した後に、所定の信号線を伝搬するデータのタイミング情報を判定する。そのタイミング情報に基づいて、タイミング違反を有する違反データの遅延情報を抽出する。その抽出された遅延情報に基づいて、タイミング違反を解消するための追加すべき容量値を算出する。また、違反データを伝搬する配線のレイアウト配置情報に基づいて、違反データを伝搬する配線の近傍の電源容量セルを検出する。また、算出された容量値に基づいて、検出された電源容量セルを、電源容量セルとレイアウト外形・電源/GND配線配置位置が同じ調整容量セルに置き換える。そして、置き換えた調整用容量セルのゲートと違反データを伝搬する配線とを接続して再配線を実行する。   In order to solve the above problem, the following semiconductor integrated circuit design support method is executed to automatically optimize the layout of the semiconductor integrated circuit. First, after determining the placement and wiring layout of the semiconductor integrated circuit, timing information of data propagating through a predetermined signal line is determined. Based on the timing information, delay information of violation data having a timing violation is extracted. Based on the extracted delay information, a capacity value to be added for eliminating the timing violation is calculated. Further, based on the layout arrangement information of the wiring that propagates the violation data, the power supply capacity cell in the vicinity of the wiring that propagates the violation data is detected. Further, based on the calculated capacitance value, the detected power supply capacity cell is replaced with an adjustment capacity cell having the same layout outline / power supply / GND wiring arrangement position as the power supply capacity cell. Then, rewiring is executed by connecting the replaced gate of the adjustment capacity cell and the wiring that propagates the violation data.

また、上述の課題を解決するために、半導体集積回路のレイアウトを自動的に最適化する半導体集積回路設計支援装置に上記の方法を実行させることが好ましい。この場合、半導体集積回路設計支援装置は、配線処理部と、記憶部と、配線判定部と、変更検査部と、変更処理部とを具備することで、半導体集積回路のレイアウト設計とタイミング調整を自動的に行う。   In order to solve the above-mentioned problem, it is preferable to cause the semiconductor integrated circuit design support apparatus that automatically optimizes the layout of the semiconductor integrated circuit to execute the above method. In this case, the semiconductor integrated circuit design support apparatus includes a wiring processing unit, a storage unit, a wiring determination unit, a change inspection unit, and a change processing unit, thereby performing layout design and timing adjustment of the semiconductor integrated circuit. Do it automatically.

また、上記の方法を実行するにあたり、調整容量セルは、矩形の拡散層と、拡散層上に積層されたゲートポリシリと、さらに上層のメタル配線とで構成されていることが好ましい。その拡散層矩形の相対する2辺の中央に、ゲートポリシリを、その2辺を含むように予め設定したチャネル幅で配置する。また、そのゲートポリシリチャネル長に対し片側はメタル配線の端子とコンタクトによって接続し、拡散層上でありかつゲートポリシリの両脇は、GND電位のメタル配線とコンタクトにより接続する。そして、配置したゲートポリシリのチャネル長方向に対し上側に電源電位のメタル配線を予め設定した幅で配置し、下側にGND電位のメタル配線を予め設定した幅で配置する。   In executing the above method, the adjustment capacity cell is preferably composed of a rectangular diffusion layer, a gate polysilicon layer stacked on the diffusion layer, and an upper metal wiring. In the center of two opposite sides of the diffusion layer rectangle, a gate polysilicon is arranged with a channel width set in advance so as to include the two sides. Further, one side of the gate polysilicon channel length is connected to a metal wiring terminal by a contact, and both sides of the gate polysilicon on the diffusion layer are connected to a GND wiring metal wiring by a contact. Then, the metal wiring of the power supply potential is arranged with a preset width on the upper side with respect to the channel length direction of the arranged gate policy, and the metal wiring with the GND potential is arranged on the lower side with a preset width.

また、上記の方法を実行するにあたり、調整容量セルは、ゲートポリシリのメタル配線の端子に接続したもう一方の端を、拡散層上にチャネル長を小さくなるように構成したものであることが好ましい。   In carrying out the above method, the adjustment capacitor cell is preferably configured such that the other end connected to the metal wiring terminal of the gate polysilicon is formed on the diffusion layer so that the channel length is reduced.

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば、SETUP時間とHOLD時間のどちらも満足させるタイミングの調整が可能になるという効果がある。   If the effect obtained by the representative one of the inventions disclosed in the present application is briefly described, there is an effect that it is possible to adjust the timing to satisfy both the SETUP time and the HOLD time.

図1Aは、従来の半導体集積回路設計装置の動作を示すフローチャートである。FIG. 1A is a flowchart showing the operation of a conventional semiconductor integrated circuit design apparatus. 図1Bは、従来の半導体集積回路設計装置の動作を示すフローチャートである。FIG. 1B is a flowchart showing the operation of the conventional semiconductor integrated circuit design apparatus. 図2は、従来の半導体集積回路支援設計装置において、ダミーセルを検索する方法を説明する図である。FIG. 2 is a diagram for explaining a method for searching for dummy cells in a conventional semiconductor integrated circuit support design apparatus. 図3は、従来の半導体集積回路設計装置の動作を示すタイミングチャートである。FIG. 3 is a timing chart showing the operation of the conventional semiconductor integrated circuit design apparatus. 図4は、本発明の半導体集積回路装置の自動レイアウト設計支援システム1の構成を例示するブロック図である。FIG. 4 is a block diagram illustrating the configuration of the automatic layout design support system 1 for a semiconductor integrated circuit device according to the present invention. 図5は、自動レイアウト設計支援システム1の構成を概念的に例示するブロック図である。FIG. 5 is a block diagram conceptually illustrating the configuration of the automatic layout design support system 1. 図6Aは、電源容量セルCaのレイアウトを例示する平面図である。FIG. 6A is a plan view illustrating the layout of the power supply capacitor cell Ca. 図6Bは、調整容量セルCbのレイアウトを例示する平面図である。FIG. 6B is a plan view illustrating the layout of the adjustment capacitor cell Cb. 図7は、調整容量セルCbのゲート長GX、GY、GZに対するゲート容量値の対応を例示したテーブルである。FIG. 7 is a table illustrating the correspondence of the gate capacitance values to the gate lengths GX, GY, and GZ of the adjustment capacitance cell Cb. 図8は、本実施形態の自動レイアウト設計支援システム1の動作を例示するフローチャートである。FIG. 8 is a flowchart illustrating the operation of the automatic layout design support system 1 of this embodiment. 図9は、複数の電源容量セルCaが配置された結果を例示するレイアウト図である。FIG. 9 is a layout diagram illustrating the result of arranging a plurality of power supply capacity cells Ca. 図10は、配線処理を実施した結果を例示するレイアウト図である。FIG. 10 is a layout diagram illustrating the result of performing the wiring process. 図11は、配線処理部F1での処理が終わった段階における設計対象の回路の動作を例示するタイミングチャートである。FIG. 11 is a timing chart illustrating the operation of the circuit to be designed at the stage where the processing in the wiring processing unit F1 is completed. 図12は、違反信号検出結果の構成を例示する違反信号検出結果表である。FIG. 12 is a violation signal detection result table illustrating the configuration of the violation signal detection result. 図13は、遅延時間算出表の構成を例示するテーブルである。FIG. 13 is a table illustrating the configuration of the delay time calculation table. 図14は、生成された追加容量算出結果表の構成を例示するテーブルである。FIG. 14 is a table illustrating the configuration of the generated additional capacity calculation result table. 図15は、設計対象の回路にセル検索範囲34が設定された状態を例示する平面図である。FIG. 15 is a plan view illustrating a state where the cell search range 34 is set in the circuit to be designed. 図16は、検索範囲を自動拡大した状態を例示する平面図である。FIG. 16 is a plan view illustrating a state in which the search range is automatically enlarged. 図17は、電源容量セルCaを調整容量セルCbに置換した結果を例示する平面図である。FIG. 17 is a plan view illustrating the result of replacing the power supply capacitor cell Ca with the adjustment capacitor cell Cb. 図18は、電源容量セルCaを調整容量セルCbに置換した場合の設計対象の回路の動作を例示すタイミングチャートである。FIG. 18 is a timing chart illustrating an example of the operation of the circuit to be designed when the power supply capacitor cell Ca is replaced with the adjustment capacitor cell Cb. 図19は、従来技術を用いて遅延調整した回路を示す回路図である。FIG. 19 is a circuit diagram showing a circuit in which the delay is adjusted using the conventional technique. 図20は、本実施形態の自動レイアウト設計支援システム1によって遅延調整した回路を例示する回路図である。FIG. 20 is a circuit diagram illustrating a circuit whose delay is adjusted by the automatic layout design support system 1 of the present embodiment. 図21は、論理セルBUFFERiの接続数に応じた遅延時間と、調整容量セルCbi(i=1、2・・・)の接続数に応じた遅延時間とを示すテーブルである。FIG. 21 is a table showing the delay time according to the number of connections of the logic cell BUFFERi and the delay time according to the number of connections of the adjustment capacity cell Cbi (i = 1, 2,...). 図22は、論理セルBUFFERi(i=1、2・・・)と、調整容量セルCbi(i=1、2・・・)の接続数に対する遅延値の変化を示すグラフである。FIG. 22 is a graph showing changes in the delay value with respect to the number of connections of the logic cell BUFFERi (i = 1, 2,...) And the adjustment capacitor cell Cbi (i = 1, 2,...). 図23は、本発明の自動レイアウト設計支援システム1の第2実施形態の動作を例示するフローチャートである。FIG. 23 is a flowchart illustrating the operation of the second embodiment of the automatic layout design support system 1 of the present invention. 図24は、第2実施形態の配線処理部F1の処理を実行した段階のチップレイアウトを例示する平面図である。FIG. 24 is a plan view illustrating a chip layout at a stage where the processing of the wiring processing unit F1 of the second embodiment is executed. 図25は、配線処理部F1の処理が終了した時点での半導体チップCPの動作を例示するタイミングチャートである。FIG. 25 is a timing chart illustrating the operation of the semiconductor chip CP when the processing of the wiring processing unit F1 is completed. 図26は、検索された電源容量セルCai(i=1,2・・)を調整容量セルCbi(i=1,2・・)へ置換した半導体チップCPの構成を例示する平面図である。FIG. 26 is a plan view illustrating the configuration of the semiconductor chip CP in which the searched power source capacity cell Cai (i = 1, 2,...) Is replaced with the adjustment capacity cell Cbi (i = 1, 2,...). 図27は、置換処理が終了した半導体チップCPの動作を例示するタイミングチャートである。FIG. 27 is a timing chart illustrating the operation of the semiconductor chip CP after the replacement process.

以下、本発明の実施の形態を図面に基づいて説明する。なお、実施の形態を説明するための図において、同一の部材には原則として同一の符号を付し、その繰り返しの説明は省略する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiment, and the repetitive description thereof will be omitted.

[第1実施形態]
図4は本発明を実施するための半導体集積回路装置の自動レイアウト設計支援システム1の構成を例示するブロック図である。自動レイアウト設計支援システム1は、コンピュータ装置2と、サーバ3と、記録媒体4と、ネットワーク5とで構成されている。
[First Embodiment]
FIG. 4 is a block diagram illustrating the configuration of an automatic layout design support system 1 for a semiconductor integrated circuit device for carrying out the present invention. The automatic layout design support system 1 includes a computer device 2, a server 3, a recording medium 4, and a network 5.

コンピュータ装置2は、エンジニアリングワークステーションなどの情報処理装置である。サーバ3は、コンピュータ装置2で実行される実行プログラム(アプリケーション)を保持するコンピュータ装置である。サーバ3は、コンピュータ装置2(クライアント)からの要求に応じて、その実行プログラムを提供する。サーバ3は、インターネットなどのネットワーク5を介してコンピュータ装置2に接続されている。   The computer apparatus 2 is an information processing apparatus such as an engineering workstation. The server 3 is a computer device that holds an execution program (application) executed by the computer device 2. The server 3 provides the execution program in response to a request from the computer apparatus 2 (client). The server 3 is connected to the computer device 2 via a network 5 such as the Internet.

サーバ3に備えられている記録媒体4には、コンピュータ装置2に提供される実行プログラムが格納されている。記録媒体4に格納されている実行プログラムは、ネットワーク5を介してコンピュータ装置2にダウンロードされる。ダウンロードされたプログラムは、コンピュータ装置2に備えられた記憶媒体(例えば、ハードディスクやメモリなど)にストアされる。コンピュータ装置2は、そのプログラムに示される手順に基づいて、処理を行う。   An execution program provided to the computer apparatus 2 is stored in the recording medium 4 provided in the server 3. The execution program stored in the recording medium 4 is downloaded to the computer apparatus 2 via the network 5. The downloaded program is stored in a storage medium (for example, a hard disk or a memory) provided in the computer apparatus 2. The computer device 2 performs processing based on the procedure indicated in the program.

図5は、本実施形態の自動レイアウト設計支援システム1の構成を概念的に例示するブロック図である。図5に示されるように、自動レイアウト設計支援システム1は、配線処理部F1と、記憶部F2と、配線判定部F3と、変更検査部F4と、変更処理部F5とを備えている。自動レイアウト設計支援システム1は、図5に例示するような半導体集積回路のレイアウト設計支援装置として構成され、タイミング調整を自動で行う機能を提供する。   FIG. 5 is a block diagram conceptually illustrating the configuration of the automatic layout design support system 1 of this embodiment. As shown in FIG. 5, the automatic layout design support system 1 includes a wiring processing unit F1, a storage unit F2, a wiring determination unit F3, a change inspection unit F4, and a change processing unit F5. The automatic layout design support system 1 is configured as a layout design support device for a semiconductor integrated circuit as illustrated in FIG. 5 and provides a function of automatically performing timing adjustment.

図5に示されているように、配線処理部F1は、論理セル配置部11と、ダミーセル配置部12と、電源容量セル配置部13と、配線部14とを備えている。また記憶部F2は、回路のネットリストD1と、論理セル遅延ライブラリD2と、セルレイアウトライブラリD3と、タイミング制約D4と、タイミング判定結果D51と、追加容量算出結果D52とを、読み出しと書き込みとが可能な状態で保持している。   As shown in FIG. 5, the wiring processing unit F <b> 1 includes a logic cell placement unit 11, a dummy cell placement unit 12, a power source capacitor cell placement unit 13, and a wiring unit 14. The storage unit F2 reads and writes the circuit netlist D1, the logic cell delay library D2, the cell layout library D3, the timing constraint D4, the timing determination result D51, and the additional capacity calculation result D52. Hold in a possible state.

また、変更処理部F5は、容量セルのレイアウトを変更する機能を備えている。図6Aと図6Bは、変更対象の容量セルのレイアウトを例示する平面図である。図6Aは、電源容量セルCaのレイアウトを例示する平面図である。図6Bは、調整容量セルCbのレイアウトを例示する平面図である。本実施形態において、変更処理部F5は、電源容量セルCa、調整容量セルCbを示すデータを備えている。また、変更処理部F5は、自動レイアウト設計支援システム1の処理結果に基づいて、同一セルサイズである両セルの置き換えを行うことができる。   The change processing unit F5 has a function of changing the layout of the capacity cell. 6A and 6B are plan views illustrating the layout of the capacity cell to be changed. FIG. 6A is a plan view illustrating the layout of the power supply capacitor cell Ca. FIG. 6B is a plan view illustrating the layout of the adjustment capacitor cell Cb. In the present embodiment, the change processing unit F5 includes data indicating the power supply capacity cell Ca and the adjustment capacity cell Cb. Further, the change processing unit F5 can replace both cells having the same cell size based on the processing result of the automatic layout design support system 1.

図6Aに示されているように、電源容量セルCaは、拡散層21と、その拡散層21の上に積層されたゲートG1と、拡散層21とゲートG1とに電圧を供給するメタル配線(電源VDD、接地GND)とを備えている。そのメタル配線は、半導体製造プロセスの積層方向において、拡散層21とゲートG1を含む層よりも上層に積層されている。また、ゲートG1は、配線23を介してメタル配線に接続されている。   As shown in FIG. 6A, the power source capacitor cell Ca includes a diffusion layer 21, a gate G1 stacked on the diffusion layer 21, and a metal wiring for supplying a voltage to the diffusion layer 21 and the gate G1 ( Power supply VDD, ground GND). The metal wiring is stacked above the layer including the diffusion layer 21 and the gate G1 in the stacking direction of the semiconductor manufacturing process. The gate G1 is connected to the metal wiring through the wiring 23.

ゲートG1の両脇の拡散層21は、ソース・ドレイン領域であり、複数のコンタクトCN1を介してメタル配線(接地GND)に接続されている。ゲートG1は、2つのコンタクトCN1を介してメタル配線(電源VDD)に接続されている。ここで、電源容量セルCaのゲートG1は、通常使用されるゲートに対しチャネル幅、チャネル長は、容量値を確保するため大きく設定されている。   The diffusion layers 21 on both sides of the gate G1 are source / drain regions, and are connected to a metal wiring (ground GND) via a plurality of contacts CN1. The gate G1 is connected to a metal wiring (power supply VDD) via two contacts CN1. Here, the gate width G1 and the channel length of the gate G1 of the power supply capacity cell Ca are set to be large in order to ensure the capacity value with respect to the normally used gate.

図6Bに示されているように、調整容量セルCbのゲートG2は、電源容量セルCaに設けられていたゲートG1と異なる形状で構成されている。また、調整容量セルCbは、電源容量セルCaに設けられていた配線23を有することなく構成されている。さらに、調整容量セルCbのゲートG2は、チャネル長を、ゲート長GX、GY、GZに示されるような長さに変化させることができる。そして、ゲートG2の下層にある拡散層22は、片側4つずつ(両側で8つ)のコンタクトCN2を介して、メタル配線(接地GND)に接続されている。つまり、電源容量セルCaと調整容量セルCbは、同じサイズの外形で構成され、かつ、メタル配線(電源VDD、接地GND)が同じような位置に構成されている。   As shown in FIG. 6B, the gate G2 of the adjustment capacitor cell Cb has a different shape from the gate G1 provided in the power supply capacitor cell Ca. Further, the adjustment capacitor cell Cb is configured without the wiring 23 provided in the power supply capacitor cell Ca. Furthermore, the gate length of the gate G2 of the adjustment capacitor cell Cb can be changed to the length indicated by the gate lengths GX, GY, and GZ. The diffusion layer 22 below the gate G2 is connected to the metal wiring (ground GND) via four contacts CN2 on each side (eight on each side). That is, the power supply capacitor cell Ca and the adjustment capacitor cell Cb are configured with the same size outer shape, and the metal wiring (power supply VDD, ground GND) is configured at the same position.

図7は、調整容量セルCbのゲート長GX、GY、GZに対するゲート容量値の対応を例示したテーブルである。例えば、図7に示すように、調整容量セルCbのゲートG2が、ゲート長GX(μm)の場合は、調整容量セルの容量値は5PF設定することが可能となる。また、ゲート長GY(μm)の場合は、調整容量セルの容量値は10PF設定することが可能となる。また、ゲート長GZ(μm)の場合は、調整容量セルの容量値は20PFに設定することが可能となる。尚、ゲート長GX、GY、GZに応じたゲート容量値は、任意に設定できるものとする。さらに、変更処理部F5には、ゲート長GX、GY、GZにした複数の調整容量セルCbi(i=1、2・・・)に関するデータが備えられている。したがって、以下の実施形態の説明においては、複数の調整容量セルCbiを区別する場合、枝番号を付して記載する。   FIG. 7 is a table illustrating the correspondence of the gate capacitance values to the gate lengths GX, GY, and GZ of the adjustment capacitance cell Cb. For example, as shown in FIG. 7, when the gate G2 of the adjustment capacitor cell Cb has a gate length GX (μm), the capacitance value of the adjustment capacitor cell can be set to 5 PF. When the gate length is GY (μm), the capacity value of the adjustment capacity cell can be set to 10 PF. In the case of the gate length GZ (μm), the capacity value of the adjustment capacity cell can be set to 20 PF. Note that the gate capacitance value corresponding to the gate lengths GX, GY, and GZ can be arbitrarily set. Further, the change processing unit F5 includes data regarding a plurality of adjustment capacity cells Cbi (i = 1, 2,...) Having gate lengths GX, GY, and GZ. Therefore, in the following description of the embodiment, when a plurality of adjustment capacity cells Cbi are distinguished, they are described with branch numbers.

以下に、本実施形態の自動レイアウト設計支援システム1の動作について説明を行う。図8は、本実施形態の自動レイアウト設計支援システム1の動作を例示するフローチャートである。ステップSa1において、配線処理部F1は、論理セル配置部11の処理として、使用する論理セルと配線接続の情報を記載した回路のネットリストD1と、論理セルのタイミングの情報を記載した論理セル遅延ライブラリD2と、論理セルのレイアウト図形の情報を記載したセルレイアウトライブラリD3と、レイアウト後に満たすべき回路のタイミングの情報を記載したタイミング制約D4とを入力とすることで、自動レイアウトによる論理セルの配置処理を実施する。   The operation of the automatic layout design support system 1 of this embodiment will be described below. FIG. 8 is a flowchart illustrating the operation of the automatic layout design support system 1 of this embodiment. In step Sa1, as the processing of the logic cell placement unit 11, the wiring processing unit F1 performs a netlist D1 of a circuit describing information on the logic cell to be used and wiring connection, and a logic cell delay describing information on the timing of the logic cell. Arrangement of logic cells by automatic layout by inputting a library D2, a cell layout library D3 describing information on layout figures of logic cells, and a timing constraint D4 describing timing information of circuits to be satisfied after layout. Perform the process.

次に、ステップSa2において、ダミーセル配置部12の処理として、論理不具合の修正用に用いられるトランジスタで構成された予備素子であるダミーセル配置を行う。次に、ステップSa3において、電源容量セル配置部13の処理として、電源安定用に容量素子で構成された電源容量セルCaの配置を行う。   Next, in step Sa2, dummy cell placement which is a spare element composed of a transistor used for correcting a logic defect is performed as processing of the dummy cell placement unit 12. Next, in step Sa3, as the processing of the power supply capacitor cell placement unit 13, the placement of the power supply capacitor cell Ca composed of a capacitive element is performed for power stabilization.

図9は、複数の電源容量セルCaが配置された結果を例示するレイアウト図であり、タイミングの調整前のレイアウトを示している。ステップSa3の処理を終えた段階では、各セル列(SC1、SC2、SC3、SC4)に対して、所定のセルが配置される。例えば、図9の示されているように、セル列SC1には、クロックCLKに基づいて動作する論理セル31が配置されている。また、セル列SC2には、電源容量セルCa1と電源容量セルCa3が配置されている。また、セル列SC3には、電源容量セルCa2が配置されている。そして、セル列SC4には、クロックCLKに基づいて動作する論理セル32が配置されている。   FIG. 9 is a layout diagram illustrating a result of arranging a plurality of power supply capacity cells Ca, and shows a layout before timing adjustment. At the stage where the processing of step Sa3 is completed, a predetermined cell is arranged for each cell column (SC1, SC2, SC3, SC4). For example, as shown in FIG. 9, a logic cell 31 that operates based on the clock CLK is arranged in the cell column SC1. Further, the power supply capacity cell Ca1 and the power supply capacity cell Ca3 are arranged in the cell column SC2. In addition, a power supply capacity cell Ca2 is arranged in the cell column SC3. In the cell column SC4, a logic cell 32 that operates based on the clock CLK is arranged.

図8に戻り、ステップSa4において、配線部の処理としてステップSa1の処理とステップSa2の処理を行うことによって配置された各論理セルに対して、一旦、配線処理を実施する。図10は、配線処理を実施した結果を例示するレイアウト図である。図10を参照すると、論理セル31は、ビアVIA1を介してデータA1を伝送する配線33に接続されている。同様に、論理セル32は、そのビアVIA2を介して配線33に接続されている。これらの接続が完了することによって、配線処理部F1の処理が終了する。   Returning to FIG. 8, in step Sa4, wiring processing is temporarily performed on each logic cell arranged by performing the processing of step Sa1 and the processing of step Sa2 as the processing of the wiring unit. FIG. 10 is a layout diagram illustrating the result of performing the wiring process. Referring to FIG. 10, the logic cell 31 is connected to a wiring 33 for transmitting data A1 through a via VIA1. Similarly, the logic cell 32 is connected to the wiring 33 through the via VIA2. When these connections are completed, the processing of the wiring processing unit F1 ends.

次に、配線判定部F3での処理について説明を行う。図8に戻り、ステップSa5において、第1判定処理を実行する。このとき、クロックCLKに対し、配線33でのデータA1(または後述するデータA2)のSETUP制約TASが満足していることを前提に、HOLD制約TAH違反がないかデバイス動作の判定を行う。   Next, processing in the wiring determination unit F3 will be described. Returning to FIG. 8, in step Sa5, the first determination process is executed. At this time, on the assumption that the SETUP constraint TAS of data A1 (or data A2 to be described later) on the wiring 33 is satisfied with respect to the clock CLK, it is determined whether there is a violation of the HOLD constraint TAH.

図11は、配線処理部F1での処理が終わった段階における設計対象の回路の動作を例示するタイミングチャートである。時刻t0までは、クロックCLKは電源電圧レベル(Hレベルと称する)であり、このとき配線33のデータA1はデータ値が不定となっている。   FIG. 11 is a timing chart illustrating the operation of the circuit to be designed at the stage where the processing in the wiring processing unit F1 is completed. Until time t0, the clock CLK is at the power supply voltage level (referred to as H level), and at this time, the data value of the data A1 of the wiring 33 is indefinite.

時刻t1になると、期待するデータA1の値に至り、データA1の振幅レベルが1/2からHレベルもしくは接地レベル(以下、Lレベルと称する)に確定する。時刻t4になると、クロックCLKはHレベルからLレベルになり、データA1のSETUP時間TA1Sが時刻t4〜時刻t1として確定する。つまり、
SETUP時間TA1S>SETUP制約TAS
となり違反は無しになる。一方で、HOLD時間TA1Hは時刻t4〜時刻t5となり、
HOLD時間TA1H>HOLD制約TAH
となり違反として判定される。第1判定処理であるステップSa5でHOLD制約TAHの違反ありと判定された場合、処理はステップSa6に進む。また、HOLD制約TAH違反がない場合は処理を終了する。
At time t1, the expected value of data A1 is reached, and the amplitude level of data A1 is determined from 1/2 to H level or ground level (hereinafter referred to as L level). At time t4, the clock CLK changes from H level to L level, and the SETUP time TA1S of the data A1 is determined as time t4 to time t1. That means
SETUP time TA1S> SETUP constraint TAS
There will be no violation. On the other hand, the HOLD time TA1H is from time t4 to time t5,
HOLD time TA1H> HOLD constraint TAH
It is determined as a violation. If it is determined in step Sa5 that is the first determination process that there is a violation of the HOLD constraint TAH, the process proceeds to step Sa6. If there is no HOLD constraint TAH violation, the process is terminated.

なお、第1判定処理であるステップSa5でHOLD制約TAHの違反ありと判定された場合、タイミング判定結果D51には、違反信号検出結果が格納される。図12は、違反信号検出結果の構成を例示する違反信号検出結果表である。違反信号検出結果表は、違反信号線と違反時間とを関連付けたデータを表形式で保持する。図12に示されているように、違反信号線がデータA1の配線であり、違反時間が−0.02psである場合、それらを関連付けたデータが違反信号検出結果として記載される。   If it is determined in step Sa5 that is the first determination process that there is a violation of the HOLD constraint TAH, the violation signal detection result is stored in the timing determination result D51. FIG. 12 is a violation signal detection result table illustrating the configuration of the violation signal detection result. The violation signal detection result table holds data in which violation signal lines and violation times are associated with each other in a table format. As shown in FIG. 12, when the violation signal line is the wiring of the data A1 and the violation time is −0.02 ps, the data associated with them is described as the violation signal detection result.

図8に戻り、ステップSa6において、第2判定処理を実行する。第2判定処理では、論理セルを挿入してもSETUP制約を違反せずに遅延調整可能であるかを判定する。このとき、ステップSa5で得られるデータのValid幅TA1(時刻t1〜時刻t5)と、自動レイアウトで使用する論理セル遅延ライブラリD2から得られる論理セルによる遅延量とを比較して、SETUP制約を違反せずに遅延調整可能であるかを判定する。   Returning to FIG. 8, in step Sa6, the second determination process is executed. In the second determination process, it is determined whether delay adjustment is possible without violating the SETUP constraint even if a logic cell is inserted. At this time, the valid width TA1 (time t1 to time t5) of the data obtained in step Sa5 is compared with the delay amount by the logic cell obtained from the logic cell delay library D2 used in the automatic layout, and the SETUP constraint is violated. It is determined whether or not delay adjustment is possible.

そして、論理セル挿入ではSETUP制約TASとHOLD制約TAHを満足できないと判定された場合は、処理はステップSa7に進む。ステップSa7において、追加容量算出部は、予め用意されている遅延時間算出表と、ステップSa5で得られた違反信号検出結果表とに基づいて、追加容量算出結果表を生成する。   If it is determined that the SETUP constraint TAS and the HOLD constraint TAH cannot be satisfied by the logic cell insertion, the process proceeds to step Sa7. In step Sa7, the additional capacity calculation unit generates an additional capacity calculation result table based on the delay time calculation table prepared in advance and the violation signal detection result table obtained in step Sa5.

図13は、遅延時間算出表の構成を例示するテーブルである。遅延時間算出表は、違反時間と、追加容量と、遅延時間と、セル名とを関連付けたデータを保持している。図14は、生成された追加容量算出結果表の構成を例示するテーブルである。追加容量算出結果表は、違反信号線と、違反時間と、追加容量とを関連付けたデータを保持している。   FIG. 13 is a table illustrating the configuration of the delay time calculation table. The delay time calculation table holds data in which violation time, additional capacity, delay time, and cell name are associated with each other. FIG. 14 is a table illustrating the configuration of the generated additional capacity calculation result table. The additional capacity calculation result table holds data in which the violation signal line, the violation time, and the additional capacity are associated with each other.

図8に戻り、ステップSa6において、Valid幅TA1が論理セルの遅延よりも大きく、論理セルの挿入が可能である判定された場合は(ステップSa6においてYes)、処理はステップSa13に進む。ステップSa13では、論理セル挿入を実施し、通常の論理セル追加によるタイミング遅延調整を自動レイアウトにて行う。   Returning to FIG. 8, when it is determined in step Sa6 that the Valid width TA1 is larger than the delay of the logic cell and the logic cell can be inserted (Yes in step Sa6), the process proceeds to step Sa13. In step Sa13, logic cell insertion is performed, and timing delay adjustment by normal logic cell addition is performed by automatic layout.

次に、ステップSa8において、HOLD制約TAH違反となっている信号線経路のトレースを行う。そのトレースした経路から、セル検索範囲を設定し、電源容量セルが検索範囲に存在するか否かを、電源容量セルCaのセル名に基づいて検索する。   Next, in step Sa8, the signal line path that violates the HOLD constraint TAH is traced. Based on the traced path, a cell search range is set, and whether or not the power supply capacity cell exists in the search range is searched based on the cell name of the power supply capacity cell Ca.

図15は、設計対象の回路にセル検索範囲34が設定された状態を例示する平面図である。図15を参照すると、配線33は、論理セル31(VIA1)と論理セル32(VIA2)を接続する。その配線33によって伝送されるデータA1において、HOLD制約TAHが違反と判断された場合は、HOLD制約違反のデータA1を伝送する配線33の経路上から、セル検索範囲34を設定する。そして、そのセル検索範囲34に配置されている電源容量セルCa1と電源容量セルCa2を検索する。   FIG. 15 is a plan view illustrating a state where the cell search range 34 is set in the circuit to be designed. Referring to FIG. 15, the wiring 33 connects the logic cell 31 (VIA1) and the logic cell 32 (VIA2). When it is determined that the HOLD restriction TAH is violated in the data A1 transmitted through the wiring 33, the cell search range 34 is set from the path of the wiring 33 that transmits the data A1 that violates the HOLD restriction. Then, the power supply capacity cell Ca1 and the power supply capacity cell Ca2 arranged in the cell search range 34 are searched.

次に、ステップSa9において、第3判定処理を実行する。第3判定処理では、ステップSa8の検索結果に基づいてセル検索範囲34に電源容量セルが存在するか否かを判定する。セル検索範囲34に電源容量セルが存在しない場合(電源容量セルCa1、電源容量セルCa2がなかった場合)は、処理はステップSa10に進む。ステップSa10において、セル検索範囲拡大部は、論理セル31と論理セル32が配置可能なエリアへと検索範囲を自動拡大する。   Next, in step Sa9, a third determination process is executed. In the third determination process, it is determined whether or not a power source capacity cell exists in the cell search range 34 based on the search result in step Sa8. If there is no power supply capacity cell in the cell search range 34 (when there is no power supply capacity cell Ca1 or power supply capacity cell Ca2), the process proceeds to step Sa10. In step Sa10, the cell search range expansion unit automatically expands the search range to an area where the logic cell 31 and the logic cell 32 can be arranged.

図16は、検索範囲を自動拡大した状態を例示する平面図である。図15で例示した信号線経路をトレースして得られたセル検索範囲34は、図16に示されているように、セル検索範囲35のような論理セル31と論理セル32が配置可能なエリアへと検索範囲が自動的に拡大されている。セル検索範囲35が設定された後、処理はステップSa8に戻り、セル検索部によって、セル検索範囲35内に配置されている電源容量セルCa3の検索が行われる。   FIG. 16 is a plan view illustrating a state in which the search range is automatically enlarged. The cell search range 34 obtained by tracing the signal line path illustrated in FIG. 15 is an area where the logic cell 31 and the logic cell 32 such as the cell search range 35 can be arranged as shown in FIG. The search range is automatically expanded. After the cell search range 35 is set, the process returns to step Sa8, and the cell search unit searches for the power source capacity cell Ca3 arranged in the cell search range 35.

ステップSa9において、第3判定処理を実行した結果、接続可能な電源容量セルCaが存在すると判定された場合(ステップSa9においてYes)は、処理はステップSa11に進む。   If it is determined in step Sa9 that the connectable power supply capacity cell Ca exists as a result of executing the third determination process (Yes in step Sa9), the process proceeds to step Sa11.

ステップSa11において、第4判定処理が実行される。第4判定処理では、追加容量算出部が、ステップSa7で算出された追加容量の容量値と論理セル遅延ライブラリ内に用意された電源容量セルの容量値とが同等以上かを判定する。その判定の結果、同等以上となっていない場合、処理はステップSa10に進み、再度、セル検索範囲を拡大する処理を実行する。そして、再度、セル検索範囲を拡大した後、ステップSa8へ戻り、同等以上の負荷容量となるまで、自動レイアウトフローを繰り返す。   In step Sa11, the fourth determination process is executed. In the fourth determination process, the additional capacity calculation unit determines whether the capacity value of the additional capacity calculated in step Sa7 is equal to or greater than the capacity value of the power supply capacity cell prepared in the logic cell delay library. As a result of the determination, if it is not equal or greater, the process proceeds to step Sa10, and the process of expanding the cell search range is executed again. Then, after expanding the cell search range again, the process returns to step Sa8, and the automatic layout flow is repeated until the load capacity becomes equal or greater.

電源容量セルCaの容量値が追加容量算出部のステップSa7で算出された容量値と同等以上となった時点(第4判定処理のステップSa11においてYes)で、処理はステップSa12に進む。ステップSa12において、セル変更部が、追加容量算出結果D52に格納された追加容量分に相当となるように、電源容量セルCaを調整容量セルCbに置換する。   When the capacity value of the power supply capacity cell Ca becomes equal to or greater than the capacity value calculated in step Sa7 of the additional capacity calculation unit (Yes in step Sa11 of the fourth determination process), the process proceeds to step Sa12. In step Sa12, the cell changing unit replaces the power supply capacity cell Ca with the adjusted capacity cell Cb so as to correspond to the additional capacity stored in the additional capacity calculation result D52.

尚、電源容量セルCaと調整容量セルCbは外形やサイズが同じであり、また、メタル配線(電源VDD、接地GND)も同じ配置なので、周りの論理セルや信号線などに影響を与えることなく置換可能となる。また、ゲートG2の寸法をGX、GY、GZと変化させることで、複数の異なった容量値を持った調整容量セル(Cb1、Cb2など)を用意することが可能であり、調整する遅延量に合わせたタイミング調整がより細かくできることになる。   The power source capacity cell Ca and the adjustment capacity cell Cb have the same outer shape and size, and the metal wiring (power source VDD, ground GND) is also arranged in the same manner, so that the surrounding logic cells and signal lines are not affected. Can be replaced. Further, by changing the size of the gate G2 to GX, GY, GZ, it is possible to prepare a plurality of adjustment capacity cells (Cb1, Cb2, etc.) having different capacitance values, and to adjust the delay amount to be adjusted. The combined timing adjustment can be made more finely.

ステップSa12により電源容量セルCaと調整容量セルCbの置換が終了した後、処理はステップSa14に進む。ステップSa14において、信号線に接続された調整容量セルの情報を使用し、再配線処理によって、HOLDのタイミング違反である信号線の再配線を実施する。   After the replacement of the power supply capacity cell Ca and the adjustment capacity cell Cb is completed in step Sa12, the process proceeds to step Sa14. In step Sa14, rewiring of the signal line, which is a violation of the HOLD timing, is performed by rewiring processing using information on the adjustment capacitor cell connected to the signal line.

再配線の実施後、再びHOLD違反がないか第1判定処理のステップSa5へ戻りHOLD違反がなければ(ステップSa5においてYes)一連の自動レイアウトによるタイミング調整は終了する。   After performing the rewiring, the process returns to Step Sa5 of the first determination process again to determine whether there is a HOLD violation (Yes in Step Sa5), and the timing adjustment by a series of automatic layout is completed.

図17は、電源容量セルCaを調整容量セルCbに置換した結果を例示する平面図である。図17に示されているように、セル列SC2の調整容量セルCb1が、ビアVIA3を介してデータA2の配線36に接続されている。このレイアウトによって、期待される追加容量の付加が行われる。   FIG. 17 is a plan view illustrating the result of replacing the power supply capacitor cell Ca with the adjustment capacitor cell Cb. As shown in FIG. 17, the adjustment capacitor cell Cb1 of the cell column SC2 is connected to the wiring 36 of the data A2 via the via VIA3. This layout adds the expected additional capacity.

図18は、電源容量セルCaを調整容量セルCbに置換した場合の設計対象の回路の動作を例示すタイミングチャートである。図18のタイミングチャートに示すように、データA2に遅延が生じることで、時刻t2になると、期待するデータA2の値に至り、データA2の振幅レベルが1/2からHレベルもしくはLレベルに確定する。時刻t4になると、クロックCLKはHレベルからLレベルになり、データA2のSETUP時間TA2Sが時刻t4〜時刻t2として確定する。つまり、
SETUP時間TA2S>SETUP制約TAS
となり違反は無しになる。一方、HOLD時間TA2Hは時刻t4〜時刻t7となり、
HOLD時間TA1H<HOLD制約TAH
となり。違反は無しになる。
FIG. 18 is a timing chart illustrating an example of the operation of the circuit to be designed when the power supply capacitor cell Ca is replaced with the adjustment capacitor cell Cb. As shown in the timing chart of FIG. 18, a delay occurs in the data A2, so that at the time t2, the expected value of the data A2 is reached, and the amplitude level of the data A2 is determined from 1/2 to H level or L level. To do. At time t4, the clock CLK changes from the H level to the L level, and the SETUP time TA2S of the data A2 is determined as time t4 to time t2. That means
SETUP time TA2S> SETUP constraint TAS
There will be no violation. On the other hand, the HOLD time TA2H is from time t4 to time t7,
HOLD time TA1H <HOLD constraint TAH
Next. There is no violation.

[比較例]
上述のように、本実施形態の自動レイアウト設計支援システム1は、SETUP時間とHOLD時間のタイミングの微調整を自動的に行うことが可能である。そのため、動作の基準となるクロックに対し、データのSETUP特性とHOLD特性が共に厳しい動作仕様の制約がある場合、論理セルの配置変更や挿入を行うことなく、高速動作するデバイスのSETUP制約とHOLD制約を共に満足することができる。以下では、本願発明の効果に対する理解を容易にするために、従来技術と比較しながら本発明におけるHOLD制約TAH違反のデータA1のタイミングの微調整について説明を行う。
[Comparative example]
As described above, the automatic layout design support system 1 of this embodiment can automatically finely adjust the timing of the SETUP time and the HOLD time. For this reason, if there are restrictions on the operation specifications for both the data SETUP characteristics and the HOLD characteristics with respect to the clock that is the reference for the operation, the SETUP restrictions and the HOLD of the device that operates at high speed without changing or inserting the logic cell. Both constraints can be satisfied. In the following, in order to facilitate understanding of the effects of the present invention, the fine adjustment of the timing of the data A1 violating the HOLD constraint TAH in the present invention will be described in comparison with the prior art.

図19は、比較例として例示する遅延調整した回路を示す回路図である。図19に示されているように、その回路のフリップフロップFFaに入力されるデータA1の遅延調整は、論理セルBUFFER1、論理セルBUFFER2を用いて行われている。尚、論理セルBUFFER1、論理セルBUFFER2の同一の遅延を有する論理セルとする。   FIG. 19 is a circuit diagram illustrating a delay-adjusted circuit exemplified as a comparative example. As shown in FIG. 19, the delay adjustment of the data A1 input to the flip-flop FFa of the circuit is performed using the logic cell BUFFER1 and the logic cell BUFFER2. It is assumed that the logic cells BUFFER 1 and BUFFER 2 have the same delay.

図20は、本実施形態の自動レイアウト設計支援システム1によって遅延調整した回路を例示する回路図である。図20に示されているように、その回路のフリップフロップFFaに入力されるデータA1の遅延調整は、調整容量セルCb1、調整容量セルCb2を用いて行われている。尚、調整容量セルCb1、調整容量セルCb2は、同一の遅延を有する容量セルとする。   FIG. 20 is a circuit diagram illustrating a circuit whose delay is adjusted by the automatic layout design support system 1 of the present embodiment. As shown in FIG. 20, the delay adjustment of the data A1 input to the flip-flop FFa of the circuit is performed using the adjustment capacitor cell Cb1 and the adjustment capacitor cell Cb2. The adjustment capacity cell Cb1 and the adjustment capacity cell Cb2 are capacity cells having the same delay.

図21は、論理セルBUFFERi(i=1、2・・・)を1つ以上接続した場合の接続数に応じた遅延時間と、本実施形態の調整容量セルCbi(i=1、2・・・)を1つ以上接続した場合の接続数に応じた遅延時間とを示すテーブルである。論理セルBUFFERi(i=1、2・・・)は、1つで200ps、2つで400psの遅延値を持つ。また、調整容量セルCbi(i=1、2・・・)は、1つで20ps、2つで40psの遅延値を持つ。   FIG. 21 shows the delay time according to the number of connections when one or more logic cells BUFFERi (i = 1, 2,...) Are connected, and the adjustment capacity cell Cbi (i = 1, 2,...) Of this embodiment. This is a table showing the delay time according to the number of connections when one or more are connected. One logic cell BUFFERi (i = 1, 2,...) Has a delay value of 200 ps by two and 400 ps by two. Further, one adjustment capacity cell Cbi (i = 1, 2,...) Has a delay value of 20 ps for two and 40 ps for two.

図22は、図21の論理セルBUFFERi(i=1、2・・・)と、調整容量セルCbi(i=1、2・・・)の接続数に対する遅延値の変化を示すグラフである。図22に示されているように、比較例として例示した論理セルBUFFERi(i=1、2・・・)を挿入して遅延調整を行うよりも、本実施形態の調整容量セルCbi(i=1、2・・・)を付加して遅延調整を行う場合の方が、傾きが抑えられている。このグラフの傾きの違いは、本実施形態の自動レイアウト設計支援システム1は、比較例として例示した場合に比べて、より細かい遅延時間の調整を可能であることを示している。   FIG. 22 is a graph showing a change in delay value with respect to the number of connections between the logic cell BUFFERi (i = 1, 2,...) And the adjustment capacitor cell Cbi (i = 1, 2,...) In FIG. As shown in FIG. 22, the adjustment capacity cell Cbi (i = i = 10) of the present embodiment is compared with the case where the delay adjustment is performed by inserting the logic cell BUFFERi (i = 1, 2,. In the case of adjusting the delay by adding 1, 2,..., The inclination is suppressed. This difference in the slope of the graph indicates that the automatic layout design support system 1 of this embodiment can adjust the delay time more finely than the case illustrated as a comparative example.

[第2実施形態]
以下に、本発明の第2実施形態について説明を行う。以下に説明する第2実施形態の自動レイアウト設計支援システム1は、複数のデータバスのタイミング調整を自動レイアウト設計の中で行うための機能を備えている。図23は、本発明の自動レイアウト設計支援システム1の第2実施形態の動作を例示するフローチャートである。
[Second Embodiment]
The second embodiment of the present invention will be described below. The automatic layout design support system 1 of the second embodiment described below has a function for performing timing adjustment of a plurality of data buses in the automatic layout design. FIG. 23 is a flowchart illustrating the operation of the second embodiment of the automatic layout design support system 1 of the present invention.

第2実施形態の配線判定部F32は、ステップSb61において、第5判定処理を実行し、敷設された配線がデータバスであるかどうかを判定する。その判定の結果、配線がデータバスであれば、処理はステップSb62に進む。ステップSb62において、複数あるデータバスのValid幅を重ね合わせたWINDOW幅が、SETUP制約、HOLD制約、Valid幅制約を満足しているかどうか判定する。配線判定部F32は、違反する信号名、すなわち補正(調整)を必要とするデータバス名と違反時間とを関連付けた判定結果データを生成し、タイミング判定結果D51へ格納する。その後、変更検査部F42、変更処理部F5は、タイミング判定結果D51のデータバス名と違反時間とに基づいて、第1実施形態と同様な方法で自動レイアウトの処理を実行する。   In step Sb61, the wiring determination unit F32 of the second embodiment executes a fifth determination process to determine whether the laid wiring is a data bus. As a result of the determination, if the wiring is a data bus, the process proceeds to step Sb62. In step Sb62, it is determined whether or not the WINDOW width obtained by superimposing the valid widths of a plurality of data buses satisfies the SETUP constraint, the HOLD constraint, and the Valid width constraint. The wiring determination unit F32 generates determination result data in which a violation signal name, that is, a data bus name requiring correction (adjustment) and a violation time are associated, and stores the determination result data in the timing determination result D51. Thereafter, the change inspection unit F42 and the change processing unit F5 execute the automatic layout process in the same manner as in the first embodiment, based on the data bus name and the violation time of the timing determination result D51.

図24は、第2実施形態の自動レイアウト設計支援システム1において、配線処理部F1の処理を実行した段階のチップレイアウトを例示する平面図である。配線処理部F1の処理を行うことで、半導体チップCPに、メモリマクロMCと、フリップフロップFF1と、フリップフロップFF2と、フリップフロップFF3とが配置される。また、メモリマクロMCとフリップフロップFF1との間にはデータバスBU1が設けられる。同様に、メモリマクロMCとフリップフロップFF2との間にはデータバスBU2が設けられ、メモリマクロMCとフリップフロップFF3との間にはデータバスBU3が設けられる。また、データバスBU1には電源容量セルCa10が配置され、データバスBU3には電源容量セルCa13が配置されている。尚、フリップフロップFF1、FF2、FF3はクロックCLKに基づいて動作する。   FIG. 24 is a plan view illustrating a chip layout at a stage where the processing of the wiring processing unit F1 is executed in the automatic layout design support system 1 of the second embodiment. By performing the processing of the wiring processing unit F1, the memory macro MC, the flip-flop FF1, the flip-flop FF2, and the flip-flop FF3 are arranged in the semiconductor chip CP. A data bus BU1 is provided between the memory macro MC and the flip-flop FF1. Similarly, a data bus BU2 is provided between the memory macro MC and the flip-flop FF2, and a data bus BU3 is provided between the memory macro MC and the flip-flop FF3. A power capacity cell Ca10 is disposed on the data bus BU1, and a power capacity cell Ca13 is disposed on the data bus BU3. Note that the flip-flops FF1, FF2, and FF3 operate based on the clock CLK.

図25は、配線処理部F1の処理が終了した時点での半導体チップCPの動作を例示するタイミングチャートである。Valid幅TB1は、データバスBU1の入力データの振幅が1/2に至る時間を示している。Valid幅TB2は、データバスBU2の入力データの振幅が1/2に至る時間を示している。Valid幅TB3は、データバスBU3の入力データの振幅が1/2に至る時間を示している。   FIG. 25 is a timing chart illustrating the operation of the semiconductor chip CP when the processing of the wiring processing unit F1 is completed. The Valid width TB1 indicates a time until the amplitude of the input data of the data bus BU1 becomes 1/2. The Valid width TB2 indicates the time until the amplitude of the input data of the data bus BU2 becomes 1/2. The Valid width TB3 indicates the time until the amplitude of the input data of the data bus BU3 becomes 1/2.

図25に示されているように、データバスBU1のValid幅TB1は、時刻t1から時刻t6までの時間である。データバスBU2のValid幅TB2は、時刻t3から時刻t10までの時間である。データバスBU3のValid幅TB3は、時刻t0から時刻t5までの時間である。そして、データバスBU1、データバスBU2、データバスBU3を重ね合わせたデータバスのWINDOW幅TWaは、時刻t3から時刻t5となっている。   As shown in FIG. 25, the Valid width TB1 of the data bus BU1 is the time from time t1 to time t6. The valid width TB2 of the data bus BU2 is the time from time t3 to time t10. The valid width TB3 of the data bus BU3 is the time from time t0 to time t5. The WINDOW width TWa of the data bus in which the data bus BU1, the data bus BU2, and the data bus BU3 are overlapped is from time t3 to time t5.

図25を参照すると、Valid幅TB1、Valid幅TB2、Valid幅TB3を重ね合わせたデータバスのWINDOW幅TWaは、クロックCLKに対するValid幅制約TB(時刻t4〜時刻t6)に対し不足しており、制約を満足できていないことが示されている。Valid幅制約TBとの関係は、
WINDOW幅TWa<Valid幅制約TB
となってしまっている。
Referring to FIG. 25, the WINDOW width TWa of the data bus in which the Valid width TB1, the Valid width TB2, and the Valid width TB3 are overlapped is insufficient with respect to the Valid width constraint TB (time t4 to time t6) with respect to the clock CLK. It is shown that the constraints are not satisfied. The relationship with the Valid width constraint TB is
WINDOW width TWa <Valid width constraint TB
It has become.

このとき、データバスBU2のValid幅TB2は、SETUP制約TAS、HOLD制約TAH、Valid幅制約TBを満足している。したがって、変更検査部F42は、データバスBU2のタイミングに近づけるようにタイミングの補正(調整)処理が行われる。   At this time, the valid width TB2 of the data bus BU2 satisfies the SETUP constraint TAS, the HOLD constraint TAH, and the Valid width constraint TB. Therefore, the change inspection unit F42 performs a timing correction (adjustment) process so as to approach the timing of the data bus BU2.

そして、変更検査部F42では、図24のデータバスBU1、データバスBU3をトレースして検索範囲を設定し、電源容量セルCai(i=1,2・・)を検索する。検索された電源容量セルCai(i=1,2・・)は、第1実施形態と同じように、電源容量セルの遅延に応じたタイミングの調整容量セルCbi(i=1,2・・)へ置換される。   Then, the change inspection unit F42 traces the data bus BU1 and the data bus BU3 in FIG. 24 to set a search range, and searches for the power source capacity cell Cai (i = 1, 2,...). The searched power source capacity cell Cai (i = 1, 2,...) Is adjusted in the timing according to the delay of the power source capacity cell Ci (i = 1, 2,...) As in the first embodiment. Is replaced.

図26は、検索された電源容量セルCai(i=1,2・・)を調整容量セルCbi(i=1,2・・)へ置換した半導体チップCPの構成を例示する平面図である。図26に示されているように、データバスBU1bは調整容量セルCb20に接続され、データバスBU3bは調整容量セルCb21に接続されている。図27は、置換処理が終了した半導体チップCPの動作を例示するタイミングチャートである。図27に示されているように、データバスBU1bのValid幅TB1bは、時刻t2から時刻t9に、データバスBU3bのValid幅TB3bは、時刻t1から時刻t7となりHOLD制約TAHにマージンを持つことになる。それによって、複数のデータバスのVarid幅TB1b、TB2、TB3bを重ね合わせたWINDOW幅TWbも、Valid幅制約TBを満足する。   FIG. 26 is a plan view illustrating the configuration of the semiconductor chip CP in which the searched power source capacity cell Cai (i = 1, 2,...) Is replaced with the adjustment capacity cell Cbi (i = 1, 2,...). As shown in FIG. 26, the data bus BU1b is connected to the adjustment capacity cell Cb20, and the data bus BU3b is connected to the adjustment capacity cell Cb21. FIG. 27 is a timing chart illustrating the operation of the semiconductor chip CP after the replacement process. As shown in FIG. 27, the valid width TB1b of the data bus BU1b is from time t2 to time t9, and the valid width TB3b of the data bus BU3b is from time t1 to time t7, so that the HOLD constraint TAH has a margin. Become. Accordingly, the WINDOW width TWb obtained by superimposing the Valid widths TB1b, TB2, and TB3b of the plurality of data buses also satisfies the Valid width constraint TB.

上述したように、第2実施形態では、自動レイアウト設計支援システム1の配線判定部F32が、配線がデータバスであるかどうかを判定している。そして、配線がデータバスであれば、複数あるデータバスのValid幅TB1、TB2、TB3の重ね合わせたWINDOW幅TWaを特定する。そのWINDOW幅TWaがValid幅制約TBより小さいとき、タイミング違反のデータバスにおいて、すでに配置されている電源容量セルと同一の大きさの調整容量セルに自動的に置き換えることでタイミングの補正(微調整)を実行している。このような構成・動作によって、複数のデータバスのSETUP制約TASおよびHOLD制約TAHと、Valid幅制約TBに対するタイミングのマージン確保が可能となり、さらにタイミング違反の対策に伴う、再設計の繰り返しを抑えることができる。   As described above, in the second embodiment, the wiring determination unit F32 of the automatic layout design support system 1 determines whether the wiring is a data bus. If the wiring is a data bus, the WINDOW width TWa obtained by overlapping the valid widths TB1, TB2, and TB3 of the plurality of data buses is specified. When the WINDOW width TWa is smaller than the Valid width constraint TB, the timing correction (fine adjustment) is performed by automatically replacing the adjusted capacity cell having the same size as the power supply capacity cell that is already arranged in the timing violation data bus. ) Is running. With such a configuration and operation, it becomes possible to secure a timing margin for the SETUP constraint TAS and HOLD constraint TAH of multiple data buses and the Valid width constraint TB, and further suppress re-design repetition due to countermeasures against timing violations. Can do.

以上、本願発明の実施の形態を具体的に説明した。本願発明は上述の実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。   The embodiment of the present invention has been specifically described above. The present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the scope of the invention.

1…自動レイアウト設計支援システム
2…コンピュータ装置
3…サーバ
4…記録媒体
5…ネットワーク
11…論理セル配置部
12…ダミーセル配置部
13…電源容量セル配置部
14…配線部
21…拡散層
22…拡散層
23…配線
31…論理セル
32…論理セル
33…配線
A1…データ
A2…データ
A11…データ
A12…データ
F1…配線処理部
F2…記憶部
F3…配線判定部
F4…変更検査部
F5…変更処理部
F32…配線判定部
F42…変更検査部
D1…ネットリスト
D2…論理セル遅延ライブラリ
D3…セルレイアウトライブラリ
D4…タイミング制約
D51…タイミング判定結果
D52…追加容量算出結果
CP…半導体チップ
VDD…電源
G1…ゲート
G2…ゲート
CN1…コンタクト
CN2…コンタクト
GX…ゲート長
GY…ゲート長
GZ…ゲート長
GND…接地
CP…半導体チップ
MC…メモリマクロ
Ca…電源容量セル
Ca1…電源容量セル
Ca2…電源容量セル
Ca3…電源容量セル
Cb…調整容量セル
Cb1…調整容量セル
Cb2…調整容量セル
Cbi…調整容量セル
Ca10…電源容量セル
Ca11…電源容量セル
Cb20…調整容量セル
Cb21…調整容量セル
BU1…データバス
BU2…データバス
BU3…データバス
BU1b…データバス
BU2b…データバス
TB1…Valid幅
TB2…Valid幅
TB3…Valid幅
TWa…WINDOW幅
TWb…WINDOW幅
TB…Valid幅制約
TA…Valid幅
TA1S…SETUP時間
TA2S…SETUP時間
TA1H…HOLD時間
TA2H…HOLD時間
TAH…HOLD制約
TAS…SETUP制約
BUFFER1…論理セル
BUFFER2…論理セル
BUFFERi…論理セル
FF1…フリップフロップ
FF2…フリップフロップ
FF3…フリップフロップ
FFa…フリップフロップ
VIA1…ビア
VIA2…ビア
VIA3…ビア
SA1…セル検索範囲
SA2…セル検索範囲
SC1…セル列
SC2…セル列
SC3…セル列
SC4…セル列
CLK…クロック
105…駆動セル
106…被駆動セル
107…リペアセル
110…リペアセル
111…対角線
112…矩形領域
113…矩形領域
114…中間点
DESCRIPTION OF SYMBOLS 1 ... Automatic layout design support system 2 ... Computer apparatus 3 ... Server 4 ... Recording medium 5 ... Network 11 ... Logic cell arrangement part 12 ... Dummy cell arrangement part 13 ... Power supply capacity cell arrangement part 14 ... Wiring part 21 ... Diffusion layer 22 ... Diffusion Layer 23 ... wiring 31 ... logic cell 32 ... logic cell 33 ... wiring A1 ... data A2 ... data A11 ... data A12 ... data F1 ... wiring processing section F2 ... storage section F3 ... wiring determination section F4 ... change inspection section F5 ... change processing Portion F32 ... Wiring determination portion F42 ... Change inspection portion D1 ... Netlist D2 ... Logic cell delay library D3 ... Cell layout library D4 ... Timing constraint D51 ... Timing determination result D52 ... Additional capacity calculation result CP ... Semiconductor chip VDD ... Power supply G1 ... Gate G2 ... Gate CN1 ... Contact CN2 ... Contact GX ... Gate length GY ... Gate Long GZ ... Gate length GND ... Ground CP ... Semiconductor chip MC ... Memory macro Ca ... Power supply capacity cell Ca1 ... Power supply capacity cell Ca2 ... Power supply capacity cell Ca3 ... Power supply capacity cell Cb ... Adjustment capacity cell Cb1 ... Adjustment capacity cell Cb2 ... Adjustment capacity Cell Cbi ... Adjusted capacity cell Ca10 ... Power supply capacity cell Ca11 ... Power supply capacity cell Cb20 ... Adjusted capacity cell Cb21 ... Adjusted capacity cell BU1 ... Data bus BU2 ... Data bus BU3 ... Data bus BU1b ... Data bus BU2b ... Data bus TB1 ... Valid width TB2 ... Valid width TB3 ... Valid width TWa ... WINDOW width TWb ... WINDOW width TB ... Valid width constraint TA ... Valid width TA1S ... SETUP time TA2S ... SETUP time TA1H ... HOLD time TA2H ... HOLD time TAH ... HOLD constraint TAS SETUP constraint BUFFER1 ... logic cell BUFFER2 ... logic cell BUFFERi ... logic cell FF1 ... flip-flop FF2 ... flip-flop FF3 ... flip-flop FFA ... flip-flop VIA1 ... via VIA2 ... via VIA3 ... via SA1 ... cell search range SA1 ... cell column SC2 ... cell column SC3 ... cell column SC4 ... cell column CLK ... clock 105 ... driving cell 106 ... driven cell 107 ... repair cell 110 ... repair cell 111 ... diagonal 112 ... rectangular region 113 ... rectangular region 114 ... intermediate point

Claims (5)

半導体集積回路のレイアウトを自動的に最適化する半導体集積回路設計支援方法であって
前記半導体集積回路の配置配線レイアウトを決定した後に、所定の信号線で伝搬されるデータのタイミング情報を判定するステップと、
前記タイミング情報に基づいて、タイミング違反を有する違反データの遅延情報を抽出するステップと、
前記抽出された遅延情報に基づいて、前記タイミング違反を解消するための追加すべき容量値を算出するステップと、
前記違反データを伝搬する配線のレイアウト配置情報に基づいて、前記違反データを伝搬する配線の近傍の電源容量セルを検出するステップと、
前記算出された容量値に基づいて、前記検出された電源容量セルを、前記電源容量セルとレイアウト外形・電源/GND配線配置位置が同じ調整容量セルに置き換えるステップと、
前記置き換えた調整用容量セルのゲートと前記違反データを伝搬する配線とを接続する再配線ステップと、
を具備する
半導体集積回路設計支援方法。
A semiconductor integrated circuit design support method for automatically optimizing a layout of a semiconductor integrated circuit, the step of determining timing information of data propagated through a predetermined signal line after determining a layout wiring layout of the semiconductor integrated circuit When,
Extracting delay information of violation data having a timing violation based on the timing information;
Calculating a capacity value to be added for resolving the timing violation based on the extracted delay information;
Detecting power supply capacity cells in the vicinity of the wiring that propagates the violation data based on the layout arrangement information of the wiring that propagates the violation data;
Replacing the detected power supply capacity cell with an adjustment capacity cell having the same layout outline / power supply / GND wiring arrangement position as the power supply capacity cell based on the calculated capacity value;
A rewiring step for connecting the gate of the replaced capacity cell for adjustment and the wiring for propagating the violation data;
A semiconductor integrated circuit design support method comprising:
請求項1に記載の半導体集積回路設計支援方法において、
前記電源容量セルを検出するステップは、さらに、
前記電源容量セルが検出できなかった場合、検査範囲を、前記違反データを伝搬する配線の配置情報に基づいて拡大するステップを具備する
半導体集積回路設計支援方法。
The semiconductor integrated circuit design support method according to claim 1,
The step of detecting the power capacity cell further comprises:
A method for supporting the design of a semiconductor integrated circuit, comprising the step of expanding an inspection range based on arrangement information of wirings that propagate the violation data when the power source capacity cell cannot be detected.
請求項1または2に記載の半導体集積回路設計支援方法において、さらに、
前記違反データの遅延情報を抽出するステップの後に、前記遅延情報で抽出された遅延値が論理セルでは遅延調整できない値以下かどうかの判定を行うステップ
を具備する
半導体集積回路設計支援方法。
The semiconductor integrated circuit design support method according to claim 1, further comprising:
A method for supporting design of a semiconductor integrated circuit, comprising: after the step of extracting delay information of the violation data, determining whether a delay value extracted by the delay information is equal to or less than a value that cannot be adjusted by a logic cell.
請求項1から3の何れか一項に記載の半導体集積回路設計支援方法において、
前記違反データの遅延情報を抽出するステップの前に、さらに、
配線された信号線がデータバスかどうかを判断するステップと、
前記データバスのValid幅に基づいて、前記データバスに必要な遅延値を算出するステップと
を具備する半導体集積回路設計支援方法。
In the semiconductor integrated circuit design support method according to any one of claims 1 to 3,
Before the step of extracting delay information of the violation data,
Determining whether the wired signal line is a data bus; and
And a step of calculating a delay value necessary for the data bus based on a valid width of the data bus.
請求項1から4の何れか一項に記載の半導体集積回路設計支援方法において、
前記違反データの遅延情報は、
HOLDタイミング違反があるデータ線のValid幅に基づいた情報である
半導体集積回路設計支援方法。
In the semiconductor integrated circuit design support method according to any one of claims 1 to 4,
The violation data delay information is
A semiconductor integrated circuit design support method, which is information based on a Valid width of a data line having a HOLD timing violation.
JP2011247481A 2011-11-11 2011-11-11 Layout design method for semiconductor integrated circuit Pending JP2013105249A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011247481A JP2013105249A (en) 2011-11-11 2011-11-11 Layout design method for semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011247481A JP2013105249A (en) 2011-11-11 2011-11-11 Layout design method for semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JP2013105249A true JP2013105249A (en) 2013-05-30

Family

ID=48624760

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011247481A Pending JP2013105249A (en) 2011-11-11 2011-11-11 Layout design method for semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2013105249A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112597739A (en) * 2020-12-30 2021-04-02 瓴盛科技有限公司 Method and apparatus for repairing hold time violations in a circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112597739A (en) * 2020-12-30 2021-04-02 瓴盛科技有限公司 Method and apparatus for repairing hold time violations in a circuit

Similar Documents

Publication Publication Date Title
JP4501728B2 (en) Crosstalk error control device, crosstalk error control method, and crosstalk error control program
EP3343413A2 (en) Methods for reducing delay on integrated circuits
US20060282810A1 (en) Fullchip functional equivalency and physical verification
US6425115B1 (en) Area efficient delay circuits
US20140325467A1 (en) Multiple-instantiated-module (mim) aware pin assignment
JP4274814B2 (en) Semiconductor integrated circuit design method, design apparatus, cell library data structure, and automatic layout program
CN114841104A (en) Time sequence optimization circuit and method, chip and electronic equipment
US8015533B1 (en) Method for matching timing on high fanout signal paths using routing guides
JP2011043993A (en) Delay analysis device for semiconductor integrated circuit, delay analysis method and program for the same
JP2009199467A (en) Design assisting apparatus for integrated circuit, design assisting method for integrated circuit, design assisting program for integrated circuit, and recording medium on which program is recorded
JP2013105249A (en) Layout design method for semiconductor integrated circuit
JP2009134439A (en) Layout design method using soft macro, data structure for soft macro and creation method for soft macro library
JP2006155524A (en) Verification method, verification device and verification program for semiconductor integrated circuit
JP2008083815A (en) Semiconductor design support device
US20160027768A1 (en) Synthesis of complex cells
JP2001267429A (en) Layout design device and method
JP5309538B2 (en) Semiconductor integrated circuit design method
US8103989B2 (en) Method and system for changing circuits in an integrated circuit
JP2008217642A (en) Layout design method for semiconductor integrated circuit and layout design program
JP2006338090A (en) Method and device for designing semiconductor integrated circuit
JP2012027861A (en) Circuit design support device and circuit design support method
JP4845400B2 (en) Semiconductor device design method and semiconductor device
JP2003330986A (en) Design method of semiconductor integrated circuit
JP2014112331A (en) Design support device, design support method, and design support program
JP2003186934A (en) Method for designing integrated circuit and device for supporting integrated circuit design