JP2013093438A - Semiconductor device manufacturing method - Google Patents

Semiconductor device manufacturing method Download PDF

Info

Publication number
JP2013093438A
JP2013093438A JP2011234699A JP2011234699A JP2013093438A JP 2013093438 A JP2013093438 A JP 2013093438A JP 2011234699 A JP2011234699 A JP 2011234699A JP 2011234699 A JP2011234699 A JP 2011234699A JP 2013093438 A JP2013093438 A JP 2013093438A
Authority
JP
Japan
Prior art keywords
semiconductor device
film
manufacturing
hafnium
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011234699A
Other languages
Japanese (ja)
Inventor
Masao Nishida
征男 西田
Tomohiro Yamashita
朋弘 山下
Masaaki Shinohara
正昭 篠原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2011234699A priority Critical patent/JP2013093438A/en
Publication of JP2013093438A publication Critical patent/JP2013093438A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a technology capable of improving reliability of a semiconductor device; and especially a technology capable of obtaining stable performance characteristics in a semiconductor device having a field effect transistor with a gate electrode composed of a metal material.SOLUTION: A semiconductor device manufacturing method comprises: forming a gate electrode 13n or a gate electrode 13p by dry etching using a resist pattern 12 as a mask; subsequently, performing an ashing treatment in a plasma atmosphere containing oxygen and hydrogen to remove the resist pattern 12; oxidizing a reaction product 14 adhered to a lateral face of the gate electrode 13n or the gate electrode 13p; and subsequently, performing a cleaning treatment to remove the reaction product 14.

Description

本発明は、半導体装置の製造方法に関する。特に、ゲート電極をメタル材料で構成する電界効果トランジスタを有する半導体装置の製造に適用して有効な技術に関するものである。   The present invention relates to a method for manufacturing a semiconductor device. In particular, the present invention relates to a technique that is effective when applied to the manufacture of a semiconductor device having a field effect transistor having a gate electrode made of a metal material.

例えば特開2010−161350号公報(特許文献1)には、アッシング装置で用いられる基板処理方法が記載されており、ドーパントが混入されたレジストの塗布された基板を加熱した後、少なくとも酸素成分と水素成分とを含み、水素成分の濃度が60%以上70%以下である反応ガスを処理室に供給し、この反応ガスをプラズマ状態として基板を処理する技術が開示されている。   For example, Japanese Patent Application Laid-Open No. 2010-161350 (Patent Document 1) describes a substrate processing method used in an ashing apparatus, and after heating a substrate coated with a resist mixed with a dopant, at least an oxygen component and A technique is disclosed in which a reaction gas containing a hydrogen component and having a hydrogen component concentration of 60% or more and 70% or less is supplied to a processing chamber, and the substrate is processed in a plasma state.

特開2010−161350号公報JP 2010-161350 A

電界効果トランジスタの微細化に伴い、ゲート絶縁膜に、従来の酸化シリコン(SiO)または酸窒化シリコン(SiON)に代わり、High−k材料を採用する技術が検討されている。これは、トンネル効果によって増加するゲートリーク電流を抑え、かつ実効換算膜厚(EOT:Equivalent Oxide Thickness)を薄くしてゲート容量の向上を図ることにより、電界効果トランジスタの駆動能力を上げるためである。 Along with the miniaturization of field effect transistors, a technique of using a high-k material instead of conventional silicon oxide (SiO 2 ) or silicon oxynitride (SiON) for the gate insulating film is being studied. This is because the gate leakage current that increases due to the tunnel effect is suppressed, and the effective equivalent film thickness (EOT: Equivalent Oxide Thickness) is reduced to improve the gate capacitance, thereby increasing the driving capability of the field effect transistor. .

ゲート絶縁膜を比誘電率の高いHigh−k材料で構成し、ゲート電極をメタル材料で構成するHK(High-k)/MG(Metal Gate)トランジスタ(以下、HK/MGトランジスタと記す)の製造方法には、ゲートファースト(Gate First)プロセスとゲートラスト(Gate Last)プロセスとがある。   Manufacturing of HK (High-k) / MG (Metal Gate) transistors (hereinafter referred to as HK / MG transistors) in which the gate insulating film is made of a high-k material having a high relative dielectric constant and the gate electrode is made of a metal material. There are two methods: a gate first process and a gate last process.

ゲートファーストプロセスは、ゲート絶縁膜およびゲート電極を形成した後に、不純物のイオン注入とそれに続く高温の活性化アニールを行ってソース/ドレイン拡散層を形成する方法であり、従来の製造プロセスとの整合性が高い方法である。一方、ゲートラストプロセスは、不純物のイオン注入とそれに続く高温の活性化アニールを行ってソース/ドレイン拡散層を形成した後に、ゲート絶縁膜およびゲート電極を形成する方法である。   The gate first process is a method of forming a source / drain diffusion layer by forming a gate insulating film and a gate electrode, and then performing impurity ion implantation and subsequent high-temperature activation annealing, and is consistent with the conventional manufacturing process. This is a highly reliable method. On the other hand, the gate last process is a method of forming a gate insulating film and a gate electrode after forming a source / drain diffusion layer by performing impurity ion implantation and subsequent high-temperature activation annealing.

ゲートラストプロセスは、ゲート電極を形成する際に、High−k材料およびメタル材料は様々な反応ガスに曝されることがなく、また、高温の活性化アニールも施されないので、これらの性質の変化を回避することができる。しかし、ゲートラストプロセスは、ゲートファーストプロセスと比較して複雑なプロセスとなることから製造歩留りの低下が懸念された。   In the gate last process, when the gate electrode is formed, the high-k material and the metal material are not exposed to various reaction gases, and are not subjected to high-temperature activation annealing. Can be avoided. However, since the gate last process is a more complicated process than the gate first process, there is a concern that the manufacturing yield may be lowered.

そこで、本発明者らは、HK/MGトランジスタの製造方法としてゲートファーストプロセスの適用を検討した。しかし、ゲート電極を形成する際には、メタル材料が様々な反応ガスに曝されることにより、ゲート電極の性質および形状が変化して、HK/MGトランジスタの動作特性が変動することが明らかとなった。そのため、ゲート電極を形成する際に、如何にメタル材料の性質および形状の変化を抑制するかが解決すべき重要な課題となっている。   Therefore, the present inventors examined application of a gate-first process as a method for manufacturing an HK / MG transistor. However, it is clear that when the gate electrode is formed, the metal material is exposed to various reaction gases, so that the property and shape of the gate electrode change and the operating characteristics of the HK / MG transistor fluctuate. became. Therefore, how to suppress changes in the properties and shape of the metal material when forming the gate electrode is an important issue to be solved.

本発明の目的は、半導体装置の信頼性を向上させることである。   An object of the present invention is to improve the reliability of a semiconductor device.

特に、ゲート電極をメタル材料で構成する電界効果トランジスタを有する半導体装置において、安定した動作特性を得ることのできる技術を提供することにある。   In particular, it is an object of the present invention to provide a technique capable of obtaining stable operating characteristics in a semiconductor device having a field effect transistor whose gate electrode is made of a metal material.

本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの一実施の形態を簡単に説明すれば、次のとおりである。   Of the inventions disclosed in this application, an embodiment of a representative one will be briefly described as follows.

この実施の形態は、半導体基板の主面上にゲート絶縁膜を形成する工程と、ゲート絶縁膜上に金属膜を形成する工程と、レジストパターンをマスクとしたドライエッチングにより、金属膜およびゲート絶縁膜を順次加工して、金属膜からなるゲート電極を形成する工程と、酸素および水素を含むプラズマ雰囲気中においてアッシング処理を行い、レジストパターンを除去する工程と、ゲート絶縁膜およびゲート電極が形成された半導体基板に対して洗浄処理を施して、ゲート絶縁膜およびゲート電極の側面に形成された反応生成物を除去する工程と、を有するものである。   In this embodiment, a metal insulating film and a gate insulating film are formed by a step of forming a gate insulating film on a main surface of a semiconductor substrate, a step of forming a metal film on the gate insulating film, and dry etching using a resist pattern as a mask. A step of forming a gate electrode made of a metal film by sequentially processing the film, a step of removing a resist pattern by performing an ashing process in a plasma atmosphere containing oxygen and hydrogen, and a gate insulating film and a gate electrode are formed. And a step of cleaning the semiconductor substrate to remove reaction products formed on the side surfaces of the gate insulating film and the gate electrode.

本願において開示される発明のうち、代表的なものの一実施の形態によって得られる効果を簡単に説明すれば以下のとおりである。   Among the inventions disclosed in the present application, effects obtained by one embodiment of a representative one will be briefly described as follows.

すなわち、半導体装置の信頼性を向上させることができる。   That is, the reliability of the semiconductor device can be improved.

本発明の一実施の形態による半導体装置の製造工程を示すnチャネル型HK/MGトランジスタおよびpチャネル型HK/MGトランジスタの要部断面図である。It is principal part sectional drawing of the n channel type HK / MG transistor and p channel type HK / MG transistor which show the manufacturing process of the semiconductor device by one embodiment of this invention. 図1に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 2 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 1; 図2に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 3 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 2; 図3に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 4 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 3; 図4に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 5 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 4; 図5に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 6 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 5; 図6に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 7 is an essential part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 6; 図7に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 8 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 7; 図8に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 9 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 8; 図9に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 10 is an essential part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 9; 図10に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 11 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 10; 図11に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 12 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 11; 図12に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 13 is a principal part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 12; 図13に続く、半導体装置の製造工程中の図1と同じ個所の要部断面図である。FIG. 14 is an essential part cross-sectional view of the same place as in FIG. 1 in the process of manufacturing the semiconductor device, following FIG. 13; 本発明者らが、本発明に先駆けて検討した半導体装置の製造工程を示すnチャネル型HK/MGトランジスタおよびpチャネル型HK/MGトランジスタの要部断面図である。FIG. 4 is a cross-sectional view of a main part of an n-channel HK / MG transistor and a p-channel HK / MG transistor showing a manufacturing process of a semiconductor device studied by the present inventors prior to the present invention. 図15に続く、半導体装置の製造工程中の図15と同じ個所の要部断面図である。FIG. 16 is a principal part cross-sectional view of the same place as in FIG. 15 in the process of manufacturing the semiconductor device, following FIG. 15;

以下の実施の形態において、便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。   In the following embodiments, when necessary for the sake of convenience, the description will be divided into a plurality of sections or embodiments. However, unless otherwise specified, they are not irrelevant to each other, and one is the other. There are some or all of the modifications, details, supplementary explanations, and the like.

また、以下の実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でも良い。さらに、以下の実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。同様に、以下の実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。   Further, in the following embodiments, when referring to the number of elements (including the number, numerical value, quantity, range, etc.), especially when clearly indicated and when clearly limited to a specific number in principle, etc. Except, it is not limited to the specific number, and may be more or less than the specific number. Further, in the following embodiments, the constituent elements (including element steps and the like) are not necessarily indispensable unless otherwise specified and apparently essential in principle. Needless to say. Similarly, in the following embodiments, when referring to the shapes, positional relationships, etc. of the components, etc., the shapes are substantially the same unless otherwise specified, or otherwise apparent in principle. And the like are included. The same applies to the above numerical values and ranges.

また、以下の実施の形態で用いる図面においては、平面図であっても図面を見易くするためにハッチングを付す場合もある。また、以下の実施の形態においては、電界効果トランジスタを代表するMISFET(Metal Insulator Semiconductor Field Effect Transistor)をMISと略し、pチャネル型のMISFETをpMISと略し、nチャネル型のMISFETをnMISと略す。また、以下の実施の形態において、ウエハと言うときは、シリコン(Si)単結晶ウエハを主とするが、それのみではなく、SOI(Silicon On Insulator)ウエハ、集積回路をその上に形成するための絶縁膜基板等を指すものとする。その形も円形またはほぼ円形のみでなく、正方形、長方形等も含むものとする。   Further, in the drawings used in the following embodiments, hatching may be added to make the drawings easy to see even if they are plan views. In the following embodiments, a MISFET (Metal Insulator Semiconductor Field Effect Transistor) representing a field effect transistor is abbreviated as MIS, a p-channel type MISFET is abbreviated as pMIS, and an n-channel type MISFET is abbreviated as nMIS. In the following embodiments, the term “wafer” is mainly a silicon (Si) single crystal wafer. However, not only that, but also an SOI (Silicon On Insulator) wafer and an integrated circuit are formed thereon. Insulating film substrate or the like. The shape includes not only a circle or a substantially circle but also a square, a rectangle and the like.

また、以下の実施の形態において、ゲートまたはゲート構造と記す場合は、ゲート絶縁膜とゲート電極との積層膜を言い、ゲート電極とは区別する。   In the following embodiments, a gate or a gate structure refers to a stacked film of a gate insulating film and a gate electrode, and is distinguished from a gate electrode.

また、以下の実施の形態を説明するための全図において、同一機能を有するものは原則として同一の符号を付し、その繰り返しの説明は省略する。以下、本発明の実施の形態を図面に基づいて詳細に説明する。   In all the drawings for explaining the following embodiments, components having the same function are denoted by the same reference numerals in principle, and repeated description thereof is omitted. Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.

ここで説明するnチャネル型HK/MGトランジスタのゲート構造は、界面層(例えば酸化シリコン膜)とランタン(La)を含む高誘電体膜(例えばランタンを含む酸窒化ハフニウム(HfLaON)膜))との積層膜からなるゲート絶縁膜と、その上に形成された金属膜(例えば窒化チタン(TiN)膜)と多結晶シリコン膜との積層膜からなるゲート電極とから構成されている。   The gate structure of the n-channel HK / MG transistor described here includes an interface layer (eg, a silicon oxide film) and a high dielectric film containing lanthanum (La) (eg, a hafnium oxynitride (HfLaON) film containing lanthanum)). And a gate electrode made of a laminated film of a metal film (for example, titanium nitride (TiN) film) formed on the gate insulating film and a polycrystalline silicon film.

また、pチャネル型HK/MGトランジスタのゲート構造は、界面層(例えば酸化シリコン膜)とアルミニウム(Al)を含む高誘電体膜(例えばアルミニウムを含む酸窒化ハフニウム(HfAlON)膜))との積層膜からなるゲート絶縁膜と、その上に形成された金属膜(例えば窒化チタン(TiN)膜)と多結晶シリコン膜との積層膜からなるゲート電極とから構成されている。   The gate structure of the p-channel HK / MG transistor is a stack of an interface layer (eg, a silicon oxide film) and a high dielectric film containing aluminum (Al) (eg, a hafnium oxynitride (HfAlON) film containing aluminum)). A gate insulating film made of a film, and a gate electrode made of a laminated film of a metal film (for example, titanium nitride (TiN) film) and a polycrystalline silicon film formed thereon.

従って、nチャネル型HK/MGトランジスタのゲート構造(ゲート絶縁膜およびゲート電極)をNch用ゲートスタック構造、pチャネル型HK/MGトランジスタのゲート構造(ゲート絶縁膜およびゲート電極)をPch用ゲートスタック構造と記して、両者の構造を区別する。また、Nch用ゲートスタック構造またはPch用ゲートスタック構造と言うときは、ゲート絶縁膜の下層を構成する界面層が有る構造および無い構造の両者を言う。   Therefore, the gate structure (gate insulating film and gate electrode) of the n-channel type HK / MG transistor is the gate stack structure for Nch, and the gate structure (gate insulating film and gate electrode) of the p-channel type HK / MG transistor is the gate stack for Pch. The structure is marked to distinguish between the two structures. In addition, the Nch gate stack structure or the Pch gate stack structure refers to both a structure with and without an interface layer constituting the lower layer of the gate insulating film.

まず、本実施の形態によるHK/MGトランジスタの製造方法がより明確となると思われるため、本発明者らが見出したHK/MGトランジスタにおける動作特性の変動の原因について、図15および図16を用いて以下に説明する。   First, since it seems that the manufacturing method of the HK / MG transistor according to the present embodiment will become clearer, the cause of the variation in the operating characteristics of the HK / MG transistor found by the present inventors will be described with reference to FIGS. Will be described below.

図15に示すように、単結晶Siからなる半導体基板51の主面上にHK/MGトランジスタのゲートを形成する。ゲートは、界面層(Inter Layer)52、所定の誘電率を有する高誘電体膜(酸化シリコンまたは酸窒化シリコンよりも誘電率が高い誘電体膜)53、所定の仕事関数を有する金属膜54、および多結晶シリコン膜55を積層させたスタック構造を有している。   As shown in FIG. 15, the gate of the HK / MG transistor is formed on the main surface of the semiconductor substrate 51 made of single crystal Si. The gate includes an interface layer 52, a high dielectric film having a predetermined dielectric constant (a dielectric film having a higher dielectric constant than silicon oxide or silicon oxynitride) 53, a metal film 54 having a predetermined work function, And a stack structure in which the polycrystalline silicon films 55 are stacked.

ここで、界面層52としては、例えば酸化シリコンまたは酸窒化シリコン等の絶縁膜が適用される。   Here, as the interface layer 52, for example, an insulating film such as silicon oxide or silicon oxynitride is applied.

また、nチャネル型HK/MGトランジスタの場合、高誘電体膜53としては、ランタンを含むハフニウム系の誘電体膜(例えば酸窒化ハフニウムシリコン(HfSiON)、酸化ハフニウムシリコン(HfSiO)、酸窒化ハフニウム(HfON)、または酸化ハフニウム(HfO2)等)が適用される。ランタンを含めることにより、nチャネル型HK/MGトランジスタの閾値電圧(ハフニウム系の誘電体膜の閾値電圧)を調整する。また、pチャネル型HK/MGトランジスタの場合、高誘電体膜53としては、アルミニウムを含むハフニウム系の誘電体膜(例えば酸窒化ハフニウムシリコン(HfSiON)、酸化ハフニウムシリコン(HfSiO)、酸窒化ハフニウム(HfON)、または酸化ハフニウム(HfO2)等)が適用される。アルミニウムを含めることにより、pチャネル型HK/MGトランジスタの閾値電圧(ハフニウム系の誘電体膜の閾値電圧)を調整する。 In the case of an n-channel HK / MG transistor, the high dielectric film 53 includes a hafnium-based dielectric film containing lanthanum (for example, hafnium silicon oxynitride (HfSiON), hafnium silicon oxynitride (HfSiO), hafnium oxynitride ( HfON) or hafnium oxide (HfO 2 ) or the like is applied. By including lanthanum, the threshold voltage of the n-channel HK / MG transistor (threshold voltage of the hafnium-based dielectric film) is adjusted. In the case of a p-channel HK / MG transistor, as the high dielectric film 53, a hafnium-based dielectric film containing aluminum (for example, hafnium silicon oxynitride (HfSiON), hafnium silicon oxynitride (HfSiO), hafnium oxynitride ( HfON) or hafnium oxide (HfO 2 ) or the like is applied. By including aluminum, the threshold voltage of the p-channel HK / MG transistor (the threshold voltage of the hafnium-based dielectric film) is adjusted.

また、金属膜54としては、例えばチタン(Ti)、タンタル(Ta)、ニッケル(Ni)、ジルコニウム(Zr)、ルテニウム(Ru)、コバルト(Co)、またはタングステン(W)等の遷移金属、あるいは窒化チタン(TiN)等の窒化金属が適用される。   As the metal film 54, for example, transition metal such as titanium (Ti), tantalum (Ta), nickel (Ni), zirconium (Zr), ruthenium (Ru), cobalt (Co), or tungsten (W), or A metal nitride such as titanium nitride (TiN) is applied.

スタック構造のゲートを形成した直後には、スタック構造のゲートの上面に、ゲートをパターニングする際にマスクとして用いたレジストパターン56が残り、また、スタック構造のゲートの側面に、反応生成物(ポリマー)57が付着している。   Immediately after forming the gate of the stack structure, a resist pattern 56 used as a mask for patterning the gate remains on the upper surface of the gate of the stack structure, and a reaction product (polymer) is formed on the side surface of the gate of the stack structure. ) 57 is attached.

次に、酸素プラズマ雰囲気中においてアッシング処理を施して、レジストパターン56を除去し、反応生成物57を酸化させる。続いて、酸化した反応生成物57をフッ化水素(HF)の水溶液などを用いた洗浄処理によって除去する。反応生成物57は、アッシング処理のみ、または洗浄処理のみでは完全に除去することが難しいため、一旦アッシング処理において酸化した後、洗浄処理によって除去する。   Next, an ashing process is performed in an oxygen plasma atmosphere to remove the resist pattern 56 and oxidize the reaction product 57. Subsequently, the oxidized reaction product 57 is removed by a cleaning process using an aqueous solution of hydrogen fluoride (HF) or the like. Since the reaction product 57 is difficult to be completely removed only by the ashing process or the washing process alone, the reaction product 57 is once oxidized by the ashing process and then removed by the washing process.

しかし、酸素プラズマ雰囲気中においてアッシング処理を施すと、反応生成物57は酸化されるが、さらにその内側の金属膜54も酸化されてしまう。金属膜54が酸化されると、金属膜54の仕事関数が変動する。例えばnチャネル型HK/MGトランジスタの場合は、金属膜54が酸化されると閾値電圧が高くなる。   However, when the ashing process is performed in an oxygen plasma atmosphere, the reaction product 57 is oxidized, but the inner metal film 54 is also oxidized. When the metal film 54 is oxidized, the work function of the metal film 54 varies. For example, in the case of an n-channel HK / MG transistor, the threshold voltage increases when the metal film 54 is oxidized.

また、図16に示すように、金属膜54の側面には金属酸化物58が形成される。この金属酸化物58は、その後の洗浄処理によって除去されるため、金属膜54のゲート長方向の幅が短くなる。その結果、HK/MGトランジスタのゲート長が設計寸法よりも短くなり、所望する閾値電圧が得られないという問題が生じる。さらに、上面視においてソース/ドレイン領域と金属膜54との重なる領域が小さくなることにより寄生抵抗が増加して、オン電流が減少するという問題も生じる。HK/MGトランジスタのゲート長が短くなるに従い、上記問題はますます深刻となっている。   Further, as shown in FIG. 16, a metal oxide 58 is formed on the side surface of the metal film 54. Since the metal oxide 58 is removed by a subsequent cleaning process, the width of the metal film 54 in the gate length direction is shortened. As a result, the gate length of the HK / MG transistor becomes shorter than the design dimension, causing a problem that a desired threshold voltage cannot be obtained. Further, when the region where the source / drain region overlaps with the metal film 54 becomes smaller in a top view, the parasitic resistance increases and the on-current decreases. As the gate length of the HK / MG transistor becomes shorter, the above problem becomes more serious.

(実施の形態)
本実施の形態によるnチャネル型HK/MGトランジスタ(以後、nMISと記す)およびpチャネル型HK/MGトランジスタ(以後、pMISと記す)から構成されるCMIS(Complementary Metal Insulator Semiconductor)デバイスの製造方法について図1〜図14を用いて工程順に説明する。図1〜図14はnMISおよびpMISのチャネル長方向に沿った要部断面図である。
(Embodiment)
About a manufacturing method of a CMIS (Complementary Metal Insulator Semiconductor) device composed of an n-channel HK / MG transistor (hereinafter referred to as nMIS) and a p-channel HK / MG transistor (hereinafter referred to as pMIS) according to the present embodiment It demonstrates in order of a process using FIGS. 1 to 14 are main-portion cross-sectional views along the channel length direction of nMIS and pMIS.

まず、図1に示すように、例えば単結晶シリコンに、例えばp型不純物(例えばボロン(B)等)を導入した半導体基板(この段階では半導体ウエハと称する平面略円形状の半導体の薄板)1を用意する。続いて、半導体基板1の所定の領域に素子分離部2を形成し、この素子分離部2によってnMISが形成される活性領域およびpMISが形成される活性領域をそれぞれ分離する。   First, as shown in FIG. 1, for example, a semiconductor substrate (in this stage, a semiconductor plate having a substantially circular shape called a semiconductor wafer) in which, for example, p-type impurities (for example, boron (B)) are introduced into single crystal silicon, 1 Prepare. Subsequently, the element isolation portion 2 is formed in a predetermined region of the semiconductor substrate 1, and the active region where the nMIS is formed and the active region where the pMIS is formed are separated from each other by the element isolation portion 2.

次に、nMIS領域の半導体基板1に、イオン注入法を用いてp型不純物(例えばボロン等)を選択的に導入することによりp型ウェル3を形成する。例えばボロンをイオン注入する場合の条件としては、注入エネルギー150keV、ドーズ量2×1013cm−2を例示することができる。さらに、イオン注入法を用いてp型不純物(例えばボロン等)をチャネル領域に選択的に導入する。例えばボロンをイオン注入する場合の条件としては、注入エネルギー5keV、ドーズ量2×1012cm−2を例示することができる。 Next, a p-type well 3 is formed by selectively introducing a p-type impurity (for example, boron) into the semiconductor substrate 1 in the nMIS region using an ion implantation method. For example, conditions for ion implantation of boron include an implantation energy of 150 keV and a dose amount of 2 × 10 13 cm −2 . Further, a p-type impurity (such as boron) is selectively introduced into the channel region by an ion implantation method. For example, conditions for ion implantation of boron include an implantation energy of 5 keV and a dose amount of 2 × 10 12 cm −2 .

同様に、pMIS領域の半導体基板1に、イオン注入法を用いてn型不純物(例えばヒ素(As)またはリン(P)等)を選択的に導入することにより、n型ウェル4を形成する。例えばリンをイオン注入する場合の条件としては、注入エネルギー250keV、ドーズ量2×1013cm−2を例示することができる。さらに、イオン注入法を用いてn型不純物(例えばヒ素またはリン等)をチャネル領域に選択的に導入する。例えばヒ素をイオン注入する場合の条件としては、注入エネルギー50keV、ドーズ量5×1012cm−2を例示することができる。 Similarly, an n-type well 4 is formed by selectively introducing an n-type impurity (for example, arsenic (As) or phosphorus (P)) into the semiconductor substrate 1 in the pMIS region using an ion implantation method. For example, as conditions for ion implantation of phosphorus, an implantation energy of 250 keV and a dose of 2 × 10 13 cm −2 can be exemplified. Further, an n-type impurity (such as arsenic or phosphorus) is selectively introduced into the channel region by an ion implantation method. For example, as conditions for ion implantation of arsenic, an implantation energy of 50 keV and a dose amount of 5 × 10 12 cm −2 can be exemplified.

次に、図2に示すように、半導体基板1の主面上に界面層5を形成する。界面層5は、例えば酸化シリコンまたは酸窒化シリコン等の絶縁膜が適用され、その厚さは、例えば1nm程度である。続いて、半導体基板1の主面上に、所定の誘電率を有するHigh−k膜(酸化シリコンまたは酸窒化シリコンよりも誘電率が高い誘電体膜)6を形成する。High−k膜6としては、例えば酸窒化ハフニウムシリコン(HfSiON)、酸化ハフニウムシリコン(HfSiO)、酸窒化ハフニウム(HfON)、または酸化ハフニウム(HfO2)等のハフニウム系の誘電体膜が適用される。また、High−k膜6は、例えばCVD(Chemical Vapor Deposition)法またはALD(Atomic Layer Deposition)等を用いて形成され、その実効換算膜厚は、例えば1.5nm程度である。 Next, as shown in FIG. 2, an interface layer 5 is formed on the main surface of the semiconductor substrate 1. For example, an insulating film such as silicon oxide or silicon oxynitride is applied to the interface layer 5, and the thickness thereof is, for example, about 1 nm. Subsequently, a high-k film (a dielectric film having a higher dielectric constant than silicon oxide or silicon oxynitride) 6 having a predetermined dielectric constant is formed on the main surface of the semiconductor substrate 1. As the high-k film 6, for example, a hafnium-based dielectric film such as hafnium silicon oxynitride (HfSiON), hafnium silicon oxide (HfSiO), hafnium oxynitride (HfON), or hafnium oxide (HfO 2 ) is applied. . The High-k film 6 is formed by using, for example, a CVD (Chemical Vapor Deposition) method or ALD (Atomic Layer Deposition), and the effective equivalent film thickness is, for example, about 1.5 nm.

続いて、窒化処理を施した後、High−k膜6上に、pMISのしきい値電圧を調整するためのキャッピング層7pを堆積する。キャッピング層7pは、金属膜または金属酸化膜で形成されている。このような金属膜または金属酸化膜としては、例えば酸化アルミニウム(Al)またはアルミニウム等が適用される。また、キャッピング層7pは、例えばスパッタリング法を用いて形成され、その厚さは、例えば0.5〜1nm程度である。続いて、キャッピング層7p上に金属膜8を堆積する。金属膜8としては、例えばチタン、タンタル、ニッケル、ジルコニウム、ルテニウム、コバルト、またはタングステン等の遷移金属、あるいは窒化チタン等の窒化金属が適用される。金属膜8は、例えばスパッタリング法を用いて形成され、その厚さは、例えば10nm程度である。 Subsequently, after performing nitriding treatment, a capping layer 7 p for adjusting the threshold voltage of pMIS is deposited on the High-k film 6. The capping layer 7p is formed of a metal film or a metal oxide film. As such a metal film or metal oxide film, for example, aluminum oxide (Al 2 O 3 ) or aluminum is applied. The capping layer 7p is formed using, for example, a sputtering method, and the thickness thereof is, for example, about 0.5 to 1 nm. Subsequently, a metal film 8 is deposited on the capping layer 7p. As the metal film 8, for example, a transition metal such as titanium, tantalum, nickel, zirconium, ruthenium, cobalt, or tungsten, or a metal nitride such as titanium nitride is applied. The metal film 8 is formed using, for example, a sputtering method, and the thickness thereof is, for example, about 10 nm.

次に、図3に示すように、フォトリソグラフィ法を用いてpMIS形成領域を覆うレジストパターン(図示は省略)を形成する。続いて、このレジストパターンをマスクとして、レジストパターンから露出している金属膜8およびキャッピング層7pを除去した後、レジストパターンを除去する。   Next, as shown in FIG. 3, a resist pattern (not shown) that covers the pMIS formation region is formed by photolithography. Subsequently, using the resist pattern as a mask, the metal film 8 and the capping layer 7p exposed from the resist pattern are removed, and then the resist pattern is removed.

次に、図4に示すように、半導体基板1の主面上に、nMISの閾値電圧を調整するためのキャッピング層7nを堆積する。キャッピング層7nは、上記のキャッピング層7pとは異なる材料の金属膜または金属酸化膜で形成されている。このような金属膜または金属酸化膜としては、例えば酸化ランタン(LaO)またはランタン等が適用される。また、キャッピング層7nは、例えばスパッタリング法を用いて形成され、その厚さは、例えば0.5〜1nm程度である。   Next, as shown in FIG. 4, a capping layer 7 n for adjusting the threshold voltage of nMIS is deposited on the main surface of the semiconductor substrate 1. The capping layer 7n is formed of a metal film or a metal oxide film made of a material different from that of the capping layer 7p. As such a metal film or metal oxide film, for example, lanthanum oxide (LaO) or lanthanum is applied. The capping layer 7n is formed using, for example, a sputtering method, and the thickness thereof is, for example, about 0.5 to 1 nm.

続いて、熱処理を行う。この熱処理は、例えば1000℃で10秒間実施される。この熱処理により、キャッピング層7pからアルミニウムがHigh−k膜6へ熱拡散して、pMIS形成領域のHigh−k膜6は高誘電体膜(例えばアルミニウムを含む酸窒化ハフニウム(HfAlON)膜等)6pとなる。さらに、この熱処理により、キャッピング層7nからランタンがHigh−k膜6へ熱拡散して、nMIS形成領域のHigh−k膜6は高誘電体膜(例えばランタンを含む酸窒化ハフニウム(HfLaON)膜等)6nとなる。   Subsequently, heat treatment is performed. This heat treatment is performed at 1000 ° C. for 10 seconds, for example. By this heat treatment, aluminum is thermally diffused from the capping layer 7p to the high-k film 6, and the high-k film 6 in the pMIS formation region is a high dielectric film (for example, a hafnium oxynitride (HfAlON) film containing aluminum) 6p. It becomes. Further, by this heat treatment, lanthanum is thermally diffused from the capping layer 7n to the high-k film 6, and the high-k film 6 in the nMIS formation region is a high dielectric film (for example, a hafnium oxynitride (HfLaON) film containing lanthanum). ) 6n.

次に、図5に示すように、金属膜8、キャッピング層7n,7pを除去する。なお、キャッピング層7n,7pはすべて除去してもよく、またはすべて除去せずに部分的に残してもよい。図5では、キャッピング層7n,7pを部分的に残した態様を示している。   Next, as shown in FIG. 5, the metal film 8 and the capping layers 7n and 7p are removed. Note that all of the capping layers 7n and 7p may be removed or may be partially left without being removed. FIG. 5 shows a mode in which the capping layers 7n and 7p are partially left.

これにより、nMIS形成領域には、界面層5および高誘電体膜6nからなるゲート絶縁膜9nが形成され、pMIS形成領域には、界面層5および高誘電体膜6pからなるゲート絶縁膜9pが形成される。   As a result, the gate insulating film 9n composed of the interface layer 5 and the high dielectric film 6n is formed in the nMIS formation region, and the gate insulating film 9p composed of the interface layer 5 and the high dielectric film 6p is formed in the pMIS formation region. It is formed.

次に、図6示すように、半導体基板1の主面上に金属膜10を堆積する。金属膜10としては、例えばチタン、タンタル、ニッケル、ジルコニウム、ルテニウム、コバルト、またはタングステン等の遷移金属、あるいは窒化チタン等の窒化金属が適用される。金属膜10は、例えばスパッタリング法を用いて形成され、その厚さは、例えば10nm程度である。続いて、金属膜10上に多結晶シリコン膜11を堆積する。多結晶シリコン膜11は、例えばCVD法を用いて形成され、その厚さは、例えば100nm程度である。続いて、熱処理を行う。この熱処理は、例えば1000℃で10秒間実施される。   Next, as shown in FIG. 6, a metal film 10 is deposited on the main surface of the semiconductor substrate 1. As the metal film 10, for example, a transition metal such as titanium, tantalum, nickel, zirconium, ruthenium, cobalt, or tungsten, or a metal nitride such as titanium nitride is applied. The metal film 10 is formed by using, for example, a sputtering method, and the thickness thereof is, for example, about 10 nm. Subsequently, a polycrystalline silicon film 11 is deposited on the metal film 10. The polycrystalline silicon film 11 is formed by using, for example, a CVD method, and the thickness thereof is, for example, about 100 nm. Subsequently, heat treatment is performed. This heat treatment is performed at 1000 ° C. for 10 seconds, for example.

次に、図7に示すように、フォトリソグラフィ法を用いてnMIS形成領域およびpMIS形成領域にそれぞれゲートを形成するためのレジストパターン12を形成する。続いて、このレジストパターン12をマスクとして、レジストパターン12から露出している多結晶シリコン膜11、金属膜10、キャッピング層7n,7p、高誘電体膜6n,6p、および界面層5を加工する。金属膜10が露出するまで多結晶シリコン膜11の加工に用いるエッチングガスは、例えば六フッ化硫黄(SF)、四フッ化メタン(CF)、トリフルオロメタン(CHF)、および窒素(N)の混合ガス、金属膜10が露出した後の多結晶シリコン膜11の加工に用いるエッチングガスは、例えば臭化水素(HBr)、酸素(O)、およびヘリウム(He)の混合ガスである。また、金属膜10の加工に用いるエッチングガスは、例えば臭化水素(HBr)、塩素(Cl)、窒素(N)、およびアルゴン(Ar)の混合ガスである。また、高誘電体膜6n,6pの加工に用いるエッチングガスは、例えば三塩化ホウ素(BCl)および塩素(Cl)の混合ガスである。 Next, as shown in FIG. 7, a resist pattern 12 for forming gates in the nMIS formation region and the pMIS formation region is formed by photolithography. Subsequently, using the resist pattern 12 as a mask, the polycrystalline silicon film 11, the metal film 10, the capping layers 7n and 7p, the high dielectric films 6n and 6p, and the interface layer 5 exposed from the resist pattern 12 are processed. . Etching gases used for processing the polycrystalline silicon film 11 until the metal film 10 is exposed are, for example, sulfur hexafluoride (SF 6 ), tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), and nitrogen (N 2 ) and an etching gas used for processing the polycrystalline silicon film 11 after the metal film 10 is exposed is, for example, a mixed gas of hydrogen bromide (HBr), oxygen (O 2 ), and helium (He). is there. The etching gas used for processing the metal film 10 is, for example, a mixed gas of hydrogen bromide (HBr), chlorine (Cl 2 ), nitrogen (N 2 ), and argon (Ar). An etching gas used for processing the high dielectric films 6n and 6p is, for example, a mixed gas of boron trichloride (BCl 3 ) and chlorine (Cl 2 ).

これにより、nMIS形成領域に、界面層5と高誘電体膜6nとの積層膜からなるゲート絶縁膜9n、キャッピング層7n、および金属膜10と多結晶シリコン膜11との積層膜からなるゲート電極13nにより構成されるNch用スタックゲート構造のゲートが形成される。   As a result, in the nMIS formation region, the gate insulating film 9n made of a laminated film of the interface layer 5 and the high dielectric film 6n, the capping layer 7n, and the gate electrode made of the laminated film of the metal film 10 and the polycrystalline silicon film 11 are formed. The gate of the Nch stack gate structure composed of 13n is formed.

また、pMIS形成領域に、界面層5と高誘電体膜6pとの積層膜からなるゲート絶縁膜9p、キャッピング層7p、および金属膜10と多結晶シリコン膜11との積層膜からなるゲート電極13pにより構成されるPch用スタックゲート構造のゲートが形成される。   Further, in the pMIS formation region, a gate insulating film 9p made of a laminated film of the interface layer 5 and the high dielectric film 6p, a capping layer 7p, and a gate electrode 13p made of a laminated film of the metal film 10 and the polycrystalline silicon film 11 are formed. The gate of the Pch stack gate structure constituted by is formed.

nMISのNch用スタックゲート構造のゲート(以後、nMISのゲートと記す)の側面およびpMISのPch用スタックゲート構造のゲート(以後、pMISのゲートと記す)の側面には、反応生成物(ポリマー)14が付着している。反応生成物14は、例えばシリコン、チタン、ハフニウム、ランタン、アルミニウム、臭素、または塩素等からなる化合物である。ハフニウムおよびアルミニウムは高誘電体膜6n,6pおよびキャッピング層7n,7pに含まれていたものであり、臭素および塩素はエッチングガスに含まれていたものである。   The side surface of the gate of the nMIS Nch stack gate structure (hereinafter referred to as nMIS gate) and the side of the gate of the pMIS Pch stack gate structure (hereinafter referred to as pMIS gate) have a reaction product (polymer). 14 is attached. The reaction product 14 is a compound made of, for example, silicon, titanium, hafnium, lanthanum, aluminum, bromine, or chlorine. Hafnium and aluminum are contained in the high dielectric films 6n and 6p and the capping layers 7n and 7p, and bromine and chlorine are contained in the etching gas.

次に、図8に示すように、酸素および水素を含むプラズマ雰囲気中においてアッシング処理を施すことにより、nMISのゲートおよびpMISのゲートをパターニングする際にマスクとして用いたレジストパターン12を除去する。   Next, as shown in FIG. 8, by performing an ashing process in a plasma atmosphere containing oxygen and hydrogen, the resist pattern 12 used as a mask when patterning the nMIS gate and the pMIS gate is removed.

ところで、nMISのゲートの側面およびpMISのゲートの側面には、反応生成物14が付着している。この反応生成物14はフッ化水素の水溶液などを用いた洗浄処理によって除去することができるが、アッシング処理により反応生成物14を酸化させておく必要がある。   By the way, the reaction product 14 is attached to the side surface of the nMIS gate and the side surface of the pMIS gate. The reaction product 14 can be removed by a cleaning process using an aqueous solution of hydrogen fluoride or the like, but the reaction product 14 needs to be oxidized by an ashing process.

しかし、酸素プラズマ雰囲気(酸素のみ、または窒素などにより希釈された希釈酸素)中においてアッシング処理を施すと、反応生成物14は酸化されるが、さらにその内側の金属膜10も酸化されてしまう。これにより、nMISのゲートおよびpMISのゲートの一部を構成する金属膜10の仕事関数が変動して、nMISおよびpMISの所望する閾値電圧が得られないという問題が生じる。   However, when the ashing process is performed in an oxygen plasma atmosphere (oxygen alone or diluted oxygen diluted with nitrogen or the like), the reaction product 14 is oxidized, but the inner metal film 10 is also oxidized. This causes a problem that the work functions of the metal film 10 constituting the nMIS gate and a part of the pMIS gate fluctuate, and the desired threshold voltages of the nMIS and pMIS cannot be obtained.

さらに、金属膜10の側面に金属酸化物が形成されると、後の工程でこの金属酸化物が除去されて、金属膜10のゲート長方向の幅が短くなる。これにより、nMISのゲート長およびpMISのゲート長が設計寸法よりも短くなり、nMISおよびpMISの所望する閾値電圧が得られない、また、上面視においてソース/ドレイン領域と金属膜10との重なる領域が小さくなることにより寄生抵抗が増加して、オン電流が減少するという問題も生じる。   Furthermore, when a metal oxide is formed on the side surface of the metal film 10, the metal oxide is removed in a later step, and the width of the metal film 10 in the gate length direction is shortened. Thereby, the gate length of the nMIS and the gate length of the pMIS are shorter than the design dimension, and the desired threshold voltage of the nMIS and the pMIS cannot be obtained, and the region where the source / drain region and the metal film 10 overlap when viewed from above. As the resistance becomes smaller, the parasitic resistance increases and the on-current decreases.

一方、水素プラズマ雰囲気(水素のみ、または窒素などにより希釈された希釈水素)中においてアッシング処理を施すと、レジストパターン12は除去されるが、反応生成物14が酸化されない。そのため、フッ化水素の水溶液などを用いた洗浄処理によって反応生成物14を除去することができない。   On the other hand, when an ashing process is performed in a hydrogen plasma atmosphere (hydrogen alone or diluted hydrogen diluted with nitrogen or the like), the resist pattern 12 is removed, but the reaction product 14 is not oxidized. Therefore, the reaction product 14 cannot be removed by a cleaning process using an aqueous solution of hydrogen fluoride or the like.

そこで、本実施の形態では、アッシング処理を酸素および水素を含むプラズマ雰囲気中において行う。水素は還元性があるので、プラズマ雰囲気中における酸素濃度と水素濃度との比を制御することにより、反応生成物14は酸化するが、その内側の金属膜10は酸化しない状態を実現することができる。プラズマ雰囲気中における酸素濃度と水素濃度との関係としては、例えば酸素濃度が水素濃度の0.7〜4.0倍の範囲が適切であると考えられるが、さらに1.0〜2.5倍の範囲が最も好適と考えられる(他の条件によってはこの範囲に限定されないことはもとよりである)。酸素濃度が水素濃度の0.7倍よりも低いと反応生成物14が酸化されず、酸素濃度が水素濃度の4.0倍よりも高いと反応生成物14の内側の金属膜10が酸化される。   Therefore, in this embodiment mode, the ashing process is performed in a plasma atmosphere containing oxygen and hydrogen. Since hydrogen is reducible, by controlling the ratio between the oxygen concentration and the hydrogen concentration in the plasma atmosphere, the reaction product 14 is oxidized, but the inner metal film 10 is not oxidized. it can. As the relationship between the oxygen concentration and the hydrogen concentration in the plasma atmosphere, for example, it is considered that the oxygen concentration is in the range of 0.7 to 4.0 times the hydrogen concentration. The range is considered to be most suitable (not to be limited to this range depending on other conditions). When the oxygen concentration is lower than 0.7 times the hydrogen concentration, the reaction product 14 is not oxidized, and when the oxygen concentration is higher than 4.0 times the hydrogen concentration, the metal film 10 inside the reaction product 14 is oxidized. The

また、酸素および水素を含むプラズマ雰囲気中に不活性ガス、例えば窒素またはアルゴンを添加してもよい。例えば窒素を添加することにより、酸素の乖離が促進されてプラズマが発生しやすくなる。具体的には、窒素、水素、および酸素の混合比をN:H:O=24:1:1とする。この場合、酸素濃度は水素濃度の1.0倍である。アッシング処理の他の条件としては、パワー1000〜3000W、圧力500〜3000mT、温度100〜250℃を例示することができる。 Further, an inert gas such as nitrogen or argon may be added to the plasma atmosphere containing oxygen and hydrogen. For example, by adding nitrogen, oxygen separation is promoted and plasma is easily generated. Specifically, the mixing ratio of nitrogen, hydrogen, and oxygen is N 2 : H 2 : O 2 = 24: 1: 1. In this case, the oxygen concentration is 1.0 times the hydrogen concentration. As other conditions for the ashing treatment, a power of 1000 to 3000 W, a pressure of 500 to 3000 mT, and a temperature of 100 to 250 ° C. can be exemplified.

次に、フッ化水素の水溶液などを用いた洗浄処理によって反応生成物14を除去する。反応生成物14は酸化されているので、この洗浄処理によって容易に除去することができる。   Next, the reaction product 14 is removed by a cleaning process using an aqueous solution of hydrogen fluoride or the like. Since the reaction product 14 is oxidized, it can be easily removed by this washing treatment.

このように、レジストパターン12を除去するアッシング処理において、酸素および水素を含むプラズマ雰囲気を用いることにより、nMISのゲートの側面およびpMISのゲートの側面に付着した反応生成物14を酸化させることができるので、その後の工程において、反応生成物14はフッ化水素の水溶液などを用いた洗浄処理によって除去することができる。   Thus, in the ashing process for removing the resist pattern 12, the reaction product 14 attached to the side surface of the nMIS gate and the side surface of the pMIS gate can be oxidized by using a plasma atmosphere containing oxygen and hydrogen. Therefore, in the subsequent steps, the reaction product 14 can be removed by a cleaning process using an aqueous solution of hydrogen fluoride or the like.

一方、nMISのゲートおよびpMISのゲートの一部を構成する金属膜10の酸化を抑制することができる。これにより、nMISのゲートおよびpMISのゲートの一部を構成する金属膜10の仕事関数の変化を抑えることができるので、nMISおよびpMISの所望する閾値電圧を得ることができる。さらに、金属膜10の側面に金属酸化物が形成されないので、金属膜10のゲート長方向の幅が短くなる現象を防ぐことができる。これにより、nMISおよびpMISの所望する閾値電圧を得ることができる。また、上面視においてnMISおよびpMISのソース/ドレイン領域と金属膜10との重なる領域を確保することができるので、寄生抵抗の増加を防いで、nMISおよびpMISの所望するオン電流を得ることができる。   On the other hand, the oxidation of the metal film 10 constituting part of the nMIS gate and the pMIS gate can be suppressed. As a result, changes in the work function of the metal film 10 constituting the nMIS gate and a part of the pMIS gate can be suppressed, so that desired threshold voltages of nMIS and pMIS can be obtained. Furthermore, since a metal oxide is not formed on the side surface of the metal film 10, a phenomenon that the width of the metal film 10 in the gate length direction is shortened can be prevented. Thereby, desired threshold voltages of nMIS and pMIS can be obtained. In addition, since a region where the source / drain regions of nMIS and pMIS overlap with the metal film 10 can be secured in a top view, an increase in parasitic resistance can be prevented and desired ON currents of nMIS and pMIS can be obtained. .

次に、図9に示すように、nMISのゲートおよびpMISのゲートの一部を構成する金属膜10は、露出していると酸化その他の変性を受けやすいので、洗浄処理後、速やかにnMISのゲートの側面およびpMISのゲートの側面に、絶縁膜からなるオフセットサイドウォール15を形成する。オフセットサイドウォール15は、半導体基板1の主面上に、例えばCVD法を用いて、例えば5nm程度の厚さの絶縁膜を形成した後、ドライエッチング法を用いて、絶縁膜を異方性エッチングすることにより形成される。上記絶縁膜には、例えば窒化シリコン(Si)膜を用いる。窒化シリコン膜は酸化シリコン膜よりも酸素を通し難いため、酸化防止の観点から酸化シリコン膜よりも窒化シリコン膜の方が好ましい。 Next, as shown in FIG. 9, since the metal film 10 constituting the nMIS gate and a part of the pMIS gate is exposed to oxidation and other modifications, the nMIS An offset sidewall 15 made of an insulating film is formed on the side surface of the gate and the side surface of the gate of pMIS. The offset sidewall 15 is formed by forming an insulating film having a thickness of, for example, about 5 nm on the main surface of the semiconductor substrate 1 by using, for example, a CVD method, and then anisotropically etching the insulating film by using a dry etching method. It is formed by doing. For example, a silicon nitride (Si 3 N 4 ) film is used as the insulating film. Since the silicon nitride film is less likely to pass oxygen than the silicon oxide film, the silicon nitride film is preferable to the silicon oxide film from the viewpoint of preventing oxidation.

続いて、pMIS形成領域をレジストパターンで覆い、イオン注入法を用いて、nMIS形成領域の半導体基板1(p型ウェル3)に、ゲートおよびオフセットサイドウォール15に対して自己整合的にn型拡散領域16を形成する。n型拡散領域16は半導体領域であり、半導体基板1にリンまたはヒ素などのn型不純物を導入することにより形成される。その後、上記レジストパターンを酸素プラズマ雰囲気中のアッシング処理により除去する。酸素および水素を含むプラズマ雰囲気中でアッシング処理を行ってもよいが、このプラズマ雰囲気中に含まれる水素濃度は、前述したレジストパターン12(nMISのゲートおよびpMISのゲートをパターニングする際にマスクとして用いたレジストパターン)を除去するアッシング処理のプラズマ雰囲気中に含まれる水素濃度よりは低いことが好ましい。その理由は、この工程においては、金属膜10はオフセットサイドウォール15に覆われており、酸化する可能性が低い。そのため、水素濃度を低くしてアッシング処理を行った方が、この工程で発生しているポリマーをより確実に酸化させることができる。よって、その後の洗浄工程において、ポリマーをより確実に除去することができる。   Subsequently, the pMIS formation region is covered with a resist pattern, and n-type diffusion is performed in a self-aligned manner with respect to the gate and the offset sidewall 15 in the semiconductor substrate 1 (p-type well 3) in the nMIS formation region using an ion implantation method. Region 16 is formed. The n-type diffusion region 16 is a semiconductor region and is formed by introducing an n-type impurity such as phosphorus or arsenic into the semiconductor substrate 1. Thereafter, the resist pattern is removed by ashing in an oxygen plasma atmosphere. The ashing process may be performed in a plasma atmosphere containing oxygen and hydrogen, but the hydrogen concentration contained in the plasma atmosphere is used as a mask when patterning the resist pattern 12 (the nMIS gate and the pMIS gate). The concentration of hydrogen contained in the plasma atmosphere of the ashing treatment for removing the resist pattern) is preferably lower. The reason is that in this step, the metal film 10 is covered with the offset sidewall 15 and is less likely to be oxidized. Therefore, the polymer generated in this step can be more reliably oxidized by performing the ashing treatment at a low hydrogen concentration. Therefore, the polymer can be more reliably removed in the subsequent washing step.

同様に、nMIS形成領域をレジストパターンで覆い、イオン注入法を用いて、pMIS形成領域の半導体基板1(n型ウェル4)に、ゲートおよびオフセットサイドウォール15に対して自己整合的にp型拡散領域17を形成する。p型拡散領域17は半導体領域であり、半導体基板1にボロンなどのp型不純物を導入することにより形成される。その後、上記レジストパターンを酸素プラズマ雰囲気中のアッシング処理により除去する。酸素および水素を含むプラズマ雰囲気中でアッシング処理を行ってもよいが、このプラズマ雰囲気中に含まれる水素濃度は、前述したレジストパターン12を除去するアッシング処理のプラズマ雰囲気中に含まれる水素濃度よりは低いことが好ましい。その理由は、前述したn型拡散領域16を形成する工程の場合と同様である。   Similarly, the nMIS formation region is covered with a resist pattern, and p-type diffusion is performed in a self-aligned manner with respect to the gate and the offset sidewall 15 in the semiconductor substrate 1 (n-type well 4) in the pMIS formation region using an ion implantation method. Region 17 is formed. The p-type diffusion region 17 is a semiconductor region and is formed by introducing a p-type impurity such as boron into the semiconductor substrate 1. Thereafter, the resist pattern is removed by ashing in an oxygen plasma atmosphere. The ashing process may be performed in a plasma atmosphere containing oxygen and hydrogen, but the hydrogen concentration contained in the plasma atmosphere is higher than the hydrogen concentration contained in the plasma atmosphere of the ashing process for removing the resist pattern 12 described above. Preferably it is low. The reason is the same as that in the process of forming the n-type diffusion region 16 described above.

次に、図10に示すように、半導体基板1の主面上に、窒化シリコン膜および酸化シリコン膜を順次堆積した後、ドライエッチング法を用いて、これら窒化シリコン膜および酸化シリコン膜を異方性エッチングする。これにより、nMISのゲートの側面およびpMISのゲートの側面にオフセットサイドウォール15を介してサイドウォール18を形成する。   Next, as shown in FIG. 10, after a silicon nitride film and a silicon oxide film are sequentially deposited on the main surface of the semiconductor substrate 1, the silicon nitride film and the silicon oxide film are anisotropically formed using a dry etching method. Etching. Thus, the sidewalls 18 are formed on the side surfaces of the nMIS gate and the pMIS gate via the offset sidewalls 15.

続いて、pMIS形成領域をレジストパターンで覆い、イオン注入法を用いて、nMIS形成領域の半導体基板1(p型ウェル3)に、ゲートおよびサイドウォール18に対して自己整合的にn型拡散領域19を形成する。n型拡散領域19は半導体領域であり、半導体基板1にリンまたはヒ素などのn型不純物を導入することにより形成される。その後、上記レジストパターンを酸素プラズマ雰囲気中のアッシング処理により除去する。酸素および水素を含むプラズマ雰囲気中でアッシング処理を行ってもよいが、このプラズマ雰囲気中に含まれる水素濃度は、前述したレジストパターン12を除去するアッシング処理のプラズマ雰囲気中に含まれる水素濃度よりは低いことが好ましい。その理由は、この工程においては、金属膜10はオフセットサイドウォール15やサイドウォール18に覆われており、酸化する可能性が低い。そのため、水素濃度を低くしてアッシング処理を行った方が、この工程で発生しているポリマーをより確実に酸化させることができる。よって、その後の洗浄工程において、ポリマーをより確実に除去することができる。   Subsequently, the pMIS formation region is covered with a resist pattern, and an n-type diffusion region is formed on the semiconductor substrate 1 (p-type well 3) in the nMIS formation region in a self-aligned manner with respect to the gate and the sidewall 18 by using an ion implantation method. 19 is formed. The n-type diffusion region 19 is a semiconductor region and is formed by introducing an n-type impurity such as phosphorus or arsenic into the semiconductor substrate 1. Thereafter, the resist pattern is removed by ashing in an oxygen plasma atmosphere. The ashing process may be performed in a plasma atmosphere containing oxygen and hydrogen, but the hydrogen concentration contained in the plasma atmosphere is higher than the hydrogen concentration contained in the plasma atmosphere of the ashing process for removing the resist pattern 12 described above. Preferably it is low. The reason is that in this step, the metal film 10 is covered with the offset sidewalls 15 and the sidewalls 18 and is less likely to be oxidized. Therefore, the polymer generated in this step can be more reliably oxidized by performing the ashing treatment at a low hydrogen concentration. Therefore, the polymer can be more reliably removed in the subsequent washing step.

同様に、nMIS形成領域をレジストパターンで覆い、イオン注入法を用いて、pMIS形成領域の半導体基板1(n型ウェル4)に、ゲートおよびサイドウォール18に対して自己整合的にp型拡散領域20を形成する。p型拡散領域20は半導体領域であり、半導体基板1にボロンなどのp型不純物を導入することにより形成される。その後、上記レジストパターンを酸素プラズマ雰囲気中のアッシング処理により除去する。酸素および水素を含むプラズマ雰囲気中でアッシング処理を行ってもよいが、このプラズマ雰囲気中に含まれる水素濃度は、前述したレジストパターン12を除去するアッシング処理のプラズマ雰囲気中に含まれる水素濃度よりは低いことが好ましい。その理由は、前述したn型拡散領域19を形成する工程の場合と同様である。   Similarly, the nMIS formation region is covered with a resist pattern, and a p-type diffusion region is formed in a self-aligned manner with respect to the gate and the sidewall 18 on the semiconductor substrate 1 (n-type well 4) in the pMIS formation region by using an ion implantation method. 20 is formed. The p-type diffusion region 20 is a semiconductor region and is formed by introducing a p-type impurity such as boron into the semiconductor substrate 1. Thereafter, the resist pattern is removed by ashing in an oxygen plasma atmosphere. The ashing process may be performed in a plasma atmosphere containing oxygen and hydrogen, but the hydrogen concentration contained in the plasma atmosphere is higher than the hydrogen concentration contained in the plasma atmosphere of the ashing process for removing the resist pattern 12 described above. Preferably it is low. The reason is the same as that in the process of forming the n-type diffusion region 19 described above.

続いて、熱処理を行う。この熱処理は、例えば1000℃で10秒間および1230℃で数ミリ秒実施される。この熱処理によって、nMIS形成領域のn型拡散領域16およびn型拡散領域19に導入されたn型不純物を活性化し、pMIS形成領域のp型拡散領域17およびp型拡散領域20に導入されたp型不純物を活性化させて、それぞれのソース/ドレイン領域を形成する。   Subsequently, heat treatment is performed. This heat treatment is performed, for example, at 1000 ° C. for 10 seconds and at 1230 ° C. for several milliseconds. By this heat treatment, the n-type impurity introduced into the n-type diffusion region 16 and the n-type diffusion region 19 in the nMIS formation region is activated, and the p-type introduced into the p-type diffusion region 17 and the p-type diffusion region 20 in the pMIS formation region. The type impurities are activated to form respective source / drain regions.

次に、図11に示すように、半導体基板1の主面上にニッケル膜を形成し、続いて、熱処理を行う。この熱処理は、例えば450℃で実施される。この熱処理によって、半導体基板1を構成するシリコンとニッケル、および多結晶シリコン膜11を構成するシリコンとニッケルとを固相反応させてニッケルシリサイド(NiSi)を形成する。続いて、硫酸(HSO)と過酸化水素水(H)との混合溶液を用いて未反応のニッケルを除去することにより、nMISのソース/ドレイン領域の表面およびゲート電極13nの上面にニッケルシリサイド膜21を形成する。同様に、pMISのソース/ドレイン領域の表面およびゲート電極13pの上面にニッケルシリサイド膜21を形成する。ニッケルシリサイド膜21に代えて、例えば白金シリサイド(PtSi)膜、コバルトシリサイド(CoSi)膜などを使用することもできる。 Next, as shown in FIG. 11, a nickel film is formed on the main surface of the semiconductor substrate 1, and then heat treatment is performed. This heat treatment is performed at 450 ° C., for example. By this heat treatment, silicon and nickel constituting the semiconductor substrate 1 and silicon and nickel constituting the polycrystalline silicon film 11 are solid-phase reacted to form nickel silicide (NiSi). Subsequently, unreacted nickel is removed using a mixed solution of sulfuric acid (H 2 SO 4 ) and hydrogen peroxide solution (H 2 O 2 ), whereby the surface of the source / drain region of nMIS and the gate electrode 13n. A nickel silicide film 21 is formed on the upper surface of the substrate. Similarly, a nickel silicide film 21 is formed on the surface of the source / drain region of pMIS and the upper surface of the gate electrode 13p. Instead of the nickel silicide film 21, for example, a platinum silicide (PtSi) film, a cobalt silicide (CoSi 2 ) film, or the like can be used.

次に、図12に示すように、半導体基板1の主面上に、ストッパ絶縁膜22を堆積する。ストッパ絶縁膜22は、例えばCVD法を用いて形成される窒化シリコン膜であり、その厚さは、例えば30nm程度である。   Next, as shown in FIG. 12, a stopper insulating film 22 is deposited on the main surface of the semiconductor substrate 1. The stopper insulating film 22 is a silicon nitride film formed by using, for example, a CVD method, and the thickness thereof is, for example, about 30 nm.

続いて、半導体基板1の主面上に、層間絶縁膜23を形成する。層間絶縁膜23は、例えばプラズマCVD法を用いて形成される、例えばTEOS(Tetra Ethyl Ortho Silicate;Si(OC)とオゾン(O)とをソースガスに用いたプラズマCVD法を用いて形成されるTEOS膜である。続いて、層間絶縁膜23の表面を、例えばCMP(Chemical Mechanical Polishing)法を用いて平坦化した後、フォトリソグラフィ法およびドライエッチング法を用いて、ストッパ絶縁膜22および層間絶縁膜23に接続孔24を形成する。 Subsequently, an interlayer insulating film 23 is formed on the main surface of the semiconductor substrate 1. The interlayer insulating film 23 is formed using, for example, a plasma CVD method, for example, a plasma CVD method using TEOS (Tetra Ethyl Ortho Silicate; Si (OC 2 H 5 ) 4 ) and ozone (O 3 ) as source gases. A TEOS film formed using Subsequently, the surface of the interlayer insulating film 23 is planarized using, for example, a CMP (Chemical Mechanical Polishing) method, and then connected to the stopper insulating film 22 and the interlayer insulating film 23 using a photolithography method and a dry etching method. 24 is formed.

次に、図13に示すように、接続孔24の底面および側面を含む層間絶縁膜23上に、例えばスパッタリング法を用いてバリアメタル膜25aを形成する。バリアメタル膜25aは、例えば窒化チタン(TiN)膜、タンタル(Ta)膜、または窒化タンタル(TaN)膜等であり、後の工程で接続孔24の内部に埋め込まれる材料が拡散するのを防止する、いわゆるバリア機能を有している。続いて、半導体基板1の主面上に、接続孔24の内部を埋め込むようにタングステン膜25bを形成する。このタングステン膜25bは、例えばCVD法またはスパッタリング法を用いて形成される。続いて、タングステン膜25bおよびバリアメタル膜25aを、例えばCMP法を用いて研磨することにより、接続孔24の内部にバリアメタル膜25aとタングステン膜25bとからなるプラグ25を形成する。   Next, as shown in FIG. 13, a barrier metal film 25 a is formed on the interlayer insulating film 23 including the bottom and side surfaces of the connection hole 24 by using, for example, a sputtering method. The barrier metal film 25a is, for example, a titanium nitride (TiN) film, a tantalum (Ta) film, or a tantalum nitride (TaN) film, and prevents the material embedded in the connection hole 24 from diffusing in a later process. It has a so-called barrier function. Subsequently, a tungsten film 25 b is formed on the main surface of the semiconductor substrate 1 so as to fill the inside of the connection hole 24. The tungsten film 25b is formed using, for example, a CVD method or a sputtering method. Subsequently, the tungsten film 25b and the barrier metal film 25a are polished by using, for example, a CMP method, thereby forming a plug 25 made of the barrier metal film 25a and the tungsten film 25b inside the connection hole 24.

次に、図14に示すように、半導体基板1の主面上に、配線用絶縁膜26を形成する。配線用絶縁膜26は、例えばTEOS膜である。続いて、フォトリソグラフィ法およびドライエッチング法を用いて、配線用絶縁膜26に配線溝27を形成する。   Next, as shown in FIG. 14, a wiring insulating film 26 is formed on the main surface of the semiconductor substrate 1. The wiring insulating film 26 is, for example, a TEOS film. Subsequently, a wiring groove 27 is formed in the wiring insulating film 26 by using a photolithography method and a dry etching method.

続いて、配線溝27の底面および側面を含む配線用絶縁膜26上に、例えばスパッタリング法を用いてバリアメタル膜28aを形成する。バリアメタル膜28aは、例えば窒化チタン膜、タンタル膜、または窒化タンタル膜等である。続いて、バリアメタル膜28a上に、例えばスパッタリング法を用いて銅(Cu)シード層を形成した後、めっき法により配線溝27の内部を埋め込むように銅膜28bを形成する。続いて、熱処理を行った後、銅膜28b、銅シード層、およびバリアメタル膜28aを、例えばCMP法を用いて研磨することにより、配線溝27の内部に銅膜28bを主導体とする配線28を形成する。この後、さらに上層の配線を形成するが、ここでの説明は省略する。   Subsequently, a barrier metal film 28a is formed on the wiring insulating film 26 including the bottom and side surfaces of the wiring groove 27 by using, for example, a sputtering method. The barrier metal film 28a is, for example, a titanium nitride film, a tantalum film, or a tantalum nitride film. Subsequently, after a copper (Cu) seed layer is formed on the barrier metal film 28a by using, for example, a sputtering method, a copper film 28b is formed so as to fill the inside of the wiring groove 27 by a plating method. Subsequently, after performing heat treatment, the copper film 28b, the copper seed layer, and the barrier metal film 28a are polished by using, for example, a CMP method, so that the wiring having the copper film 28b as a main conductor inside the wiring groove 27 is provided. 28 is formed. Thereafter, an upper layer wiring is formed, but the description thereof is omitted here.

なお、接続孔24および配線溝27を形成する際に使用されるレジストパターンを除去する工程においても、前述したn型拡散領域16、p型拡散領域17、n型拡散領域19およびp型拡散領域20を形成する際に使用されるレジストパターンを除去する工程と同様に、水素濃度の低いアッシング処理を行うことが好ましい。また、その理由についても同様である。   Note that the n-type diffusion region 16, the p-type diffusion region 17, the n-type diffusion region 19, and the p-type diffusion region described above also in the step of removing the resist pattern used when forming the connection hole 24 and the wiring groove 27. It is preferable to perform an ashing process with a low hydrogen concentration in the same manner as the step of removing the resist pattern used when forming 20. The same applies to the reason.

以上の製造工程により、本実施の形態によるCMISデバイス(nMISおよびpMIS)が略完成する。   The CMIS device (nMIS and pMIS) according to the present embodiment is substantially completed through the above manufacturing process.

このように、本実施の形態によれば、nMISのゲートおよびpMISのゲートを形成する工程において、nMISのゲートおよびpMISのゲートの一部を構成する金属膜10の仕事関数の変化およびゲート長方向の短縮を抑えることができる。これにより、nMISおよびpMISの所望する閾値電圧を得ることができる。また、寄生抵抗の増加を防いで、nMISおよびpMISの所望するオン電流を得ることができる。   As described above, according to the present embodiment, in the step of forming the nMIS gate and the pMIS gate, the work function change and the gate length direction of the metal film 10 constituting a part of the nMIS gate and the pMIS gate are formed. Can be reduced. Thereby, desired threshold voltages of nMIS and pMIS can be obtained. Further, it is possible to prevent the parasitic resistance from increasing and obtain the desired on-currents of nMIS and pMIS.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

本発明は、ゲート電極をメタル材料で構成する電界効果トランジスタを有する半導体装置の製造に適用することができる。   The present invention can be applied to the manufacture of a semiconductor device having a field effect transistor whose gate electrode is made of a metal material.

1 半導体基板
2 素子分離部
3 p型ウェル
4 n型ウェル
5 界面層
6 High−k膜
6n,6p 高誘電体膜
7n,7p キャッピング層
8 金属膜
9n,9p ゲート絶縁膜
10 金属膜
11 多結晶シリコン膜
12 レジストパターン
13n,13p ゲート電極
14 反応生成物(ポリマー)
15 オフセットサイドウォール
16 n型拡散領域
17 p型拡散領域
18 サイドウォール
19 n型拡散領域
20 p型拡散領域
21 ニッケルシリサイド膜
22 ストッパ絶縁膜
23 層間絶縁膜
24 接続孔
25 プラグ
25a バリアメタル膜
25b タングステン膜
26 配線用絶縁膜
27 配線溝
28 配線
28a バリアメタル膜
28b 銅膜
51 半導体基板
52 界面層
53 高誘電体膜
54 金属膜
55 多結晶シリコン膜
56 レジストパターン
57 反応生成物
58 金属酸化物
DESCRIPTION OF SYMBOLS 1 Semiconductor substrate 2 Element isolation | separation part 3 P-type well 4 N-type well 5 Interface layer 6 High-k film 6n, 6p High dielectric material film 7n, 7p Capping layer 8 Metal film 9n, 9p Gate insulating film 10 Metal film 11 Polycrystal Silicon film 12 Resist pattern 13n, 13p Gate electrode 14 Reaction product (polymer)
15 Offset sidewall 16 n-type diffusion region 17 p-type diffusion region 18 sidewall 19 n-type diffusion region 20 p-type diffusion region 21 nickel silicide film 22 stopper insulating film 23 interlayer insulating film 24 connection hole 25 plug 25a barrier metal film 25b tungsten Film 26 Insulating film for wiring 27 Wiring groove 28 Wiring 28a Barrier metal film 28b Copper film 51 Semiconductor substrate 52 Interface layer 53 High dielectric film 54 Metal film 55 Polycrystalline silicon film 56 Resist pattern 57 Reaction product 58 Metal oxide

Claims (16)

以下の工程を含む半導体装置の製造方法:
(a)半導体基板の主面上に第1絶縁膜を形成する工程;
(b)前記第1絶縁膜上に金属膜を形成する工程;
(c)第1レジストパターンをマスクとしたドライエッチングにより、前記金属膜を加工して、前記金属膜からなるゲート電極を形成する工程;
(d)前記(c)工程の後、酸素および水素を含む第1プラズマ雰囲気中においてアッシング処理を行い、前記第1レジストパターンを除去する工程;
(e)前記(d)工程の後、前記ゲート電極が形成された前記半導体基板に対して洗浄処理を施す工程。
A semiconductor device manufacturing method including the following steps:
(A) forming a first insulating film on the main surface of the semiconductor substrate;
(B) forming a metal film on the first insulating film;
(C) processing the metal film by dry etching using the first resist pattern as a mask to form a gate electrode made of the metal film;
(D) a step of removing the first resist pattern by performing an ashing process in a first plasma atmosphere containing oxygen and hydrogen after the step (c);
(E) A step of performing a cleaning process on the semiconductor substrate on which the gate electrode is formed after the step (d).
請求項1記載の半導体装置の製造方法において、前記(d)工程の前記第1プラズマ雰囲気中において、酸素濃度が水素濃度の0.7〜4.0倍であることを特徴とする半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the oxygen concentration is 0.7 to 4.0 times the hydrogen concentration in the first plasma atmosphere in the step (d). Production method. 請求項1記載の半導体装置の製造方法において、前記(d)工程の前記第1プラズマ雰囲気中において、酸素濃度が水素濃度の1.0〜2.5倍であることを特徴とする半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein an oxygen concentration is 1.0 to 2.5 times a hydrogen concentration in the first plasma atmosphere in the step (d). Production method. 請求項1記載の半導体装置の製造方法において、前記金属膜は窒化チタンを含むことを特徴とする半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the metal film contains titanium nitride. 請求項1記載の半導体装置の製造方法において、前記(b)工程と前記(c)工程との間に、さらに、
(f)前記金属膜上に多結晶シリコン膜を形成する工程;
を含み、
前記(c)工程では、前記第1レジストパターンをマスクとしたドライエッチングにより、前記多結晶シリコン膜および前記金属膜を加工することを特徴とする半導体装置の製造方法。
2. The method of manufacturing a semiconductor device according to claim 1, further comprising a step between the step (b) and the step (c).
(F) forming a polycrystalline silicon film on the metal film;
Including
In the step (c), the polycrystalline silicon film and the metal film are processed by dry etching using the first resist pattern as a mask.
請求項1記載の半導体装置の製造方法において、前記(d)工程の前記第1プラズマ雰囲気中に、さらに窒素またはアルゴンが含まれることを特徴とする半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the first plasma atmosphere in the step (d) further includes nitrogen or argon. 請求項1記載の半導体装置の製造方法において、前記(e)工程の後に、さらに、
(g)第2レジストパターンをマスクとした不純物のイオン注入により、前記ゲート電極の両側の前記半導体基板に半導体領域を形成する工程;
(h)前記(g)工程の後、第2プラズマ雰囲気中においてアッシング処理を行い、前記第2レジストパターンを除去する工程;
を含み、
前記(h)工程の前記第2プラズマ雰囲気中に含まれる水素濃度は、前記(d)工程の前記第1プラズマ雰囲気中に含まれる水素濃度よりも低いことを特徴とする半導体装置の製造方法。
2. The method of manufacturing a semiconductor device according to claim 1, further comprising, after the step (e),
(G) forming a semiconductor region in the semiconductor substrate on both sides of the gate electrode by ion implantation of impurities using the second resist pattern as a mask;
(H) After the step (g), performing an ashing process in a second plasma atmosphere to remove the second resist pattern;
Including
The method of manufacturing a semiconductor device, wherein a hydrogen concentration contained in the second plasma atmosphere in the step (h) is lower than a hydrogen concentration contained in the first plasma atmosphere in the step (d).
請求項7記載の半導体装置の製造方法において、前記(e)工程の後であって前記(g)工程の前に、さらに、
(i)前記ゲート電極の側面に第2絶縁膜を形成する工程;
を含むことを特徴とする半導体装置の製造方法。
8. The method of manufacturing a semiconductor device according to claim 7, wherein after the step (e) and before the step (g),
(I) forming a second insulating film on a side surface of the gate electrode;
A method for manufacturing a semiconductor device, comprising:
請求項8記載の半導体装置の製造方法において、前記第2絶縁膜は窒化シリコン膜であることを特徴とする半導体装置の製造方法。   9. The method of manufacturing a semiconductor device according to claim 8, wherein the second insulating film is a silicon nitride film. 請求項7記載の半導体装置の製造方法において、前記(h)工程の後に、さらに、
(j)前記ゲート電極および前記半導体領域を覆うように、前記半導体基板上に層間絶縁膜を形成する工程;
(k)第3レジストパターンをマスクとして、前記層間絶縁膜に前記半導体領域に達する接続孔を形成する工程;
(l)前記(k)工程の後、第3プラズマ雰囲気中においてアッシング処理を行い、前記第3レジストパターンを除去する工程;
を含み、
前記(l)工程の前記第3プラズマ雰囲気中に含まれる水素濃度は、前記(d)工程の前記第1プラズマ雰囲気中に含まれる水素濃度よりも低いことを特徴とする半導体装置の製造方法。
8. The method of manufacturing a semiconductor device according to claim 7, further comprising, after the step (h),
(J) forming an interlayer insulating film on the semiconductor substrate so as to cover the gate electrode and the semiconductor region;
(K) forming a connection hole reaching the semiconductor region in the interlayer insulating film using the third resist pattern as a mask;
(L) After the step (k), performing an ashing process in a third plasma atmosphere to remove the third resist pattern;
Including
A method for manufacturing a semiconductor device, wherein a hydrogen concentration contained in the third plasma atmosphere in the step (l) is lower than a hydrogen concentration contained in the first plasma atmosphere in the step (d).
請求項1記載の半導体装置の製造方法において、前記第1絶縁膜は、ランタンまたはアルミニウムを含むハフニウム系の誘電体膜であることを特徴とする半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the first insulating film is a hafnium-based dielectric film containing lanthanum or aluminum. 請求項11記載の半導体装置の製造方法において、前記ハフニウム系の誘電体膜は、酸窒化ハフニウムシリコン、酸化ハフニウムシリコン、酸窒化ハフニウム、または酸化ハフニウムであることを特徴とする半導体装置の製造方法。   12. The method of manufacturing a semiconductor device according to claim 11, wherein the hafnium-based dielectric film is hafnium silicon oxynitride, hafnium silicon oxide, hafnium oxynitride, or hafnium oxide. 請求項1記載の半導体装置の製造方法において、前記第1絶縁膜は、界面層と、ランタンまたはアルミニウムを含むハフニウム系の誘電体膜との積層膜であることを特徴とする半導体装置の製造方法。   2. The method of manufacturing a semiconductor device according to claim 1, wherein the first insulating film is a laminated film of an interface layer and a hafnium-based dielectric film containing lanthanum or aluminum. . 請求項13記載の半導体装置の製造方法において、前記ハフニウム系の誘電体膜は、酸窒化ハフニウムシリコン、酸化ハフニウムシリコン、酸窒化ハフニウム、または酸化ハフニウムであることを特徴とする半導体装置の製造方法。   14. The method of manufacturing a semiconductor device according to claim 13, wherein the hafnium-based dielectric film is hafnium silicon oxynitride, silicon oxide hafnium, hafnium oxynitride, or hafnium oxide. 請求項1記載の半導体装置の製造方法において、前記(a)工程と前記(b)工程との間に、さらに
(m)ランタンまたはアルミニウムを含むキャッピング層を形成する工程;
(n)前記半導体基板に熱処理を施して、前記ランタンまたは前記アルミニウムを前記第1絶縁膜へ拡散させる工程;
を含むことを特徴とする半導体装置の製造方法。
2. The method of manufacturing a semiconductor device according to claim 1, further comprising: (m) forming a capping layer containing lanthanum or aluminum between the step (a) and the step (b);
(N) performing a heat treatment on the semiconductor substrate to diffuse the lanthanum or the aluminum into the first insulating film;
A method for manufacturing a semiconductor device, comprising:
請求項1記載の半導体装置の製造方法において、
前記(c)工程の後には、前記ゲート電極の側面にポリマーが付着しており、
前記ポリマーは、前記(d)工程の前記アッシング処理に曝されており、前記(e)工程の前記洗浄処理にて除去されることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
After the step (c), a polymer is attached to the side surface of the gate electrode,
The method of manufacturing a semiconductor device, wherein the polymer is exposed to the ashing process in the step (d) and removed by the cleaning process in the step (e).
JP2011234699A 2011-10-26 2011-10-26 Semiconductor device manufacturing method Pending JP2013093438A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011234699A JP2013093438A (en) 2011-10-26 2011-10-26 Semiconductor device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011234699A JP2013093438A (en) 2011-10-26 2011-10-26 Semiconductor device manufacturing method

Publications (1)

Publication Number Publication Date
JP2013093438A true JP2013093438A (en) 2013-05-16

Family

ID=48616347

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011234699A Pending JP2013093438A (en) 2011-10-26 2011-10-26 Semiconductor device manufacturing method

Country Status (1)

Country Link
JP (1) JP2013093438A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015170763A (en) * 2014-03-07 2015-09-28 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method
CN106024594A (en) * 2016-06-24 2016-10-12 西安电子科技大学 Two-dimensional material electrode mask preparation method based on SOI
JP2019530227A (en) * 2016-09-15 2019-10-17 クアルコム,インコーポレイテッド Fin Field Effect Transistor (FET) (FinFET) that stresses the channel region using a dielectric material layer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015170763A (en) * 2014-03-07 2015-09-28 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method
CN106024594A (en) * 2016-06-24 2016-10-12 西安电子科技大学 Two-dimensional material electrode mask preparation method based on SOI
JP2019530227A (en) * 2016-09-15 2019-10-17 クアルコム,インコーポレイテッド Fin Field Effect Transistor (FET) (FinFET) that stresses the channel region using a dielectric material layer

Similar Documents

Publication Publication Date Title
JP5401244B2 (en) Manufacturing method of semiconductor device
KR101420482B1 (en) Multi-layer scavenging metal gate stack for ultra-thin interfacial dielectric layer
TWI449132B (en) Manufacturing method of semiconductor device
US7732344B1 (en) High selectivity etching process for metal gate N/P patterning
US9136181B2 (en) Method for manufacturing semiconductor device
JP2007243009A (en) Semiconductor device and its manufacturing method
JP4723975B2 (en) Semiconductor device and manufacturing method thereof
JP5852459B2 (en) Semiconductor device and manufacturing method thereof
US9252059B2 (en) Method for manufacturing semiconductor device
JP4920310B2 (en) Semiconductor device and manufacturing method thereof
JP2012044013A (en) Manufacturing method of semiconductor device
JP5368584B2 (en) Semiconductor device and manufacturing method thereof
WO2011042955A1 (en) Semiconductor device and method of producing same
US7618855B2 (en) Manufacturing method of semiconductor device
US9142414B2 (en) CMOS devices with metal gates and methods for forming the same
JP2010278319A (en) Semiconductor device and method of manufacturing the same
JP2013026466A (en) Semiconductor device and manufacturing method thereof
US20100320542A1 (en) Semiconductor device and manufacturing method thereof
JP2010272596A (en) Method of manufacturing semiconductor device
JP2013093438A (en) Semiconductor device manufacturing method
JP2006013270A (en) Semiconductor device and its manufacturing method
JP2013008787A (en) Semiconductor device and manufacturing method of the same
JP2011014690A (en) Semiconductor device and method of manufacturing the same
JP5866319B2 (en) Manufacturing method of semiconductor device
JP2012134212A (en) Semiconductor device manufacturing method