JP2013084882A - Reflective mask and method for manufacturing the same - Google Patents

Reflective mask and method for manufacturing the same Download PDF

Info

Publication number
JP2013084882A
JP2013084882A JP2012052091A JP2012052091A JP2013084882A JP 2013084882 A JP2013084882 A JP 2013084882A JP 2012052091 A JP2012052091 A JP 2012052091A JP 2012052091 A JP2012052091 A JP 2012052091A JP 2013084882 A JP2013084882 A JP 2013084882A
Authority
JP
Japan
Prior art keywords
layer
shielding region
light shielding
multilayer reflective
reflective mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012052091A
Other languages
Japanese (ja)
Other versions
JP5884565B2 (en
Inventor
Norihito Fukugami
典仁 福上
Akira Sakata
陽 坂田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toppan Inc
Original Assignee
Toppan Printing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toppan Printing Co Ltd filed Critical Toppan Printing Co Ltd
Priority to JP2012052091A priority Critical patent/JP5884565B2/en
Publication of JP2013084882A publication Critical patent/JP2013084882A/en
Application granted granted Critical
Publication of JP5884565B2 publication Critical patent/JP5884565B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a reflective mask which has a light shielding region with high light shielding performance, and a method for manufacturing the same.SOLUTION: The reflective mask comprises a multilayer reflection layer formed on a surface of a substrate, and an absorption layer formed on the multilayer reflection layer and having a circuit pattern. A light shielding region in which the absorption layer and the multilayer reflection layer are removed is formed outside a region of the circuit pattern. An opening width of the bottom of the light shielding region formed in the multilayer reflection layer is wider than an opening width of the light shielding region formed in the absorption layer.

Description

本発明は、反射型マスク及びその製造方法に関し、特に極端紫外線(Extreme Ultra Violet;以下「EUV」と表記する)を光源とするEUVリソグラフィを用いた半導体製造装置などに利用される反射型マスク及びその製造方法に関する。   The present invention relates to a reflective mask and a manufacturing method thereof, and more particularly to a reflective mask used in a semiconductor manufacturing apparatus using EUV lithography using extreme ultraviolet (hereinafter referred to as “EUV”) as a light source, and the like. It relates to the manufacturing method.

近年、半導体デバイスの微細化に伴い、波長が13.5nm近傍のEUVを光源に用いたEUVリソグラフィが提案されている。EUVリソグラフィは光源波長が短く光吸収性が非常に高いため、真空中で行われる必要がある。また、EUVの波長領域においては、ほとんどの物質の屈折率は1よりもわずかに小さい値であるため、EUVリソグラフィにおいては、従来から用いられてきた透過型の屈折光学系を使用することができず、反射光学系となる。従って、原版となるフォトマスク(以下、マスクと呼ぶ)も、従来の透過型のマスクは使用できないため、反射型のマスクとする必要がある。   In recent years, with the miniaturization of semiconductor devices, EUV lithography using EUV having a wavelength of around 13.5 nm as a light source has been proposed. Since EUV lithography has a short light source wavelength and very high light absorption, it needs to be performed in a vacuum. In the EUV wavelength region, the refractive index of most materials is slightly smaller than 1. Therefore, in the EUV lithography, a conventionally used transmission type refractive optical system can be used. First, it becomes a reflection optical system. Therefore, a photomask (hereinafter referred to as a mask) as an original plate must be a reflection type mask because a conventional transmission type mask cannot be used.

このような反射型マスクの元となる反射型マスクブランクは、低熱膨張性基板の上に、露光光源波長に対して高い反射率を示す多層反射層と、露光光源波長を吸収する吸収層とが順次形成されてなり、更に基板の裏面には、露光機内における静電チャックのための裏面導電膜が形成されている。また、多層反射層と吸収層の間に緩衝層を有する構造を持つEUVマスクもある。   A reflective mask blank that is the basis of such a reflective mask has, on a low thermal expansion substrate, a multilayer reflective layer that exhibits a high reflectance with respect to the exposure light source wavelength, and an absorption layer that absorbs the exposure light source wavelength. The back surface conductive film for the electrostatic chuck in the exposure machine is further formed on the back surface of the substrate. There is also an EUV mask having a structure having a buffer layer between a multilayer reflective layer and an absorption layer.

反射型マスクブランクから反射型マスクへ加工する際には、EBリソグラフィとエッチング技術とにより吸収層を部分的に除去し、緩衝層を有する構造の場合は緩衝層も同様に除去し、吸収部と反射部とからなる回路パターンを形成する。このように作製された反射型マスクによって反射された光像が反射光学系を経て半導体基板上に転写される。   When processing from a reflective mask blank to a reflective mask, the absorption layer is partially removed by EB lithography and etching technology. In the case of a structure having a buffer layer, the buffer layer is also removed in the same manner. A circuit pattern including a reflection portion is formed. The light image reflected by the reflection type mask thus manufactured is transferred onto the semiconductor substrate via the reflection optical system.

反射光学系を用いた露光方法では、マスク面に対して垂直方向から所定角度傾いた入射角(通常6°)で照射されるため、吸収層の膜厚が厚い場合、パターン自身の影が生じてしまい、この影となった部分における反射強度は、影になっていない部分よりも小さいため、コントラストが低下し、転写パターンには、エッジ部のぼやけや設計寸法からのずれが生じてしまう。これはシャドーイングと呼ばれ、反射型マスクの原理的課題の一つである。   In an exposure method using a reflective optical system, irradiation is performed at an incident angle (usually 6 °) tilted by a predetermined angle from the vertical direction with respect to the mask surface. Therefore, when the absorption layer is thick, the pattern itself is shaded. Therefore, since the reflection intensity in the shadowed portion is smaller than that in the non-shadowed portion, the contrast is lowered, and the transferred pattern is blurred in the edge portion and deviated from the design dimension. This is called shadowing and is one of the fundamental problems of the reflective mask.

このようなパターンエッジ部のぼやけや設計寸法からのずれを防ぐためには、吸収層の膜厚を薄くし、パターンの高さを低くすることが有効であるが、吸収層の膜厚が薄くなると、吸収層における遮光性が低下するため、転写コントラストが低下し、転写パターンの精度が低下することとなる。つまり吸収層を薄くし過ぎると転写パターンの精度を保つための必要なコントラストが得られなくなってしまう。つまり、吸収層の膜厚は厚すぎても薄すぎても問題になるので、現在は概ね50〜90nmの間になっており、EUV光(極端紫外光)の吸収層での反射率は0.5〜2%程度である。   In order to prevent such blurring of the pattern edge and deviation from the design dimension, it is effective to reduce the thickness of the absorption layer and reduce the height of the pattern, but when the thickness of the absorption layer is reduced Since the light shielding property in the absorbing layer is lowered, the transfer contrast is lowered and the accuracy of the transfer pattern is lowered. That is, if the absorption layer is too thin, the contrast necessary for maintaining the accuracy of the transfer pattern cannot be obtained. That is, since the thickness of the absorbing layer is too thick or too thin, it is currently in the range of 50 to 90 nm, and the reflectivity of the absorbing layer for EUV light (extreme ultraviolet light) is 0. About 5 to 2%.

一方、反射型マスクを用いて半導体基板上に転写回路パターンを形成する場合、一枚の半導体基板上には複数の回路パターンのチップが形成される。隣接するチップ間において、チップ外周部が重なる領域が存在する場合がある。これはウェハ1枚あたりに取れるチップを出来るだけ増加したいという生産性向上のために、チップを高密度に配置するためである。この場合、この重なる領域については複数回(最大で4回)に渡り露光(多重露光)されることになる。この転写パターンにおけるチップ外周部はマスク上でも外周部であり、通常、吸収層に当たる部分に位置する。しかしながら、上述したように吸収層上でのEUV光の反射率は、0.5〜2%程度あるために、多重露光によりチップ外周部が感光してしまうという問題があった。このため、マスク上のチップ外周部に通常の吸収層よりもEUV光の遮光性の高い領域(以下、遮光領域と呼ぶ)を設ける必要性が出てきた。   On the other hand, when a transfer circuit pattern is formed on a semiconductor substrate using a reflective mask, chips having a plurality of circuit patterns are formed on one semiconductor substrate. There may be a region where the outer periphery of the chip overlaps between adjacent chips. This is because the chips are arranged at a high density in order to improve the productivity of increasing the number of chips that can be taken per wafer as much as possible. In this case, the overlapping region is exposed (multiple exposure) a plurality of times (up to four times). The outer peripheral portion of the chip in this transfer pattern is also the outer peripheral portion on the mask, and is usually located at the portion that contacts the absorbing layer. However, as described above, since the reflectance of EUV light on the absorption layer is about 0.5 to 2%, there is a problem that the outer periphery of the chip is exposed by multiple exposure. For this reason, it has become necessary to provide a region (hereinafter referred to as a light shielding region) having a higher light shielding property of EUV light than a normal absorption layer on the outer periphery of the chip on the mask.

このような問題を解決するために、反射型マスクの吸収層から多層反射層までを掘り込んだ溝を形成することで多層反射層の反射率を低下させることにより、露光光源波長に対する遮光性の高い遮光領域を設けた反射型マスクが提案されている(例えば、特許文献1参照)。   In order to solve such a problem, by reducing the reflectance of the multilayer reflective layer by forming a groove dug from the absorption layer of the reflective mask to the multilayer reflective layer, the light shielding property with respect to the wavelength of the exposure light source is reduced. A reflective mask provided with a high light-shielding region has been proposed (see, for example, Patent Document 1).

特開2009−212220号公報JP 2009-212220 A

しかしながら、吸収層と多層反射層を単に掘り込んだだけの遮光領域では、次のような問題が生じる。以下、これについて図を用いて説明する。   However, the following problem occurs in the light shielding region where the absorbing layer and the multilayer reflective layer are simply dug. Hereinafter, this will be described with reference to the drawings.

図9に示す従来の反射型マスクは、基板1の表面に、多層反射層2、保護層3、吸収層4が順次形成され、基板の裏面に導電膜5が形成され、パターン領域(図示せず)の外周に基板1上の各層を除去することにより遮光領域11が形成された構造を有している。このような構造の反射型マスクでは、遮光領域11に入射したEUVの大部分は反射率をほぼゼロにすることが出来る(EUV反射光302)が、遮光領域11のエッジ付近に入射したEUVの反射率(EUV反射光303,304)は、遮光領域を形成する前の反射率が1.5%であるのに対し、1.5〜6.0と逆に高くなってしまうという問題が発生する。何故なら、多層反射層2を単に掘り込む方法では、EUV反射率の低減に貢献していた吸収層4も除去する必要があるため、遮光領域エッジ付近では、EUV光の入射と反射の行程で、吸収層4を1回しか通らない場合が発生するためである。   In the conventional reflective mask shown in FIG. 9, a multilayer reflective layer 2, a protective layer 3, and an absorption layer 4 are sequentially formed on the surface of the substrate 1, and a conductive film 5 is formed on the back surface of the substrate. The light shielding region 11 is formed by removing each layer on the substrate 1 on the outer periphery of In the reflective mask having such a structure, the reflectance of most of the EUV incident on the light shielding region 11 can be made almost zero (EUV reflected light 302), but the EUV incident on the vicinity of the edge of the light shielding region 11 can be reduced. The reflectivity (EUV reflected light 303, 304) has a problem that the reflectivity before the formation of the light-shielding region is 1.5%, whereas the reflectivity is 1.5 to 6.0. To do. This is because, in the method of simply digging the multilayer reflective layer 2, it is necessary to remove the absorption layer 4 that has contributed to the reduction of the EUV reflectivity, so that the EUV light is incident and reflected in the vicinity of the light shielding region edge. This is because a case where the absorbent layer 4 passes only once occurs.

例えば、遮光領域側から斜め入射されたEUV光は、吸収層4を通らずに多層反射層2の側壁から入り、多層反射層2で反射されたEUV光が1度だけ吸収層を通りウェハ側に漏れたり(EUV反射光304)、また、斜め入射されたEUV光が最初に吸収層4を通っても、遮光領域エッジ付近では、多層反射層2で反射されたEUV光の一部が多層反射層2の側壁を抜けてウェハ側に漏れる(EUV反射光303)ためである。つまり、EUV反射率を低下させるための遮光領域11によって、遮光領域エッジ部分では、逆にEUV反射光の漏れが生じ、EUV反射率を上げてしまうという問題を発生させ、遮光性能の低下を招いてしまう。   For example, EUV light incident obliquely from the light shielding region side enters from the side wall of the multilayer reflection layer 2 without passing through the absorption layer 4, and the EUV light reflected by the multilayer reflection layer 2 passes through the absorption layer only once and passes through the wafer side. (EUV reflected light 304), or even when the obliquely incident EUV light first passes through the absorption layer 4, a part of the EUV light reflected by the multilayer reflective layer 2 is multilayered in the vicinity of the light shielding region edge. This is because the light passes through the side wall of the reflective layer 2 and leaks to the wafer side (EUV reflected light 303). That is, due to the light shielding region 11 for reducing the EUV reflectance, EUV reflected light leaks at the edge of the light shielding region, causing a problem that the EUV reflectance is increased, and the light shielding performance is lowered. I will.

本発明は、上記問題点に鑑みてなされたものであり、遮光性能の高い遮光領域を有する反射型マスク及びその製造方法を提供することを目的とする。   The present invention has been made in view of the above problems, and an object of the present invention is to provide a reflective mask having a light-shielding region with high light-shielding performance and a method for manufacturing the same.

上記課題を可決するため、本発明の第1の態様は、基板表面に形成された多層反射層と、該多層反射層の上に形成され、回路パターンを有する吸収層とを具備し、前記回路パターンの領域の外側に、前記吸収層および前記多層反射層が除去された遮光領域が形成され、前記多層反射層に形成された前記遮光領域の底部の開口幅は、前記吸収層に形成された前記遮光領域の開口幅よりも広いことを特徴とする反射型マスクを提供する。   In order to achieve the above object, according to a first aspect of the present invention, there is provided a multilayer reflective layer formed on a substrate surface, and an absorption layer formed on the multilayer reflective layer and having a circuit pattern. A light-shielding region from which the absorption layer and the multilayer reflective layer are removed is formed outside the pattern region, and an opening width at the bottom of the light-shielding region formed in the multilayer reflective layer is formed in the absorption layer. Provided is a reflective mask characterized in that it is wider than the opening width of the light shielding region.

このように構成される反射型マスクにおいて、前記多層反射層に形成された前記遮光領域の底部の開口幅を、前記吸収層に形成された前記遮光領域の開口幅よりも、前記多層反射層の膜厚の21%以上広くすることができる。この場合、前記多層反射層の前記遮光領域内に露出する側壁を基板面に垂直にすることが出来る。或いは、前記多層反射層の前記遮光領域内に露出する側壁を逆テーパ状にし、多層反射層の上部よりも下部の方が遮光領域の幅を狭くすることが出来る。或いはまた、前記多層反射層の前記遮光領域内に露出する側壁を順テーパ状とし、垂直面に対する傾斜角を−6°以上とすることが出来る。   In the reflective mask configured as described above, the opening width of the bottom portion of the light shielding region formed in the multilayer reflective layer is set to be larger than the opening width of the light shielding region formed in the absorption layer. The film thickness can be increased by 21% or more. In this case, the side wall exposed in the light shielding region of the multilayer reflective layer can be perpendicular to the substrate surface. Alternatively, the side wall exposed in the light-shielding region of the multilayer reflective layer can be formed in a reverse taper shape so that the width of the light-shielding region is narrower at the lower part than at the upper part of the multilayer reflective layer. Alternatively, the side wall exposed in the light shielding region of the multilayer reflective layer may be a forward taper, and the inclination angle with respect to the vertical plane may be −6 ° or more.

本発明の第2の態様は、以上の反射型マスクの製造方法であって、前記吸収層を選択的に除去した後、前記多層反射層を選択的にドライエッチングもしくはウェットエッチングすることによって、前記多層反射層に形成された前記遮光領域の底部の開口幅を、前記吸収層に形成された前記遮光領域の開口幅よりも広くすることを特徴とする反射型マスクの製造方法を提供する。   A second aspect of the present invention is the above-described reflective mask manufacturing method, wherein the multilayer reflective layer is selectively dry-etched or wet-etched after selectively removing the absorbing layer. A reflective mask manufacturing method is provided, wherein an opening width of a bottom portion of the light shielding region formed in a multilayer reflective layer is made wider than an opening width of the light shielding region formed in the absorption layer.

このような反射型マスクの製造方法において、前記多層反射層を全膜厚にわたって選択的に除去した後に、更に前記多層反射層の除去部の側壁をドライエッチングもしくはウェットエッチングすることによって、前記多層反射層に形成された前記遮光領域の底部の開口幅を、前記吸収層に形成された前記遮光領域の開口幅よりも広くすることが出来る。   In such a reflective mask manufacturing method, after the multilayer reflective layer is selectively removed over the entire film thickness, the multilayer reflective layer is further subjected to dry etching or wet etching on the side wall of the removed portion of the multilayer reflective layer. The opening width of the bottom of the light shielding region formed in the layer can be made wider than the opening width of the light shielding region formed in the absorption layer.

この場合、前記ドライエッチングを、エッチングガスとしてフッ素原子もしくは塩素原子を含むガスを用いて行うことが出来る。前記フッ素原子を含むガスとして、CF4、C26、C48、C58、CHF3、SF6、及びClF3からなる群から選ばれた少なくとも1種を含むガスを用い、前記塩素原子を含むガスとして、Cl2及びHClからなる群から選ばれた少なくとも1種を含むガスを用いることが出来る。 In this case, the dry etching can be performed using a gas containing fluorine atoms or chlorine atoms as an etching gas. As the gas containing a fluorine atom, a gas containing at least one selected from the group consisting of CF 4 , C 2 F 6 , C 4 F 8 , C 5 F 8 , CHF 3 , SF 6 , and ClF 3 is used. As the gas containing chlorine atoms, a gas containing at least one selected from the group consisting of Cl 2 and HCl can be used.

また、前記ウェットエッチングを、硝酸、リン酸、フッ酸、硫酸、及び酢酸からなる群から選ばれた少なくとも1種を含むエッチング液を用いて行うことが出来る。   The wet etching can be performed using an etching solution containing at least one selected from the group consisting of nitric acid, phosphoric acid, hydrofluoric acid, sulfuric acid, and acetic acid.

本発明によると、回路パターン領域の外側に吸収層及び多層反射層を除去して遮光領域を形成した反射型マスクにおいて、遮光領域のエッジ付近でのEUV光の反射をほぼゼロにまで低減することができるため、高い遮光性能を有する反射型マスクが提供され、それによって高い精度の転写パターンを形成できるという効果を奏する。   According to the present invention, in a reflective mask in which an absorption layer and a multilayer reflective layer are removed outside a circuit pattern region to form a light shielding region, the reflection of EUV light near the edge of the light shielding region is reduced to almost zero. Therefore, a reflective mask having high light shielding performance is provided, thereby producing an effect that a highly accurate transfer pattern can be formed.

本発明の種々の実施形態に係る反射型マスクの構造の概略を示す断面図及び平面図。Sectional drawing and the top view which show the outline of the structure of the reflective mask which concerns on various embodiment of this invention. 実施例1に係る反射型マスクの製造方法を工程順に示す断面図。Sectional drawing which shows the manufacturing method of the reflective mask which concerns on Example 1 in order of a process. 図2(e)に示す構造の平面図。FIG. 3 is a plan view of the structure shown in FIG. 実施例1に係る反射型マスクの製造方法を工程順に示す断面図。Sectional drawing which shows the manufacturing method of the reflective mask which concerns on Example 1 in order of a process. 実施例2に係る反射型マスクの製造方法を工程順に示す断面図。Sectional drawing which shows the manufacturing method of the reflective mask which concerns on Example 2 in order of a process. 実施例3に係る反射型マスクの製造方法を工程順に示す断面図。Sectional drawing which shows the manufacturing method of the reflective mask which concerns on Example 3 in order of a process. 従来の反射型マスクの遮光領域エッジ部における反射の模式図及びEUV反射率を示す特性図。The schematic diagram of reflection in the light-shielding area edge part of the conventional reflective mask, and the characteristic view which shows EUV reflectance. 実施例1により得た反射型マスクの遮光領域エッジ部における反射の模式図及びEUV反射率を示す特性図。FIG. 6 is a schematic diagram of reflection at a light shielding region edge portion of the reflective mask obtained in Example 1, and a characteristic diagram showing EUV reflectance. 従来の反射型マスクの遮光領域構造の課題を示す概略断面図。The schematic sectional drawing which shows the subject of the light-shielding area | region structure of the conventional reflective mask.

以下、本発明の実施形態について、図面を参照して説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

(本実施形態に係る反射型マスクの構成)
まず、本実施形態に係る反射型マスクの構成について説明する。図1(a)、(b)、(c)は、本実施形態に係る反射型マスク101,102,103の構造の概略断面図であり、図1(d)は、反射型マスク101,102,103を上から見た概略平面図である。即ち、図1(a)、(b)、(c)は、本実施形態に係る反射型マスク101,102,103の構成を示す。
(Configuration of the reflective mask according to the present embodiment)
First, the configuration of the reflective mask according to this embodiment will be described. 1A, 1B, and 1C are schematic cross-sectional views of the structures of the reflective masks 101, 102, and 103 according to the present embodiment, and FIG. , 103 is a schematic plan view seen from above. That is, FIGS. 1A, 1B, and 1C show the configurations of the reflective masks 101, 102, and 103 according to this embodiment.

図1(a)、(b)、(c)に示す反射型マスク101,102,103は、いずれも基板1の表面に多層反射層2、保護層3、吸収層4が順次形成され、基板の裏面に導電膜5が形成された構造を有している。保護層3と吸収層4の間には、緩衝層が介在する場合もある。緩衝層は、吸収膜4のマスクパターン修正時に、下地の保護層3にダメージを与えないために設けられる層である。また、保護膜3は、酸やアルカリに対する洗浄耐性を有する材料からなる必要があり、一般にはRu(ルテニウム)やSi(シリコン)が用いられる。   The reflective masks 101, 102, and 103 shown in FIGS. 1A, 1B, and 1C all have a multilayer reflective layer 2, a protective layer 3, and an absorption layer 4 formed on the surface of the substrate 1 in sequence. It has a structure in which a conductive film 5 is formed on the back surface. A buffer layer may be interposed between the protective layer 3 and the absorption layer 4. The buffer layer is a layer provided so as not to damage the underlying protective layer 3 when the mask pattern of the absorption film 4 is corrected. Further, the protective film 3 needs to be made of a material having resistance to washing against acid and alkali, and generally Ru (ruthenium) or Si (silicon) is used.

本実施形態に係る反射型マスク101,102,103は、吸収層4が加工され、パターンが形成されているパターン領域10と、その外周部に吸収層4、保護層3、多層反射層2、(緩衝層がある場合は緩衝層も)が除去された、枠状の遮光領域(遮光溝)11を有する。   The reflective masks 101, 102, and 103 according to this embodiment include a pattern region 10 in which an absorption layer 4 is processed and a pattern is formed, and an absorption layer 4, a protective layer 3, a multilayer reflection layer 2, and the outer periphery thereof. It has a frame-shaped light-shielding region (light-shielding groove) 11 from which (a buffer layer when there is a buffer layer) is removed.

図1(a)に示す反射型マスク101は、遮光領域における多層反射層2の底部開口幅が、その上層に位置する吸収層4の開口幅よりも、多層反射層2の膜厚の少なくとも21%以上広くなっている。多層反射層2の膜厚の21%とは、多層反射層の膜厚×tan(6°)×2を意味している。この場合、6°とは、マスクに入射するEUV光の最大入射角度であり、6度で入射するEUV光が遮光領域内の多層反射層の側壁に直接入射しないように、遮光領域内の多層反射層2の開口幅を設定すればよい。   In the reflective mask 101 shown in FIG. 1A, the bottom opening width of the multilayer reflective layer 2 in the light shielding region is at least 21 of the film thickness of the multilayer reflective layer 2 than the opening width of the absorption layer 4 positioned thereabove. More than%. 21% of the thickness of the multilayer reflective layer 2 means the thickness of the multilayer reflective layer × tan (6 °) × 2. In this case, 6 ° is the maximum incident angle of the EUV light incident on the mask, and the EUV light incident at 6 degrees does not directly enter the side walls of the multilayer reflective layer in the light shielding region. The opening width of the reflective layer 2 may be set.

例えば、最も一般的な多層反射層の膜厚280nmの場合は、吸収層4の開口幅Aよりも多層反射層の開口幅Bが、少なくとも、280nm×0.21=58.8nm以上、広くなっていれば良い。   For example, when the film thickness of the most common multilayer reflective layer is 280 nm, the aperture width B of the multilayer reflective layer is wider than the aperture width A of the absorbing layer 4 by at least 280 nm × 0.21 = 58.8 nm or more. It should be.

このように、多層反射層の膜厚×tanθ×2を用いて計算して、多層反射層の開口幅を決定することができる。   In this way, the opening width of the multilayer reflective layer can be determined by calculation using the thickness of the multilayer reflective layer × tan θ × 2.

図1(c)に示す反射型マスク103は、多層反射層2が−6°以上の逆テーパの側壁角度を有する形状になっている。つまり、基板(マスク下部)に向かうにしたがって多層反射層の開口部の幅が広くなっている。この場合、多層反射層の開口部の底部(基板1に接した部分)の幅Bが、多層反射膜層の開口部の上部に比べ、多層反射層2の膜厚が少なくとも21%以上広くなっている。なお、図1(c)では、模式的に側壁断面を直線的に描いているが、やや丸みを帯びた曲線や、側壁に凹凸があっても良い。   The reflective mask 103 shown in FIG. 1C has a shape in which the multilayer reflective layer 2 has a reverse tapered side wall angle of −6 ° or more. That is, the width of the opening of the multilayer reflective layer becomes wider toward the substrate (lower part of the mask). In this case, the width B of the bottom portion (the portion in contact with the substrate 1) of the opening of the multilayer reflective layer is at least 21% wider than the upper portion of the opening of the multilayer reflective film layer. ing. In addition, in FIG.1 (c), although the side wall cross section is drawn linearly, a slightly rounded curve and an unevenness | corrugation may be on a side wall.

図1(b)に示す反射型マスク102は、多層反射層2が6°以上の順テーパ形状の側壁角度を有する形状になっている。つまり、基板(マスク下部)に向かうにしたがって多層反射層の開口部の幅が狭くなっている。この場合、多層反射層の開口部の上部(保護層3に接した部分)の幅Bが、多層反射層2の膜厚の少なくとも21%以上広くなっている。なお、図1(b)では、模式的に側壁断面を直線的に描いているが、等方的エッチングを施された場合によく見られる丸みのあるボーイング形状でも良い。   In the reflective mask 102 shown in FIG. 1B, the multilayer reflective layer 2 has a shape having a forward tapered side wall angle of 6 ° or more. That is, the width of the opening of the multilayer reflective layer becomes narrower toward the substrate (lower part of the mask). In this case, the width B of the upper part of the opening of the multilayer reflective layer (the part in contact with the protective layer 3) is at least 21% wider than the film thickness of the multilayer reflective layer 2. In addition, in FIG.1 (b), although the side wall cross section is drawn linearly, the rounded bow shape often seen when isotropic etching may be sufficient.

以上の反射型マスク101,102,103は、いずれもその構造によって、入射角6°のEUV露光において、遮光領域のエッジ付近でのEUV反射光の漏れが生じることはない。なお、膜厚の21%以上の開口幅、及び−6°の逆テーパ形状、と規定した数値は、反射型マスクに用いられる材料のEUV光に対する光学常数から数値計算により、EUV反射光の漏れが生じないことを確認した値である。   The reflection masks 101, 102, and 103 are all structured so that leakage of EUV reflected light near the edge of the light shielding region does not occur in EUV exposure with an incident angle of 6 °. The numerical values specified as an opening width of 21% or more of the film thickness and an inverted taper shape of −6 ° are the leakage of EUV reflected light by numerical calculation from the optical constant for the EUV light of the material used for the reflective mask. This is a value that confirms that no occurs.

なお、遮光領域における多層反射層2の底部開口幅は、広ければ広いほど遮光効果は得られ、上限は特に限定されないが、多層反射層2の膜厚の200%広い場合には、多層反射層2の側壁の傾斜角は45°となり、それ以上広くすると、回路パターンを維持することが出来なくなる。   Note that the wider the bottom opening width of the multilayer reflective layer 2 in the light shielding region, the greater the light shielding effect. The upper limit is not particularly limited, but when the multilayer reflective layer 2 is 200% wider, the multilayer reflective layer The inclination angle of the side wall 2 is 45 °, and if it is wider than that, the circuit pattern cannot be maintained.

(多層反射層、保護層、緩衝層)
図1(a)、(b)、(c)に示す反射型マスクの多層反射層2は、EUV光に対して60%程度の反射率を達成できるように設計されており、モリブデン(Mo)層とシリコン(Si)層を交互に40〜50ペア積層した積層膜である。多層反射層2の上に形成された保護層3は2〜3nmの膜厚のルテニウム(Ru)層あるいは10nm程度の膜厚のシリコン(Si)層である。この場合、Ruからなる保護層3の下に隣接する多層反射層2の最上層はSi層である。
(Multilayer reflective layer, protective layer, buffer layer)
The multilayer reflective layer 2 of the reflective mask shown in FIGS. 1A, 1B, and 1C is designed to achieve a reflectance of about 60% with respect to EUV light, and molybdenum (Mo). A laminated film in which 40 to 50 pairs of layers and silicon (Si) layers are alternately laminated. The protective layer 3 formed on the multilayer reflective layer 2 is a ruthenium (Ru) layer having a thickness of 2 to 3 nm or a silicon (Si) layer having a thickness of about 10 nm. In this case, the uppermost layer of the multilayer reflective layer 2 adjacent below the protective layer 3 made of Ru is a Si layer.

MoやSiは、EUV光に対する吸収(消衰係数)が小さく、且つMoとSiのEUV光での屈折率差が大きいので、SiとMoの界面での反射率を高くすることが出来るために用いられている。Ruからなる保護層3は、吸収層4の加工におけるストッパー層やマスク洗浄における薬液に対する保護層としての役割を果たすことができる。保護層3をSiにより構成する場合は、吸収層4との間に緩衝層が設けられる場合もある。緩衝層は、吸収層4のエッチングやパターン修正時に、緩衝層の下に隣接する多層反射層2の最上層であるSi層を保護するために設けられ、クロム(Cr)の窒素化合物(CrN)で構成されている。   Mo and Si have low absorption (extinction coefficient) for EUV light and a large refractive index difference between Mo and Si EUV light, so that the reflectance at the interface between Si and Mo can be increased. It is used. The protective layer 3 made of Ru can serve as a stopper layer in the processing of the absorption layer 4 and a protective layer against a chemical solution in mask cleaning. When the protective layer 3 is made of Si, a buffer layer may be provided between the absorbing layer 4 and the protective layer 3. The buffer layer is provided to protect the Si layer which is the uppermost layer of the multilayer reflective layer 2 adjacent to the bottom of the buffer layer when etching or pattern correction of the absorption layer 4, and is a nitrogen compound (CrN) of chromium (Cr). It consists of

(吸収層)
図1(a)、(b)、(c)に示す反射型マスクの吸収層4は、EUVに対して吸収率の高いタンタル(Ta)の窒素化合物(TaN)から構成されている。他の材料として、タンタルホウ素窒化物(TaBN)、タンタルシリコン(TaSi)、タンタル(Ta)や、それらの酸化物(TaBON、TaSiO、TaO)でも良い。
(Absorption layer)
The absorption layer 4 of the reflective mask shown in FIGS. 1A, 1B, and 1C is made of a nitrogen compound (TaN) of tantalum (Ta) having a high absorption rate with respect to EUV. As other materials, tantalum boron nitride (TaBN), tantalum silicon (TaSi), tantalum (Ta), and oxides thereof (TaBON, TaSiO, TaO) may be used.

図1(a)、(b)、(c)に示す反射型マスクの吸収層4は、上層に波長190〜260nmの紫外光に対して反射防止機能を有する低反射層を設けた2層構造からなる吸収層であっても良い。低反射層は、マスクの欠陥検査機の検査波長に対して、コントラストを高くし、検査性を向上させるためのものである。   The absorption layer 4 of the reflective mask shown in FIGS. 1A, 1B and 1C has a two-layer structure in which a low reflection layer having an antireflection function for ultraviolet light having a wavelength of 190 to 260 nm is provided on the upper layer. An absorption layer made of may be used. The low reflection layer is for increasing the contrast and improving the inspection property with respect to the inspection wavelength of the mask defect inspection machine.

(裏面導電膜)
図1(a)、(b)、(c)に示す反射型マスクの導電膜5は、一般にはCrNで構成されるが、導電性があれば良く、金属材料からなる材料であれば良い。また、図1(a)、(b)、(c)に示す反射型マスクでは導電膜5を備える構成で説明したが、導電膜5を有しない反射型マスクであってもよい。
(Back conductive film)
The conductive film 5 of the reflective mask shown in FIGS. 1A, 1B, and 1C is generally made of CrN, but it only needs to have conductivity, and may be a material made of a metal material. In addition, although the reflective mask shown in FIGS. 1A, 1 </ b> B, and 1 </ b> C has been described with the configuration including the conductive film 5, the reflective mask may not include the conductive film 5.

(遮光領域の形成)
本実施形態に係る反射型マスクの遮光領域の形成方法について説明する。まず、フォトリソグラフィもしくは電子線リソグラフィによって、遮光領域部のみが開口したレジストパターンを形成する。次に、レジストパターンをマスクとして用いて、フッ素系、塩素系ガス、又はその混合ガスをエッチングガスとして用いたドライエッチングによって、吸収膜4と保護層3を選択的に除去する。次いで、エッチングガスとして、フッ素系ガス、塩素系ガス、又はその混合ガスをエッチングガスとして用いたドライエッチングか、アルカリ性溶液あるいは酸性溶液を用いたウェットエッチングによって、多層反射層2を選択的に除去する。
(Shading area formation)
A method for forming a light shielding region of the reflective mask according to the present embodiment will be described. First, a resist pattern in which only the light shielding region is opened is formed by photolithography or electron beam lithography. Next, using the resist pattern as a mask, the absorption film 4 and the protective layer 3 are selectively removed by dry etching using a fluorine-based gas, a chlorine-based gas, or a mixed gas thereof as an etching gas. Next, the multilayer reflective layer 2 is selectively removed by dry etching using a fluorine-based gas, a chlorine-based gas, or a mixed gas thereof as an etching gas as an etching gas, or by wet etching using an alkaline solution or an acidic solution. .

多層反射層2を選択的に除去するためのドライエッチングのエッチングガスとして、フッ素系、塩素系ガス、又はその混合ガスを用いるのは、多層反射層の材料であるMoとSiの両方に対してエッチング性を有するためである。この際に用いるフッ素系ガスとしては、CF4、C26、C48、C58、CHF3、SF6、ClF3等が挙げられ、塩素系ガスとしては、Cl、HCl等が挙げられる。 As an etching gas for dry etching for selectively removing the multilayer reflective layer 2, a fluorine-based gas, a chlorine-based gas, or a mixed gas thereof is used for both Mo and Si that are materials of the multilayer reflective layer. This is because it has an etching property. Examples of the fluorine-based gas used at this time include CF 4 , C 2 F 6 , C 4 F 8 , C 5 F 8 , CHF 3 , SF 6 , ClF 3 , and the chlorine-based gas includes Cl 2 , HCl etc. are mentioned.

多層反射層2を選択的に除去するためのウェットエッチングのエッチング液は、多層反射層2の構成材料であるMoとSiの両方に対するエッチング性を有している必要がある。例えば、アルカリ性溶液としては、TMAH(水酸化テトラメチルアンモニウム)、KOH(水酸化カリウム)、EDP(エチレンジアミンピロカテコール)等が適している。酸性溶液としては、硝酸とリン酸の混合液が適しているが、これにフッ酸、硫酸、酢酸等を加えても良い。   An etchant for wet etching for selectively removing the multilayer reflective layer 2 needs to have an etching property for both Mo and Si, which are constituent materials of the multilayer reflective layer 2. For example, TMAH (tetramethylammonium hydroxide), KOH (potassium hydroxide), EDP (ethylenediamine pyrocatechol) and the like are suitable as the alkaline solution. As the acidic solution, a mixed solution of nitric acid and phosphoric acid is suitable, but hydrofluoric acid, sulfuric acid, acetic acid and the like may be added thereto.

図1(a)、(b)、(c)に示す反射型マスクの遮光領域は、多層反射層2に設けられた遮光領域の底部の幅が吸収膜4及び保護層3に設けられた遮光領域の幅よりも大きく形成されている。このような遮光領域を形成するためには、上述の多層反射層の選択的除去の際に、遮光領域の底部の幅が大きくなるように多層反射層の側壁の各形状を形成することも可能であるが、多層反射層を選択的に除去した後に、遮光領域の底部の幅が大きくなるように多層反射層の側壁の各形状を与えるためのドライエッチング処理又はウェットエッチング処理を、別途追加して行ってもよい。   The light shielding regions of the reflective mask shown in FIGS. 1A, 1 </ b> B, and 1 </ b> C have a light shielding region in which the width of the bottom of the light shielding region provided in the multilayer reflective layer 2 is provided in the absorption film 4 and the protective layer 3. It is formed larger than the width of the region. In order to form such a light-shielding region, it is also possible to form each shape of the side wall of the multilayer reflective layer so that the width of the bottom of the light-shielding region is increased during the selective removal of the multilayer reflective layer described above. However, after selectively removing the multilayer reflective layer, a dry etching process or a wet etching process is separately added to give each shape of the side wall of the multilayer reflective layer so that the width of the bottom of the light shielding region is increased. You may go.

本実施形態に係る反射型マスク101、102、103におけるパターン領域10のパターン形成は、遮光領域11の形成後に行っても遮光領域11の形成前に行ってもよい。   The pattern formation of the pattern region 10 in the reflective masks 101, 102, and 103 according to the present embodiment may be performed after the light shielding region 11 is formed or before the light shielding region 11 is formed.

以上のようにして、多層反射層を選択的に除去し、開口底部の幅が開口上部の幅よりも大きくなるように遮光領域を形成したEUVマスクによると、遮光領域のエッジ付近でのEUV光の反射をほぼゼロにまで低減することができるため、高い遮光性能を有する反射型マスクを得ることができる。   As described above, according to the EUV mask in which the multilayer reflective layer is selectively removed and the light shielding region is formed such that the width of the bottom of the opening is larger than the width of the top of the opening, the EUV light near the edge of the light shielding region The reflection mask having high light shielding performance can be obtained.

EUV露光機内では、EUV光がマスク面を円弧状にスキャンされるため、位置によっては6°より大きい入射角になる場合があるが、その場合も遮光領域エッジ付近でのEUV光の漏れの大部分を低減できるため、本実施形態に係る反射型マスクの効果は大きい。   In the EUV exposure machine, the EUV light is scanned in a circular arc shape on the mask surface, so that there may be an incident angle larger than 6 ° depending on the position. In this case, too, the leakage of EUV light near the light shielding region edge is large. Since the portion can be reduced, the effect of the reflective mask according to this embodiment is great.

以下、本発明の第1の実施例に係る反射型マスクの製造方法について、図2〜図4を参照して説明する。   Hereinafter, a method for manufacturing a reflective mask according to the first embodiment of the present invention will be described with reference to FIGS.

まず、図2(a)に示すような反射型マスクブランク201を用意する。このマスクブランク201は、石英基板1の上に、波長13.5nmのEUV光に対して反射率が64%程度となるように設計された膜厚2.8nmのMo層と膜厚4.2nmのSi層の40ペアの多層反射層2が形成され、その上に膜厚2.5nmのRuからなる保護層3が形成され、更にその上に膜厚70nmのTaSiからなる吸収層4が形成されてなるものである。   First, a reflective mask blank 201 as shown in FIG. This mask blank 201 has a Mo layer with a thickness of 2.8 nm and a thickness of 4.2 nm designed on the quartz substrate 1 so as to have a reflectance of about 64% with respect to EUV light with a wavelength of 13.5 nm. 40 pairs of multilayer reflective layers 2 of Si layers are formed, a protective layer 3 made of Ru with a thickness of 2.5 nm is formed thereon, and an absorption layer 4 made of TaSi with a thickness of 70 nm is further formed thereon. It has been made.

次いで、図2(b)に示すように、このマスクブランク201に対し、ポジ型化学増幅レジスト9(FEP171:富士フイルムエレクトロニクスマテリアルズ社製)を300nmの膜厚に塗布し、電子線描画機(JBX9000:日本電子社製)によって所定のパターンに描画した。その後、110℃、10分のPEBおよびスプレー現像(SFG3000:シグマメルテック社製)をすることにより、図2(c)に示すように、レジストパターン9aを形成した。   Next, as shown in FIG. 2B, a positive chemically amplified resist 9 (FEP171: manufactured by FUJIFILM Electronics Materials) is applied to the mask blank 201 to a film thickness of 300 nm, and an electron beam lithography machine ( JBX9000 (manufactured by JEOL Ltd.) was used to draw a predetermined pattern. Then, PEB and spray development (SFG3000: manufactured by Sigma Meltech) at 110 ° C. for 10 minutes were performed to form a resist pattern 9a as shown in FIG.

次に、レジストパターン9aをマスクとして用いて、CF4プラズマとCl2プラズマによるドライエッチングによって、吸収層4をエッチングした後(図2(d))、レジストパターン9aを剥離洗浄することで、図2(e)に示す評価パターン(回路パターン)を有する反射型マスク211を作製した。評価パターンは、マスク中心に配置した、寸法200nmの1:1のライン&スペースパターンである。参照数字10はパターン領域を示し、その大きさは、10cm×10cmとした。反射型マスク211の上面図を図3に示す。 Next, using the resist pattern 9a as a mask, after the absorption layer 4 is etched by dry etching using CF 4 plasma and Cl 2 plasma (FIG. 2D), the resist pattern 9a is peeled off and cleaned. A reflective mask 211 having the evaluation pattern (circuit pattern) shown in 2 (e) was produced. The evaluation pattern is a 1: 1 line and space pattern with a dimension of 200 nm arranged at the center of the mask. Reference numeral 10 indicates a pattern region, and its size is 10 cm × 10 cm. A top view of the reflective mask 211 is shown in FIG.

その後、上述の評価パターンを有する反射型マスク211のパターン領域10の外側に、遮光領域を形成した。その工程は、次の通りである。   Thereafter, a light shielding region was formed outside the pattern region 10 of the reflective mask 211 having the above-described evaluation pattern. The process is as follows.

まず、図4(a)に示す反射型マスク211の表面にi線レジスト層29を500nmの膜厚で塗布した(図4(b))。次いで、i線レジスト層29に対し、i線描画機(ALTA3000:アプライドマテリアル社製)によりパタ−ンを描画し、現像を行うことにより、後に遮光領域となる領域を抜いたレジストパターン29aを形成した(図4(c))。このとき、レジストパターン29aの開口部は、幅が5mmとし、マスク中心部の10cm×10cmのパターン領域10から3μmの距離をとり、パターン領域10の外周に配置した。   First, the i-line resist layer 29 was applied with a film thickness of 500 nm on the surface of the reflective mask 211 shown in FIG. 4A (FIG. 4B). Next, a pattern is drawn on the i-line resist layer 29 by an i-line drawing machine (ALTA3000: manufactured by Applied Materials) and development is performed, thereby forming a resist pattern 29a from which a region that will later become a light-shielding region is removed. (FIG. 4C). At this time, the opening of the resist pattern 29a had a width of 5 mm, and was placed on the outer periphery of the pattern region 10 at a distance of 3 μm from the 10 cm × 10 cm pattern region 10 in the center of the mask.

次いで、レジストパターン29aをマスクとして用いてCHF3プラズマを用いた反応性イオンエッチング(RIE)により、吸収層4を選択的に除去し(図4(d))、更にエッチングを続行して、保護層3及び多層反射層2を選択的に除去した(図4(e))。なお、エッチングの条件は、下記の通りである。 Next, the absorption layer 4 is selectively removed by reactive ion etching (RIE) using CHF 3 plasma using the resist pattern 29a as a mask (FIG. 4D), and further etching is continued to protect the layer. The layer 3 and the multilayer reflective layer 2 were selectively removed (FIG. 4 (e)). The etching conditions are as follows.

エッチングチャンバー内の圧力:50mTorr
ICP(誘導結合プラズマ)パワー:500W
RIEパワー:2000W
CHF流量:20sccm
処理時間:6分
次に、硝酸、リン酸、及びフッ酸の混合水溶液によるウェットエッチングで4分間処理することで、Mo層とSi層の多層反射層2をサイドエッチングし、図4(f)に示すような、多層反射層2の側壁が吸収層4及び保護層3の側壁よりも後退した形状の遮光領域を得た。
Pressure in the etching chamber: 50 mTorr
ICP (inductively coupled plasma) power: 500W
RIE power: 2000W
CHF 3 flow rate: 20sccm
Processing time: 6 minutes Next, the multilayer reflective layer 2 of the Mo layer and the Si layer is side-etched by wet etching with a mixed aqueous solution of nitric acid, phosphoric acid, and hydrofluoric acid for 4 minutes, and FIG. Thus, a light shielding region having a shape in which the side wall of the multilayer reflective layer 2 recedes from the side walls of the absorption layer 4 and the protective layer 3 was obtained.

最後に、硫酸系の剥離液とアンモニア過酸化水素水により洗浄することによって、図4(g)に示すように、レジストパターン29aを除去し、図1(a)に示す反射型マスク101を得た。   Finally, the resist pattern 29a is removed by washing with a sulfuric acid-based stripping solution and ammonia hydrogen peroxide solution, as shown in FIG. 4G, and the reflective mask 101 shown in FIG. 1A is obtained. It was.

以上のようにして作製した反射型マスク101の遮光領域の一部を断裁して、電子顕微鏡にて断面を観察したところ、遮光領域内の多層反射層の側壁に対し、片側で約40nm、両側で約80nm程度のサイドエッチング(=多層反射層2の膜厚の28.6%に相当)がなされ、遮光領域内の多層反射層の垂直な側壁が吸収層4及び保護層3の側壁よりも後退し、吸収層4及び保護層3がオーバーハング形状となっていることを確認した。   When a part of the light shielding region of the reflective mask 101 manufactured as described above was cut and the cross section was observed with an electron microscope, the side wall of the multilayer reflective layer in the light shielding region was about 40 nm on one side and both sides. Side etching of about 80 nm (= corresponding to 28.6% of the film thickness of the multilayer reflective layer 2) is performed, and the vertical side walls of the multilayer reflective layer in the light shielding region are more than the side walls of the absorption layer 4 and the protective layer 3 It retreated and it checked that absorption layer 4 and protective layer 3 were overhanging.

以下、本発明の第2の実施例に係る反射型マスクの製造方法について、図5を参照して説明する。   Hereinafter, a reflective mask manufacturing method according to the second embodiment of the present invention will be described with reference to FIG.

まず、実施例1と同じ方法により、吸収層4にパターン部10を形成した。   First, the pattern part 10 was formed in the absorption layer 4 by the same method as Example 1.

次いで、図5(a)に示す反射型マスク211の表面にi線レジスト層29を500nmの膜厚で塗布した(図5(b))。次いで、i線レジスト層29に対し、i線描画機(ALTA3000:アプライドマテリアル社製)によりパタ−ンを描画し、現像を行うことにより、後に遮光領域となる領域を抜いたレジストパターン29aを形成した(図5(c))。このとき、レジストパターン29aの開口部は、幅が5mmとし、マスク中心部の10cm×10cmのパターン領域10から3μmの距離をとり、パターン領域10の外周に配置した。   Next, an i-line resist layer 29 was applied to the surface of the reflective mask 211 shown in FIG. 5A with a film thickness of 500 nm (FIG. 5B). Next, a pattern is drawn on the i-line resist layer 29 by an i-line drawing machine (ALTA3000: manufactured by Applied Materials) and development is performed, thereby forming a resist pattern 29a from which a region that will later become a light-shielding region is removed. (FIG. 5C). At this time, the opening of the resist pattern 29a had a width of 5 mm, and was placed on the outer periphery of the pattern region 10 at a distance of 3 μm from the 10 cm × 10 cm pattern region 10 in the center of the mask.

次いで、レジストパターン29aをマスクとして用いてCHF3プラズマを用いた反応性イオンエッチング(RIE)により、吸収層4を選択的に除去した(図5(d))。なお、エッチングの条件は、下記の通りである。 Next, the absorption layer 4 was selectively removed by reactive ion etching (RIE) using CHF 3 plasma using the resist pattern 29a as a mask (FIG. 5D). The etching conditions are as follows.

エッチングチャンバー内の圧力:15mTorr
ICP(誘導結合プラズマ)パワー:300W
RIEパワー:100W
CHF流量:20sccm
処理時間:1分
更に、CFプラズマを用いた反応性イオンエッチング(RIE)により、保護層3及び多層反射層2を選択的に除去した。なお、エッチングの条件は、下記の通りである。
Pressure in the etching chamber: 15 mTorr
ICP (inductively coupled plasma) power: 300W
RIE power: 100W
CHF 3 flow rate: 20sccm
Processing time: 1 minute Further, the protective layer 3 and the multilayer reflective layer 2 were selectively removed by reactive ion etching (RIE) using CF 4 plasma. The etching conditions are as follows.

エッチングチャンバー内の圧力:50mTorr
ICP(誘導結合プラズマ)パワー:500W
RIEパワー:50W
CF流量:20sccm
処理時間:12分
このとき、多層反射層2の側壁は底部にいくほど後退し、(図5(e)に示すような逆テーパ形状となった。
Pressure in the etching chamber: 50 mTorr
ICP (inductively coupled plasma) power: 500W
RIE power: 50W
CF 4 flow rate: 20sccm
Processing time: 12 minutes At this time, the side wall of the multilayer reflective layer 2 receded toward the bottom and became a reverse taper shape as shown in FIG.

最後に、硫酸系の剥離液とアンモニア過酸化水素水により洗浄することによって、図5(f)に示すように、レジストパターン29aを除去し、図1(b)に示す反射型マスク102を得た。   Finally, the resist pattern 29a is removed as shown in FIG. 5 (f) by washing with a sulfuric acid-based stripping solution and ammonia hydrogen peroxide solution, and the reflective mask 102 shown in FIG. 1 (b) is obtained. It was.

以上のようにして作製した反射型マスク102の遮光領域の一部を断裁して、電子顕微鏡にて断面を観察したところ、遮光領域内の多層反射層の側壁は、−12°の逆テーパ形状であることを確認した。   When a part of the light shielding region of the reflective mask 102 manufactured as described above was cut and the cross section was observed with an electron microscope, the side wall of the multilayer reflective layer in the light shielding region had an inverted taper shape of −12 °. It was confirmed that.

以下、本発明の第3の実施例に係る反射型マスクの製造方法について、図6を参照して説明する。   Hereinafter, a reflective mask manufacturing method according to a third embodiment of the present invention will be described with reference to FIG.

まず、実施例1と同じ方法により、吸収層4にパターン部10を形成した。   First, the pattern part 10 was formed in the absorption layer 4 by the same method as Example 1.

次いで、図6(a)に示す反射型マスク211の表面にi線レジスト層29を500nmの膜厚で塗布した(図6(b))。次いで、i線レジスト層29に対し、i線描画機(ALTA3000:アプライドマテリアル社製)によりパタ−ンを描画し、現像を行うことにより、後に遮光領域となる領域を抜いたレジストパターン29aを形成した(図6(c))。このとき、レジストパターン29aの開口部は、幅が5mmとし、マスク中心部の10cm×10cmのパターン領域10から3μmの距離をとり、パターン領域10の外周に配置した。   Next, an i-line resist layer 29 was applied to the surface of the reflective mask 211 shown in FIG. 6A with a film thickness of 500 nm (FIG. 6B). Next, a pattern is drawn on the i-line resist layer 29 by an i-line drawing machine (ALTA3000: manufactured by Applied Materials) and development is performed, thereby forming a resist pattern 29a from which a region that will later become a light-shielding region is removed. (FIG. 6C). At this time, the opening of the resist pattern 29a had a width of 5 mm, and was placed on the outer periphery of the pattern region 10 at a distance of 3 μm from the 10 cm × 10 cm pattern region 10 in the center of the mask.

次いで、レジストパターン29aをマスクとして用いてCHF3プラズマを用いたドライエッチングにより、吸収層4を選択的に除去した(図6(d))。なお、エッチングの条件は、下記の通りである。 Next, the absorption layer 4 was selectively removed by dry etching using CHF 3 plasma using the resist pattern 29a as a mask (FIG. 6D). The etching conditions are as follows.

エッチングチャンバー内の圧力:15mTorr
ICP(誘導結合プラズマ)パワー:300W
RIEパワー:100W
CHF流量:20sccm
処理時間:1分
更に、SFプラズマを用いたドライエッチングにより、保護層3及び多層反射層2を選択的に除去した。なお、エッチングの条件は、下記の通りである。
Pressure in the etching chamber: 15 mTorr
ICP (inductively coupled plasma) power: 300W
RIE power: 100W
CHF 3 flow rate: 20sccm
Processing time: 1 minute Further, the protective layer 3 and the multilayer reflective layer 2 were selectively removed by dry etching using SF 6 plasma. The etching conditions are as follows.

エッチングチャンバー内の圧力:50mTorr
ICP(誘導結合プラズマ)パワー:800W
RIEパワー:25W
SF流量:40sccm
処理時間:8分
このとき、多層反射層2の側壁は順テーパ形状となり、図6(e)に示すように、吸収層4及び保護層3がオーバーハング形状となった。
Pressure in the etching chamber: 50 mTorr
ICP (inductively coupled plasma) power: 800W
RIE power: 25W
SF 6 flow rate: 40sccm
Processing time: 8 minutes At this time, the side wall of the multilayer reflective layer 2 became a forward taper shape, and the absorption layer 4 and the protective layer 3 became an overhang shape as shown in FIG.

最後に、硫酸系の剥離液とアンモニア過酸化水素水により洗浄することによって、図6(f)に示すように、レジストパターン29aを除去し、図1(c)に示す反射型マスク103を得た。   Finally, the resist pattern 29a is removed as shown in FIG. 6 (f) by washing with a sulfuric acid-based stripping solution and ammonia hydrogen peroxide solution to obtain a reflective mask 103 shown in FIG. 1 (c). It was.

以上のようにして作製した反射型マスク103の遮光領域の一部を断裁して、電子顕微鏡にて断面を観察したところ、遮光領域内の多層反射層の側壁は、下端部(基板1に接した部分)の片側で約40nm、両側で約80nm程度のサイドエッチング(=多層反射層2の膜厚の32.8%に相当)がなされ、遮光領域内の多層反射層の順テーパ状の側壁が、吸収層4及び保護層3の側壁よりも後退し、吸収層4及び保護層3がオーバーハング形状となっていることを確認した。   When a part of the light-shielding region of the reflective mask 103 manufactured as described above was cut and the cross-section was observed with an electron microscope, the side wall of the multilayer reflective layer in the light-shielding region was in contact with the lower end (contacting the substrate 1). Side etching (corresponding to 32.8% of the film thickness of the multilayer reflective layer 2) of about 40 nm on one side and about 80 nm on both sides, and forward-tapered sidewalls of the multilayer reflective layer in the light shielding region However, it receded from the side wall of the absorption layer 4 and the protective layer 3, and it confirmed that the absorption layer 4 and the protective layer 3 were overhang shape.

以上説明した実施例1にて作製した反射型マスク101の遮光領域エッジ部と、従来の反射型マスクの遮光領域エッジ部のEUV反射率を数値計算によりシミュレーションした。このときの膜構造モデルは、Ta吸収層の膜厚70nm、Ru保護層の膜厚2.5nm、Mo層の膜厚2.83nm、Si層の膜厚4.13nmとし、Mo/Siの多層反射層は40ペアとした。また、EUV光(波長13.5nm)に対する各材料の光学常数(屈折率、消衰係数)から各材料および各界面の透過率、各界面および遮光領域側面反射率を計算し、最終的にマスクから反射されるEUV光を算出した。   The EUV reflectances of the light shielding region edge portion of the reflective mask 101 manufactured in Example 1 described above and the light shielding region edge portion of the conventional reflective mask were simulated by numerical calculation. The film structure model at this time is such that the thickness of the Ta absorption layer is 70 nm, the thickness of the Ru protective layer is 2.5 nm, the thickness of the Mo layer is 2.83 nm, and the thickness of the Si layer is 4.13 nm. There were 40 pairs of reflective layers. Further, the transmittance of each material and each interface, the reflectance of each interface and the light shielding region side surface are calculated from the optical constants (refractive index, extinction coefficient) of each material with respect to EUV light (wavelength 13.5 nm), and finally the mask The EUV light reflected from was calculated.

従来の反射型マスクの遮光領域エッジ部のEUV反射率を図7に、実施例1にて作製した反射型マスクの遮光領域エッジ部のEUV反射率を図8に示す。なお、図7(a)及び図8(a)は遮光領域エッジ部における反射の模式図であり、図7(b)及び図8(b)は、多層反射層側面からの距離(横軸)によるEUV反射率の変化(縦軸)を示すグラフである。   FIG. 7 shows the EUV reflectance of the light shielding region edge portion of the conventional reflective mask, and FIG. 8 shows the EUV reflectance of the light shielding region edge portion of the reflective mask manufactured in Example 1. 7A and 8A are schematic diagrams of reflection at the edge of the light shielding region, and FIGS. 7B and 8B are distances from the side surfaces of the multilayer reflective layer (horizontal axis). It is a graph which shows the change (vertical axis) of the EUV reflectance by.

図7(b)に示すように、従来の反射型マスクの遮光領域エッジ部では、最大6%を超える反射率の領域が発生するのに対し、図8(b)に示すように、実施例1の遮光領域エッジ部では、吸収膜の反射率(約1%)より高くなることはなかった。   As shown in FIG. 7B, an area having a reflectance exceeding 6% at the maximum is generated at the edge of the light shielding area of the conventional reflective mask. In the light shielding region edge portion of 1, the reflectance (about 1%) of the absorbing film was never higher.

このように、実施例1において、遮光性能の高い遮光領域を有する反射型マスクを作製することができた。   Thus, in Example 1, a reflective mask having a light shielding region with high light shielding performance could be produced.

本発明は、EUV光を用いる反射型マスク等に有用である。   The present invention is useful for a reflective mask or the like using EUV light.

1…基板、2…多層反射層、3…保護層、4…吸収層、5…裏面導電膜、9…レジスト、10…パターン領域、11…遮光領域、20…EUV反射率の測定個所、29…レジスト、29a…レジストパターン、101…実施例1により得た反射型マスク、102…実施例2により得た反射型マスク、103…実施例3により得た反射型マスク、201…反射型マスクブランク、211…回路パターンが形成された反射型マスク、301…吸収層部を通過するEUV反射光、302…遮光領域内のEUV反射光、303…遮光領域エッジ部のEUV反射光、304…遮光領域エッジ部のEUV反射光。   DESCRIPTION OF SYMBOLS 1 ... Board | substrate, 2 ... Multilayer reflection layer, 3 ... Protective layer, 4 ... Absorption layer, 5 ... Back surface electrically conductive film, 9 ... Resist, 10 ... Pattern area | region, 11 ... Light-shielding area | region, 20 ... Measurement location of EUV reflectance, 29 DESCRIPTION OF SYMBOLS ... Resist, 29a ... Resist pattern, 101 ... Reflective mask obtained by Example 1, 102 ... Reflective mask obtained by Example 2, 103 ... Reflective mask obtained by Example 3, 201 ... Reflective mask blank , 211... Reflective mask on which a circuit pattern is formed, 301. EUV reflected light passing through the absorption layer portion, 302. EUV reflected light in the light shielding region, 303... EUV reflected light at the edge of the light shielding region, 304. EUV reflected light at the edge.

Claims (10)

基板表面に形成された多層反射層と、該多層反射層の上に形成され、回路パターンを有する吸収層とを具備し、前記回路パターンの領域の外側に、前記吸収層および前記多層反射層が除去された遮光領域が形成され、前記多層反射層に形成された前記遮光領域の底部の開口幅は、前記吸収層に形成された前記遮光領域の開口幅よりも広いことを特徴とする反射型マスク。   A multilayer reflection layer formed on the substrate surface; and an absorption layer formed on the multilayer reflection layer and having a circuit pattern, wherein the absorption layer and the multilayer reflection layer are outside the region of the circuit pattern. A reflection type, wherein a removed light shielding region is formed, and an opening width of a bottom portion of the light shielding region formed in the multilayer reflective layer is wider than an opening width of the light shielding region formed in the absorption layer mask. 前記多層反射層に形成された前記遮光領域の底部の開口幅は、前記吸収層に形成された前記遮光領域の開口幅よりも、前記多層反射層の膜厚の21%以上広いことを特徴とする請求項1に記載の反射型マスク。   The opening width of the bottom of the light shielding region formed in the multilayer reflective layer is 21% or more wider than the opening width of the light shielding region formed in the absorption layer. The reflective mask according to claim 1. 前記多層反射層の前記遮光領域内に露出する側壁は基板面に垂直であることを特徴とする請求項1又は2に記載の反射型マスク。   The reflective mask according to claim 1 or 2, wherein a side wall exposed in the light shielding region of the multilayer reflective layer is perpendicular to a substrate surface. 前記多層反射層の前記遮光領域内に露出する側壁は順テーパ状であり、多層反射層の上部よりも下部の方が遮光領域の幅が狭いことを特徴とする請求項1又は2に記載の反射型マスク。   The side wall exposed in the light-shielding region of the multilayer reflective layer has a forward taper shape, and the width of the light-shielding region is narrower in the lower part than in the upper part of the multilayer reflective layer. Reflective mask. 前記多層反射層の前記遮光領域内に露出する側壁は逆テーパ状であり、垂直面に対する傾斜角が−6°以上であることを特徴とする請求項1又は2に記載の反射型マスク。   3. The reflective mask according to claim 1, wherein a side wall exposed in the light shielding region of the multilayer reflective layer has an inversely tapered shape, and an inclination angle with respect to a vertical plane is −6 ° or more. 請求項1乃至5のいずれかに記載の反射型マスクの製造方法であって、前記吸収層を選択的に除去した後、前記多層反射層を選択的にドライエッチングもしくはウェットエッチングすることによって、前記多層反射層に形成された前記遮光領域の底部の開口幅を、前記吸収層に形成された前記遮光領域の開口幅よりも広くすることを特徴とする反射型マスクの製造方法。   6. The method of manufacturing a reflective mask according to claim 1, wherein the multilayer reflective layer is selectively dry-etched or wet-etched after selectively removing the absorbing layer. A method of manufacturing a reflective mask, wherein an opening width of a bottom portion of the light shielding region formed in a multilayer reflective layer is made wider than an opening width of the light shielding region formed in the absorption layer. 前記多層反射層を全膜厚にわたって選択的に除去した後に、更に前記多層反射層の除去部の側壁をドライエッチングもしくはウェットエッチングすることによって、前記多層反射層に形成された前記遮光領域の底部の開口幅を、前記吸収層に形成された前記遮光領域の開口幅よりも広くすることを特徴とする請求項6に記載の反射型マスクの製造方法。   After selectively removing the multilayer reflective layer over the entire film thickness, the side wall of the removed portion of the multilayer reflective layer is further dry-etched or wet-etched to form the bottom of the light shielding region formed in the multilayer reflective layer. The method for manufacturing a reflective mask according to claim 6, wherein an opening width is made wider than an opening width of the light shielding region formed in the absorption layer. 前記ドライエッチングは、エッチングガスとしてフッ素原子もしくは塩素原子を含むガスを用いて行うことを特徴とする請求項6又は7に記載の反射型マスクの製造方法。   The method of manufacturing a reflective mask according to claim 6 or 7, wherein the dry etching is performed using a gas containing fluorine atoms or chlorine atoms as an etching gas. 前記フッ素原子を含むガスは、CF4、C26、C48、C58、CHF3、SF6、及びClF3からなる群から選ばれた少なくとも1種を含むガスであり、前記塩素原子を含むガスは、Cl2及びHClからなる群から選ばれた少なくとも1種を含むガスであることを特徴とする請求項8に記載の反射型マスクの製造方法。 The gas containing fluorine atoms is a gas containing at least one selected from the group consisting of CF 4 , C 2 F 6 , C 4 F 8 , C 5 F 8 , CHF 3 , SF 6 , and ClF 3 . , the gas including a chlorine atom, a manufacturing method of the reflective mask according to claim 8, characterized in that a gas containing at least one selected from the group consisting of Cl 2 and HCl. 前記ウェットエッチングは、硝酸、リン酸、フッ酸、硫酸、及び酢酸からなる群から選ばれた少なくとも1種を含むエッチング液を用いて行うことを特徴とする請求項7に記載の反射型マスクの製造方法。   The reflective mask according to claim 7, wherein the wet etching is performed using an etching solution containing at least one selected from the group consisting of nitric acid, phosphoric acid, hydrofluoric acid, sulfuric acid, and acetic acid. Production method.
JP2012052091A 2011-09-28 2012-03-08 Reflective mask and method of manufacturing the same Active JP5884565B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012052091A JP5884565B2 (en) 2011-09-28 2012-03-08 Reflective mask and method of manufacturing the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011213243 2011-09-28
JP2011213243 2011-09-28
JP2012052091A JP5884565B2 (en) 2011-09-28 2012-03-08 Reflective mask and method of manufacturing the same

Publications (2)

Publication Number Publication Date
JP2013084882A true JP2013084882A (en) 2013-05-09
JP5884565B2 JP5884565B2 (en) 2016-03-15

Family

ID=48529740

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012052091A Active JP5884565B2 (en) 2011-09-28 2012-03-08 Reflective mask and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP5884565B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014232844A (en) * 2013-05-30 2014-12-11 凸版印刷株式会社 Method for manufacturing reflective mask
JP2015035529A (en) * 2013-08-09 2015-02-19 凸版印刷株式会社 Reflective mask
JP2017516129A (en) * 2014-04-02 2017-06-15 ザイゴ コーポレーションZygo Corporation Photomask for lithography

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120125A (en) * 1991-11-12 1994-04-28 Hitachi Ltd Optical element and projection aligner using same
JPH11352669A (en) * 1998-06-05 1999-12-24 Hitachi Ltd Exposing method and reflection type mask
JP2009212220A (en) * 2008-03-03 2009-09-17 Toshiba Corp Reflection-type mask and method of making the same
JP2010141338A (en) * 2008-12-11 2010-06-24 Samsung Electronics Co Ltd Photomask, method of manufacturing photomask, and plasma etching chamber system
JP2011176127A (en) * 2010-02-24 2011-09-08 Dainippon Printing Co Ltd Reflection type mask and method of manufacturing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06120125A (en) * 1991-11-12 1994-04-28 Hitachi Ltd Optical element and projection aligner using same
JPH11352669A (en) * 1998-06-05 1999-12-24 Hitachi Ltd Exposing method and reflection type mask
JP2009212220A (en) * 2008-03-03 2009-09-17 Toshiba Corp Reflection-type mask and method of making the same
JP2010141338A (en) * 2008-12-11 2010-06-24 Samsung Electronics Co Ltd Photomask, method of manufacturing photomask, and plasma etching chamber system
JP2011176127A (en) * 2010-02-24 2011-09-08 Dainippon Printing Co Ltd Reflection type mask and method of manufacturing the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014232844A (en) * 2013-05-30 2014-12-11 凸版印刷株式会社 Method for manufacturing reflective mask
JP2015035529A (en) * 2013-08-09 2015-02-19 凸版印刷株式会社 Reflective mask
JP2017516129A (en) * 2014-04-02 2017-06-15 ザイゴ コーポレーションZygo Corporation Photomask for lithography

Also Published As

Publication number Publication date
JP5884565B2 (en) 2016-03-15

Similar Documents

Publication Publication Date Title
US9285672B2 (en) Reflective mask and method for manufacturing same
JP6287046B2 (en) Reflective mask, reflective mask blank and manufacturing method thereof
JP5953833B2 (en) Reflective photomask and method of manufacturing the same
JP6743505B2 (en) Reflective mask blank and reflective mask
JP2011228743A (en) Reflection type photomask blank, reflection type photomask, and pattern transfer method employing the same
JP5884565B2 (en) Reflective mask and method of manufacturing the same
JP2014090132A (en) Reflective mask and manufacturing method thereof
JP5990961B2 (en) Reflective mask
JP5970901B2 (en) REFLECTIVE MASK AND METHOD FOR PRODUCING REFLECTIVE MASK
JP2014232844A (en) Method for manufacturing reflective mask
JP6225478B2 (en) Reflective mask
JP2014183075A (en) Reflective mask, and method of manufacturing the same
JP5742300B2 (en) REFLECTIVE MASK BLANK AND ITS MANUFACTURING METHOD, REFLECTIVE MASK
JP6260149B2 (en) Reflective mask blank and reflective mask
US10372029B2 (en) Reflective mask, reflective mask blank, and manufacturing method therefor
JP6281205B2 (en) Reflective mask
JP6070109B2 (en) Reflective mask and method of manufacturing the same
JP5803517B2 (en) Reflective mask, mask blank, and manufacturing method thereof
JP5909964B2 (en) Reflective mask blank and reflective mask
JP6319368B2 (en) Reflective photomask and method of manufacturing the same
JP2018005108A (en) Reflection type photomask blank and reflection type mask
TWI808103B (en) Substrate with multilayer reflective film, reflective mask substrate, reflective mask, and manufacturing method of semiconductor device
US9921465B2 (en) Reflective mask, reflective mask blank and manufacturing method therefor
JP5796307B2 (en) Reflective mask blank and manufacturing method thereof
JP6295517B2 (en) Reflective mask blank and reflective mask

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150219

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160112

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160125

R150 Certificate of patent or registration of utility model

Ref document number: 5884565

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250